KR102630481B1 - 반도체 디바이스 제조 방법 - Google Patents

반도체 디바이스 제조 방법 Download PDF

Info

Publication number
KR102630481B1
KR102630481B1 KR1020210033383A KR20210033383A KR102630481B1 KR 102630481 B1 KR102630481 B1 KR 102630481B1 KR 1020210033383 A KR1020210033383 A KR 1020210033383A KR 20210033383 A KR20210033383 A KR 20210033383A KR 102630481 B1 KR102630481 B1 KR 102630481B1
Authority
KR
South Korea
Prior art keywords
photoresist
layer
precursor
photoresist layer
resist
Prior art date
Application number
KR1020210033383A
Other languages
English (en)
Other versions
KR20210122675A (ko
Inventor
지아-린 웨이
밍-후이 웽
치-쳉 리우
이-첸 구오
옌-위 첸
야흐루 쳉
지르-훙 리
쯔-리앙 리
치-밍 양
칭-위 창
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20210122675A publication Critical patent/KR20210122675A/ko
Application granted granted Critical
Publication of KR102630481B1 publication Critical patent/KR102630481B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Plasma & Fusion (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

반도체 디바이스를 제조하는 방법은 기판 위에 금속 함유 포토레지스트를 포함하는 다층 포토레지스트 구조물을 형성하는 단계를 포함한다. 다층 포토레지스트 구조물은 상이한 물리적 파라미터들을 갖는 둘 이상의 금속 함유 포토레지스트 층들을 포함한다. 금속 함유 포토레지스트는 제1 전구체 및 제2 전구체의 반응 생성물이고, 다층 포토레지스트 구조물의 각 층은 상이한 포토레지스트 층 형성 파라미터들을 사용하여 형성된다. 상이한 포토레지스트 층 형성 파라미터들은 제1 전구체, 제1 전구체의 양, 제2 전구체, 제2 전구체의 양, 각 포토레지스트 층 형성 동작의 시간 길이, 및 포토레지스트 층들의 가열 조건들로 구성된 군으로부터 선택된 하나 이상이다. 다층 포토레지스트 구조물은 화학 방사선(actinic radiation)에 선택적으로 노광되어 잠재 패턴을 형성하고, 잠재 패턴은 선택적으로 노광된 다층 포토레지스트 구조물에 현상액을 도포함으로써 현상되어 패턴을 형성한다.

Description

반도체 디바이스 제조 방법{METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE}
관련 출원
본 출원은 2020년 3월 30일에 출원된 미국 가특허 출원 제63/002,351호 및 2020년 5월 18일에 출원된 미국 가특허 출원 제63/026,688호에 대한 우선권을 주장하며, 각각의 전체 내용은 여기에 참조로 포함된다.
소비자 수요에 대응하여 소비자 디바이스가 점점 더 작아짐에 따라, 이들 디바이스의 개별 부품도 또한 필연적으로 크기가 줄어들었다. 휴대폰, 컴퓨터 태블릿 등과 같은 디바이스의 주요 부품을 구성하는 반도체 디바이스는 점점 더 작아지도록 압력을 받았고, 반도체 디바이스 내의 개별 디바이스(예를 들어, 트랜지스터, 저항기, 커패시터 등)도 또한 크기가 작아지도록 대응하는 압력을 받았다.
반도체 디바이스의 제조 공정에 사용되는 하나의 가능하게 하는 기술은 포토리소그래피 재료의 사용이다. 이러한 재료는 패터닝될 층의 표면에 도포되고 그 후 자체적으로 패터닝된 에너지에 노광된다. 이러한 노광은 감광성 물질의 노광된 영역의 화학적 및 물리적 특성을 수정한다. 이러한 수정은 노광되지 않은 감광성 물질 영역에서의 수정 없이, 다른 부분을 제거하지 않고 한 영역을 제거하는 데 이용될 수 있다.
그러나, 개별 디바이스의 크기가 감소함에 따라 포토리소그래피 처리를 위한 공정 윈도우가 점점 더 타이트해졌다. 이와 같이, 포토리소그래피 처리 분야의 발전은 디바이스를 축소(scale down)할 수 있는 능력을 유지하는 데 필요하며, 점점 더 작은 부품을 향한 행진이 유지될 수 있도록 원하는 설계 기준을 충족하려면 추가 개선이 필요하다.
반도체 산업이 더 높은 디바이스 밀도, 더 높은 성능 및 더 낮은 비용을 추구하는 나노미터 기술 공정 노드로 발전함에 따라, 반도체 피처 크기를 줄이는 데 어려움이 있었다. 극자외선 리소그래피(extreme ultraviolet lithography, EUVL)가 더 작은 반도체 디바이스 피처 크기를 형성하고 반도체 웨이퍼 상의 디바이스 밀도를 높이기 위해 개발되었다. EUVL을 개선하기 위해서는 웨이퍼 노광 처리량의 증가가 바람직하다. 웨이퍼 노광 처리량은 노광력(exposure power) 증가 또는 레지스트 포토 속도 증가를 통해 개선될 수 있다.
본 개시는 첨부 도면과 함께 읽을 때 다음의 상세한 설명으로부터 가장 잘 이해된다. 업계의 표준 관행에 따라 다양한 피처가 축척에 따라 그려지지 않고 예시 목적으로만 사용된다는 것이 강조된다. 사실, 논의의 명확성을 위해 다양한 피처의 치수를 임의로 늘리거나 줄일 수 있다.
도 1은 본 개시의 실시 예들에 따른 반도체 디바이스를 제조하는 공정 흐름을 예시한다.
도 2는 본 개시의 실시 예에 따른 순차적 동작의 공정 스테이지를 도시한다.
도 3a 및 3b는 본 개시의 실시 예들에 따른 순차적 동작의 공정 스테이지를 도시한다.
도 4a 및 4b는 본 개시의 실시 예들에 따른 순차적 동작의 공정 스테이지를 도시한다.
도 5는 본 개시의 실시 예에 따른 순차적 동작의 공정 스테이지를 도시한다.
도 6은 본 개시의 일 실시 예에 따른 순차적 동작의 공정 스테이지를 도시한다.
도 7a는 본 개시의 실시 예들에 따른 유기금속 전구체를 도시한다.
도 7b는 화학 방사선에 노광된 결과로서 금속 전구체가 거치는 반응을 도시한다.
도 7c는 본 개시의 실시 예들에 따른 유기금속 전구체의 실시 예들의 예를 도시한다.
도 8은 본 개시의 일부 실시 예들에 따른 포토레지스트 성막 장치를 도시한다.
도 9는 본 개시의 실시 예에 따른 화학 방사선에 대한 노광 및 가열의 결과로서 포토레지스트 층이 거치는 반응을 도시한다.
도 10a, 10b 및 10c는 본 발명의 실시 예에 따른 순차적 동작들을 도시한다.
도 11a 및 11b는 본 개시의 실시 예들에 따른 다층 포토레지스트 구조의 포토레지스트 층의 파라미터를 갖는 표를 도시한다.
도 12a, 12b 및 12c는 본 개시의 실시 예에 따른 순차적 동작들을 도시한다.
도 13a, 13b 및 13c는 본 개시의 실시 예에 따른 순차적 동작들을 도시한다.
도 14는 본 개시의 실시 예에 따른 순차적 동작의 공정 스테이지를 도시한다.
도 15a 및 15b는 본 개시의 실시 예에 따른 순차적 동작의 공정 스테이지를 도시한다.
도 16a 및 16b는 본 개시의 실시 예에 따른 순차적 동작의 공정 스테이지를 도시한다.
도 17은 본 개시의 실시 예에 따른 순차적 동작의 공정 스테이지를 도시한다.
도 18은 본 개시의 실시 예에 따른 순차적 동작의 공정 스테이지를 도시한다.
다음의 개시는 본 개시의 상이한 피처들을 구현하기 위한 많은 상이한 실시 예 또는 예를 제공한다는 것이 이해되어야 한다. 부품 및 배열의 특정 실시 예 또는 예가 본 개시를 단순화하기 위하여 아래에 기술된다. 물론 이것들은 단지 예일 뿐이며 제한하려는 것이 아니다. 예를 들어, 소자(element)의 치수는 개시된 범위 또는 값에 한정되지 않지만, 디바이스의 공정 조건 및/또는 원하는 특성에 따라 달라질 수 있다. 더욱이, 이하의 설명에서 제2 피처 위에(over) 또는 제2 피처 상에(on) 제1 피처를 형성하는 것은 제1 피처 및 제2 피처가 직접 접촉하여 형성되는 실시 예들을 포함할 수 있으며, 또한 추가 피처가 제1 피처 및 제2 피처 사이에 형성되어, 제1 피처 및 제2 피처가 직접 접촉하지 않을 수 있는 실시 예들을 포함할 수 있다. 다양한 피처가 단순 명료함을 위하여 상이한 축척으로 임의로 그려질 수 있다.
또한, "밑(beneath)", "아래(below)", "하부(lower)", "위(above)" "상부(upper)" 등과 같은 공간적으로 상대적인 용어는 도면들에 도시된 바와 같이 하나의 요소 또는 피처의 다른 요소(들) 또는 피처(들)간의 관계를 설명하기 위해 설명의 용이함을 위해 본 명세서에서 사용될 수 있다. 공간적으로 상대적인 용어는 도면에 도시된 방위뿐만 아니라 사용 중 또는 작동 중인 디바이스의 상이한 방위를 포함하도록 의도된다. 디바이스는 달리 지향될 수도 있고(90 도 회전되거나 다른 방향에서 회전될 수도 있음), 본 명세서에서 사용된 공간적으로 상대적인 기술어(descriptor)는 이에 따라 유사하게 해석될 수 있다. 또한, 용어 "만들어진(made of)"은 "포함하는(comprising)" 또는 "구성되는(consisting of)"을 의미할 수 있다.
금속 함유 포토레지스트는 극자외선 리소그래피에 사용되는데, 그 이유는 금속이 EUV 방사선의 높은 흡수 능력을 갖기 때문이다. 그러나 금속 함유 포토레지스트 층은 처리 중에 가스를 배출하여 시간이 지남에 따라 포토레지스트 층 품질이 변하고 오염을 유발하여 리소그래피 성능에 부정적인 영향을 미치고 결함을 증가시킬 수 있다.
더욱이, 특히 포토레지스트 층의 더 깊은 부분에서 포토레지스트의 불균일 한 노광은 포토레지스트의 불균일한 가교도를 초래할 수 있다. 불균일한 노광은 포토레지스트 층의 하부 부분(lower portion)에 도달하는 빛 에너지의 양이 더 낮기 때문에 발생한다. 낮은 노광 선량(exposure dose)은 선폭 거칠기를 증가시키고 임계 치수 균일성을 감소시킬 수 있다. 포토레지스트의 하부 부분에서의 낮은 노광 선량과 불균일한 노광은 선폭 거칠기(line width roughness, LWR) 불량을 초래할 수 있다. 포토레지스트 층의 하부 부분에서의 낮은 노광 선량은 직선 에지 레지스트 프로파일 대신 티-탑(T-top) 레지스트 프로파일을 초래할 수 있다. 포토레지스트 층의 하부 부분에서의 낮은 노광 선량 때문에, 포토레지스트 층의 하부 부분보다 포토레지스트 층의 상부 부분(upper portion)에서 더 큰 가교 결합이 있을 수 있다. 따라서 개발시 티-탑 레지스트 프로파일이 생성될 수 있다. 티-탑 레지스트 프로파일은 횡단면에서 볼 수 있듯이 상부 부분 아래의 레지스트의 부분보다 상부 부분에서 더 넓은 레지스트 프로파일이다. 대문자 T 모양의 프로파일은 티-탑 레지스트 프로파일의 예이다.
본 개시의 실시 예에서, 상기 문제는 기판 위에 다층 포토레지스트 구조를 형성함으로써 해결되며, 여기서 다층 포토레지스트 구조는 상이한 물리적 파라미터를 갖는 2 개 이상의 포토레지스트 층을 포함한다. 일부 실시 예에서, 다층 포토레지스트 구조는 서로의 상단에 적층된 3 개 내지 5 개의 포토레지스트 층을 포함하며, 바로 인접한 포토레지스트 층은 상이한 물리적 파라미터를 갖는다.
도 1은 본 개시의 실시 예들에 따른 반도체 디바이스를 제조하는 공정 흐름(100)을 예시한다. 도 2에 도시된 바와 같이, 일부 실시 예에서, 동작(S110)에서 패터닝될 층 또는 기판(10)의 표면 상에 포토레지스트를 코팅하여 다층 포토레지스트 구조(15)를 형성한다. 일부 실시 예에서, 다층 포토레지스트 구조(15)는 2 개의 포토레지스트 층(15a, 15b)을 포함하는 반면, 다른 실시 예에서, 다층 포토레지스트 구조(15)는 3 개, 4 개, 5 개 또는 그 이상의 포토레지스트 층을 포함한다. 그 다음, 다층 포토레지스트 구조(15)는 일부 실시 예에서 포토레지스트 조성물(composition)의 제1 가열 동작(또는 노광전 베이킹)(S120)을 거친다. 다층 포토레지스트 구조(15)는 다층 포토레지스트 구조(15)를 경화시키기에 충분한 온도 및 시간에서 가열된다. 일부 실시 예에서, 다층 포토레지스트 구조(15)는 약 10 초 내지 약 10 분 동안 약 50 ℃ 내지 약 200 ℃의 온도로 가열된다. 다른 실시 예에서, 다층 포토레지스트 구조는 약 1 분 내지 약 5 분 동안 약 100 ℃ 내지 약 150 ℃의 온도로 가열된다. 일부 실시 예에서, 가열 동작(S120)은 각각의 포토레지스트 층이 형성된 후에 수행된다. 일부 실시 예에서, 제1 가열 동작(S120)은 수행되지 않는다. 가열 동작이 개시된 범위보다 낮은 온도 또는 시간 동안이면 가교 결합이 불충분할 수 있고 포토레지스트 구조(LWR)가 감소할 수 있다. 가열 동작이 이러한 범위보다 더 큰 온도 또는 시간 동안이면 너무 많은 가교 결합이 있을 수 있고, 포토레지스트 구조(LWR)가 감소할 수 있다.
다층 포토레지스트 구조(15)는 동작(S130)에서 화학 방사선(45/97)(도 3a 및 3b 참조)에 선택적으로 노광된다. 일부 실시 예에서, 다층 포토레지스트 구조(15)는 자외선 방사선(ultraviolet radiation)에 선택적으로 노광된다. 일부 실시 예에서, 자외선 방사선은 심자외선(deep ultraviolet, DUV) 방사선이다. 일부 실시 예에서, 자외선 방사선은 극자외선(extreme ultraviolet, EUV) 방사선이다. 일부 실시 예에서, 방사선은 전자 빔이다.
도 3a에 도시된 바와 같이, 노광 방사선(45)은 일부 실시 예에서 다층 포토레지스트 구조(15)를 조사하기 전에 포토마스크(30)를 통과한다. 일부 실시 예에서, 포토마스크는 다층 포토레지스트 구조(15)에서 복제될 패턴을 갖는다. 일부 실시 예에서, 패턴은 포토마스크 기판(40) 상의 불투명 패턴(35)에 의해 형성된다. 불투명 패턴(35)은 크롬과 같은 자외선 방사선에 불투명한 물질로 형성될 수 있는 반면, 포토마스크 기판(40)은 용융 석영과 같이 자외선 방사선에 투명한 물질로 형성된다.
일부 실시 예에서, 노광 영역(50) 및 비노광 영역(52)을 형성하기 위한 다층 포토레지스트 구조(15)의 선택적 노광은 극자외선 리소그래피를 사용하여 수행된다. 극자외선 리소그래피 동작에서 반사형 포토마스크(65)는 도 3b에 도시된 바와 같이 패터닝된 노광 광을 형성하기 위해 사용된다. 반사형 포토마스크(65)는 저 열팽창 유리 기판(70)을 포함하며, 그 위에 Si 및 Mo의 반사형 다층(75)이 형성되어있다. 캐핑 층(80) 및 흡수 층(85)이 반사형 다층(75) 상에 형성된다. 후면 전도 층(90)은 저 열팽창 기판(70)의 후면 상에 형성된다. 극자외선 리소그래피에서 극자외선 방사선(95)은 약 6°의 입사각에서 반사형 포토마스크(65)를 향해 지향된다. 극자외선 방사선의 일부(97)는 Si/Mo 다층(75)에 의해 포토레지스트 코팅(photoresist-coated) 기판(10)을 향해 반사되는 반면, 흡수 층(85)에 입사하는 극자외선 방사선의 일부는 포토마스크에 의해 흡수된다. 일부 실시 예에서, 거울을 포함하는 추가 광학 기기는 반사형 포토마스크(65)와 포토레지스트 코팅 기판 사이에 있다.
일부 실시 예에서, 방사선에 대한 노광은 포토리소그래피 툴에 포토레지스트 코팅 기판을 배치함으로써 수행된다. 포토리소그래피 툴은 포토마스크(30/65), 광학 기기, 노광을 위한 방사선(45/97)을 제공하는 노광 방사선 소스, 및 노광 방사선 아래에서 기판을 지지하고 이동하기 위한 이동 가능한 스테이지를 포함한다.
일부 실시 예에서, 광학 기기(미도시)는 방사선(45/97)이 포토마스크(30/65)에 의해 패터닝된 전 또는 후에 방사선을 확장, 반사 또는 아니면 제어하기 위해 포토리소그래피 툴에서 사용된다. 일부 실시 예에서, 광학 기기는 그 경로를 따라 방사선(45/97)을 제어하기 위해 하나 이상의 렌즈, 거울, 필터 및 이들의 조합을 포함한다.
일부 실시 예에서, 방사선은 g-라인(약 436 nm의 파장), i-라인(약 365 nm의 파장), 자외선 방사선, 원자외선 방사선, 극자외선, 전자 빔 등과 같은 전자기 방사선이다. 일부 실시 예에서, 방사선 소스는 수은 증기 램프, 크세논 램프, 탄소 아크 램프, KrF 엑시머 레이저 광(파장 248 nm), ArF 엑시머 레이저 광(파장 193 nm), F2 엑시머 레이저 광(파장 157 nm) 또는 CO2 레이저 여기 Sn 플라즈마(극자외선, 파장 13.5 nm)으로 구성된 군으로부터 선택된다.
전자기 방사선의 양은 노광 시간에 걸쳐 통합된 방사 플럭스에 의해 얻어지는 플루언스(fluence) 또는 선량(dose)에 의해 특징지어질 수 있다. 적합한 방사 플루언스는 일부 실시 예에서 약 1 mJ/cm2 내지 약 150 mJ/cm2, 다른 실시 예에서 약 2 mJ/cm2 내지 약 100 mJ/cm2, 및 다른 실시 예에서 약 3 mJ/cm2 내지 약 50 mJ/cm2의 범위에 있다. 당업자는 상기 명시적 범위 내의 추가 범위의 방사선 플루언스가 고려되고 본 개시 내에 있음을 인식할 것이다.
일부 실시 예에서, 선택적 또는 패턴 방식의 노광은 주사 전자 빔에 의해 수행된다. 전자 빔 리소그래피에서 전자빔은 2 차 전자를 유도하고, 2차 전자는 조사된 물질을 수정한다. 본 명세서에 개시된 전자 빔 리소그래피 및 금속 함유 레지스트를 사용하여 고해상도를 달성할 수 있다. 전자 빔은 빔의 에너지에 의해 특징지어질 수 있으며, 적합한 에너지는 일부 실시 예에서 약 5 V 내지 약 200 kV(킬로볼트), 다른 실시 예에서 약 7.5 V 내지 약 100 kV 범위이다. 30kV에서 근접 보정된 빔 선량은 일부 실시 예에서 약 0.1 μC/cm2 내지 약 5 μC/cm2, 다른 실시 예에서 약 0.5 μC/cm2 내지 약 1 μC/cm2, 다른 실시 예에서 약 1 μC/cm2 내지 약 100 μC/cm2 범위이다. 당업자는 본 명세서의 교시에 기초하여 다른 빔 에너지에서 대응하는 선량을 계산할 수 있고, 상기 명시적 범위 내의 추가 범위의 전자 빔 특성이 고려되고 본 개시 내에 있음을 인식할 것이다.
방사선(50)에 노광된 포토레지스트 구조의 영역은 화학 반응을 거치고, 이에 따라 방사선(52)에 노광되지 않은 포토레지스트 층의 영역에 비해 후속적으로 도포되는 현상액에서의 용해도가 변경된다. 일부 실시 예에서, 방사선(50)에 노광된 포토레지스트 구조의 부분은 반응을 거쳐 노광된 부분이 현상액에 더 잘 용해되도록한다. 다른 실시 예에서, 방사선(50)에 노광된 포토레지스트 구조의 부분은 가교 결합 반응을 거쳐 노광된 부분이 현상액에 덜 용해되게 한다.
다음으로, 다층 포토레지스트 구조(15)는 일부 실시 예에서 동작(S140)에서 제2 가열(또는 노광후 베이킹)을 거친다. 일부 실시 예에서, 다층 포토레지스트 구조(15)는 포토레지스트 층의 두께 및 원하는 가교도(degree of crosslinking)에 따라 약 20 초 내지 약 10 분 동안 약 50 ℃ 내지 약 250 ℃의 온도로 가열된다. 일부 실시 예에서, 다층 포토레지스트 구조(15)는 약 1 분 내지 약 5 분 동안 약 100 ℃ 내지 약 200 ℃의 온도로 가열된다. 노광후 베이킹은 일부 실시 예에서 포토레지스트 구조의 노광된 부분(50)을 추가로 가교시키고, 이에 의해 노광 영역(50)과 비노광 영역(52) 사이의 용해도 차이를 증가시킨다. 가열 동작이 개시된 범위보다 작은 시간 또는 온도에 있다면, 가교 결합이 불충분할 수 있고 포토레지스트 구조(LWR)가 감소할 수 있다. 가열 동작이 이러한 범위보다 더 큰 시간 또는 온도에 있다면, 너무 많은 가교가 있을 수 있고 포토레지스트 구조(LWR)가 감소할 수 있다. 가교 결합이 너무 적으면, 현상 동작 중에 제거되지 않아야 하는 노광 영역의 부분이 현상 동작 동안 제거될 수 있으며, 결과 패턴은 원하는 선명도(definition)를 갖지 않는다. 가교 결합이 너무 많으면, 현상 동작 중에 제거되어야 하는 비노광 영역의 부분이 제거되지 않을 수 있으며, 결과 패턴은 원하는 선명도를 갖지 않는다.
선택적으로 노광된 포토레지스트 구조는 동작(S150)에서 후속적으로 현상된다. 일부 실시 예에서, 다층 포토레지스트 구조(15)는 용매계 현상액(57)을 선택적으로 노광된 다층 포토레지스트 구조(15)에 도포함으로써 현상된다. 도 4a에 도시된 바와 같이, 액체 현상액(57)은 디스펜서(62)로부터 다층 포토레지스트 구조(15)로 공급된다. 일부 실시 예에서, 포토레지스트 구조의 노광된 부분(50)은 화학 방사선에 대한 노광 또는 노광후 베이킹의 결과로서 가교 결합 반응을 거치고, 포토레지스트 구조(52)의 비노광 부분은 포토레지스트 구조(15)에 개구(55)의 패턴을 형성하는 현상액(57)에 의해 제거되어 도 5에 도시된 바와 같이 기판(10)을 노광한다.
일부 실시 예에서, 포토레지스트 현상액(57)은 용매 및 산 또는 염기를 포함한다. 일부 실시 예에서, 용매의 농도는 포토레지스트 현상액의 총 중량을 기준으로 약 60 wt% 내지 약 99 wt%이다. 산 또는 염기 농도는 포토레지스트 현상액의 총 중량을 기준으로 약 0.001 wt% 내지 약 20 wt%이다. 특정 실시 예에서, 현상액 중의 산 또는 염기 농도는 포토레지스트 현상액의 총 중량을 기준으로 약 0.01 wt% 내지 약 15 wt%이다.
일부 실시 예에서, 현상액(57)은 스핀-온 공정을 사용하여 다층 포토레지스트 구조(15)에 도포된다. 스핀-온 공정에서, 현상액(57)은 도 4a에 도시된 바와 같이 포토레지스트 코팅 기판이 회전되는 동안 다층 포토레지스트 구조(15) 위에서 다층 포토레지스트 구조(15)에 도포된다. 일부 실시 예에서, 현상액(57)은 약 5 ml/min 내지 약 800 ml/min의 속도로 공급되는 반면, 포토레지스트 코팅 기판(10)은 약 100 rpm 내지 약 2000 rpm의 속도로 회전된다. 일부 실시 예에서, 현상액은 약 10 ℃ 내지 약 80 ℃의 온도에 있다. 일부 실시 예에서 현상 동작은 약 30 초 내지 약 10 분 동안 계속된다.
일부 실시 예에서, 현상액(57)은 유기 용매이다. 유기 용매는 임의의 적합한 용매일 수 있다. 일부 실시 예에서, 용매는 프로필렌 글리콜 메틸 에테르 아세테이트(propylene glycol methyl ether acetate, PGMEA), 프로필렌 글리콜 모노메틸 에테르(propylene glycol monomethyl ether, PGME), 1-에톡시-2-프로판올(1-ethoxy-2-propanol, PGEE), γ-부티로락톤(γ-butyrolactone, GBL), 사이클로헥사논(cyclohexanone, CHN), 에틸 락테이트(ethyl lactate, EL), 메탄올, 에탄올, 프로판올, n-부탄올, 4-메틸-2-펜탄올, 아세톤, 메틸 에틸 케톤, 디메틸포름아미드(dimethylformamide, DMF), 이소프로판올(isopropanol, IPA), 테트라하이드로푸란(tetrahydrofuran, THF), 메틸 이소부틸 카비놀(methyl isobutyl carbinol, MIBC), n-부틸 아세테이트(n-butyl acetate, nBA), 2-헵타논(2-heptanone, MAK), 테트라하이드로푸란(tetrahydrofuran, THF) 및 디옥산으로부터 선택된 하나 이상이다,
스핀-온 동작은 노광후 다층 포토레지스트 구조(15)를 현상하기 위한 하나의 적절한 방법이지만, 이는 예시를 위한 것이며 실시 예를 제한하려는 것이 아니다. 오히려, 딥 공정, 퍼들 공정 및 스프레이-온 방법을 포함한 임의의 적절한 현상 동작이 대안적으로 사용될 수 있다. 이러한 모든 현상 동작은 실시 예의 범위 내에 포함된다.
일부 실시 예에서, 건식 현상액(105)이 도 4b에 도시된 바와 같이 선택적으로 노광된 다층 포토레지스트 구조(15)에 적용된다. 일부 실시 예에서, 건식 현상액(105)은 플라즈마 또는 화학적 증기이고, 건식 현상 동작(S150)은 플라즈마 에칭 또는 화학적 에칭 동작이다. 건식 현상은 레지스트의 원하는 부분을 선택적으로 제거하기 위해 조성물, 가교도(extent) 및 필름 밀도와 관련된 차이를 사용한다. 일부 실시 예에서, 건식 현상 공정은 BCl3, BF3 또는 기타 루이스 산과 같은 건식 현상 화학 물질을 증기 상태로 흐르게 하면서 가열된 진공 챔버에서 젠틀 플라즈마(고압, 저전력) 또는 열 공정을 사용한다. 일부 실시 예에서, BCl3는 노광되지 않은 재료를 제거하고, 플라즈마 기반 에칭 공정에 의해 하부 층으로 전사되는 노광된 필름의 패턴을 남긴다.
일부 실시 예에서, 건식 현상은 트랜스포머 결합 플라즈마(transformer coupled plasma, TCP), 유도 결합 플라즈마(inductively coupled plasma, ICP) 또는 용량 결합 플라즈마(capacitively coupled plasma, CCP)를 포함하는 플라즈마 공정을 포함한다. 일부 실시 예에서, 플라즈마 공정은 약 1 내지 약 3000 초 동안약 5 mTorr 내지 약 20 mTorr 범위의 압력, 약 250 W 내지 약 1000 W의 전력 레벨, 약 0 ℃ 내지 약 300 ℃ 범위의 온도, 약 100 내지 약 1000 sccm의 유속에서 수행된다.
일부 실시 예에서, 다층 포토레지스트 구조(15)의 개구(55)의 패턴은 패터닝될 층 또는 기판(10) 내로 연장되어 기판(10)에 개구(55')의 패턴을 생성함으로써 도 6에 도시된 바와 같이 포토레지스트 층(15)의 패턴을 기판(10) 내로 전사한다. 패턴은 하나 이상의 적합한 에칭제를 사용하여 에칭에 의해 기판 내로 연장된다. 노광된 다층 포토레지스트 구조(15)는 일부 실시 예에서 에칭 동작 동안 적어도 부분적으로 제거된다. 다른 실시 예에서, 노광된 포토레지스트 층(15)은 적절한 포토레지스트 스트리퍼 용매를 사용하여 또는 포토레지스트 애싱 동작에 의해 기판(10)을 에칭한 후에 제거된다.
일부 실시 예에서, 기판(10)은 적어도 그 표면 부분 상에 단결정 반도체 층을 포함한다. 기판(10)은 Si, Ge, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb 및 InP와 같은 단결정 반도체 물질을 포함할 수 있지만 이에 제한되지는 않는다. 일부 실시 예에서, 기판(10)은 SOI(silicon-on insulator) 기판의 실리콘 층이다. 특정 실시 예에서, 기판(10)은 결정질 Si로 만들어진다.
기판(10)은 그 표면 영역에 하나 이상의 버퍼 층(미도시)을 포함할 수 있다. 버퍼 층은 기판의 격자 상수로부터 이후에 형성된 소스/드레인 영역의 격자 상수로 점진적으로 변경하는 역할을 할 수 있다. 버퍼 층은 Si, Ge, GeSn, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb, GaN, GaP 및 InP와 같은, 그러나 이에 국한되지 않는 에피택셜 성장된 단결정 반도체 재료로 형성될 수 있다. 실시 예에서, 실리콘 저마늄(SiGe) 버퍼 층은 실리콘 기판(10) 상에 에피택셜 성장된다. SiGe 버퍼 층의 저마늄 농도는 최하부 버퍼 층의 경우 30 atomic%에서 최상부 버퍼 층의 경우 70 atomic%로 증가할 수 있다.
일부 실시 예에서, 기판(10)은 화학식 MAa를 갖는 적어도 하나의 금속, 금속 합금, 및 금속/질화물/황화물/산화물/실리사이드의 하나 이상의 층을 포함하며, 여기서 M은 금속이고 A는 N, S, Se, O, Si이고, a는 약 0.4 내지 약 2.5이다. 일부 실시 예에서, 기판(10)은 티타늄, 알루미늄, 코발트, 루테늄, 티타늄 질화물, 텅스텐 질화물, 탄탈럼 질화물 및 이들의 조합을 포함한다.
일부 실시 예에서, 기판(10)은 적어도 화학식 MAb의 실리콘 또는 금속 산화물 또는 질화물을 갖는 유전체 재료를 포함하고, 여기서 M은 금속 또는 Si이고, A는 N 또는 O이고, b는 약 0.4 내지 약 2.5의 범위이다. 일부 실시 예에서, 기판(10)은 실리콘 이산화물, 실리콘 질화물, 알루미늄 산화물, 하프늄 산화물, 란타넘 산화물 및 이들의 조합을 포함한다.
포토레지스트 층은 화학 방사선에 대한 노광에 의해 패터닝된 감광성 층이다. 일반적으로, 입사 방사선에 노광된 포토레지스트 영역의 화학적 특성은 사용되는 포토레지스트의 유형에 따라 달라진다. 포토레지스트 층은 포지티브 톤 레지스트 또는 네거티브 톤 레지스트이다. 포지티브 톤 레지스트는 UV 광과 같은 방사선에 노광될 때 현상액에 용해되는 반면, 노광되지 않은(또는 덜 노광된) 포토레지스트 영역은 현상액에서 불용성이 되는 포토레지스트 재료를 지칭한다. 반면에 네거티브 톤 레지스트는 방사선에 노광될 때 현상액에서 불용성이 되는 반면, 노광되지 않은(또는 덜 노광된) 포토레지스트 영역은 현상액에 용해되는 포토레지스트 재료를 지칭한다. 방사선에 노광될 때 불용성이 되는 네거티브 레지스트의 영역은 방사선 노광에 의해 초래되는 가교 결합 반응으로 인해 불용성이 될 수 있다.
일부 실시 예에서, 포토레지스트 층은 증기 상태로 결합된 제1 화합물 또는 제1 전구체 및 제2 화합물 또는 제2 전구체를 포함하는 포토레지스트 조성물로 만들어진다. 일부 실시 예에서, 제1 전구체 또는 제1 화합물은 도 7a에 도시된 바와 같이 화학식 MaRbXc을 갖는 유기금속이고, 여기서 M은 Sn, Bi, Sb, In 및 Te로 구성된 군으로부터 선택된 하나 이상의 금속이고; R은 치환 또는 비치환된 알킬, 알케닐 또는 카르복실레이트기이다. 일부 실시 예에서, R은 C3-C6 알킬, 알케닐 또는 카르복실레이트이다. 일부 실시 예에서, R은 프로필, 이소프로필, 부틸, 이소-부틸, sec-부틸, tert-부틸, 펜틸, 이소펜틸, sec-펜틸, tert-펜틸, 헥실, 이소-헥실, sec-펜틸 및 tert-펜틸로 이루어진 군으로부터 선택된 하나 이상이다. X는 리간드(ligand), 이온 또는 다른 모이어티(moiety)이며, 이는 제2 화합물 또는 제2 전구체와 반응하며; 일부 실시 예에서 1 ≤ a ≤ 2, b ≥ 1, c ≥ 1, 및 b + c ≤ 5이다. 일부 실시 예에서, 알킬, 알케닐 또는 카르복실레이트기는 하나 이상의 플루오로기로 치환된다. 일부 실시 예에서, 유기금속 전구체는 도 7a에 도시된 바와 같이 이량체(dimer)이고, 여기서 각각의 단량체 단위는 아민기에 의해 연결된다. 각각의 단량체는 위에서 정의된 화학식 MaRbXc을가진다.
일부 실시 예에서, R은 플루오르화되고, 예를 들어 화학식 CnFxH((2n+1)-x)을 가지고, 여기서 3 ≤ n ≤ 6이다. 일부 실시 예에서, R은 적어도 하나의 베타-수소 또는 베타-불소를 갖는다. 일부 실시 예에서, R은 i-프로필, n-프로필, t-부틸, i-부틸, n-부틸, sec-부틸, n-펜틸, i-펜틸, t-펜틸 및 sec-펜틸로 구성된 군으로부터 선택된 하나 이상이다.
일부 실시 예에서, X는 M-OH 모이어티를 생성하기 위해 제2 화합물 또는 제2 전구체에 의해 쉽게 치환된 임의의 모이어티, 예를 들어 디알킬아미노 및 모노알킬 아미노를 포함하는 아민; 알콕시; 카르복실레이트, 할로겐 및 설포네이트로 구성된 군으로부터 선택된 모이어티이다. 일부 실시 예에서, 설포네이트기는 하나 이상의 아민기로 치환된다. 일부 실시 예에서, 할라이드는 F, Cl, Br 및 I로 구성된 군으로부터 선택된 하나 이상이다. 일부 실시 예에서, 설포네이트기는 치환 또는 비치환된 C1-C3기를 포함한다.
일부 실시 예에서, 제1 유기금속 화합물 또는 제1 유기금속 전구체는 도 7b에 도시된 바와 같이 금속 코어 M+에 부착된 리간드 L을 갖는 금속 코어 M+를 포함한다. 일부 실시 예에서, 금속 코어 M+는 금속 산화물이다. 리간드 L은 일부 실시 예에서 C3-C12 지방족 또는 방향족 그룹을 포함한다. 지방족 또는 방향족 그룹은 알킬기, 알케닐기 및 페닐기를 포함하여 1-9 개의 탄소를 함유하는 고리형(cyclic) 또는 비고리형(noncyclic) 포화 펜던트기로 분지되지 않거나 분지될 수 있다. 분지된 기는 산소 또는 할로겐으로 추가로 치환될 수 있다. 일부 실시 예에서, C3-C12 지방족 또는 방향족 그룹은 헤테로고리형 그룹을 포함한다. 일부 실시 예에서, C3-C12 지방족 또는 방향족 그룹은 에테르 또는 에스테르 결합에 의해 금속에 부착된다. 일부 실시 예에서, C3-C12 지방족 또는 방향족 그룹은 아질산염 및 설포네이트 치환기를 포함한다.
도 7b는 일부 실시 예에서 화학 방사선에 노광된 결과로서 금속 전구체가 거치는 반응을 보여준다. 화학 방사선에 노광된 결과, 리간드 기 L은 금속 전구체의 금속 코어 M+로부터 분리되고 둘 이상의 금속 전구체 코어는 서로 결합한다.
일부 실시 예에서, 유기금속 전구체 또는 유기금속 화합물은 sec-헥실 트리스(디메틸아미노) 주석, t-헥실 트리스(디메틸아미노) 주석, i-헥실 트리스(디메틸아미노) 주석, n-헥실 트리스(디메틸아미노) 주석, sec-펜틸 트리스(디메틸아미노), t-펜틸 트리스(디메틸아미노) 주석, i-펜틸 트리스(디메틸아미노) 주석, n-펜틸 트리스(디메틸아미노) 주석, sec-부틸 트리스(디메틸아미노) 주석, t-부틸 트리스(디메틸아미노) 주석, i-부틸 트리스(디메틸아미노) 주석, n-부틸 트리스(디메틸아미노) 주석, sec-부틸 트리스(디메틸아미노) 주석, i-프로필(트리스) 디메틸아미노 주석, n-프로필 트리스(디에틸아미노) 주석 및 sec-헥실 트리스(t-부톡시) 주석, t-헥실 트리스(t-부톡시) 주석, i-헥실 트리스(t-부톡시) 주석, n-헥실 트리스(t-부톡시) 주석, sec-펜틸 트리스(t-부톡시) 주석, t-펜틸 트리스(t-부톡시) 주석, i-펜틸 트리스(t-부톡시) 주석, n-펜틸 트리스(t-부톡시) 주석, t-부틸 트리스(t-부톡시) 주석, i-부틸 트리스(부톡시) 주석, n-부틸 트리스(부톡시) 주석, sec-부틸 트리스(부톡시) 주석, i-프로필(트리스) 디메틸아미노 주석 및 n-프로필 트리스(부톡시) 주석을 포함하는 유사 알킬(트리스)(t-부톡시) 주석 화합물을 포함한다. 일부 실시 예에서, 유기금속 전구체 또는 유기금속 화합물은 플루오르화된다. 일부 실시 예에서, 유기금속 전구체 또는 화합물은 약 200 ℃ 미만의 비등점을 갖는다.
도 7c는 본 개시의 실시 예에 따른 유기금속 전구체의 예를 도시한다. 도 7c에서 Bz는 벤젠기를 지칭한다.
일부 실시 예에서, 제1 화합물 또는 제1 전구체는 포토레지스트 층의 기판 또는 하층(underlayer)에의 접착을 개선하기 위해 기판 표면 또는 개재 하층 상의 작용기, 예컨대 하이드록시기와 배위될 수 있는 하나 이상의 불포화 결합을 포함한다.
일부 실시 예에서, 제2 전구체 또는 제2 화합물은 물, 아민, 보란 및 포스 핀으로 구성된 군으로부터 선택된 하나 이상이다. 일부 실시 예에서, 아민은 화학식 NpHnXm을 가지며, 여기서 p가 1일 때 0 ≤ n ≤ 3, 0 ≤ m ≤ 3, n + m = 3이고, p가 2 일 때 n + m = 4이고, 각각의 X는 독립적으로 F, Cl, Br 및 I로 구성된 군에서 선택되는 할로겐이다. 일부 실시 예에서, 보란은 화학식 BpHnXm을 가지며, 여기서 p가 1일 때 0 ≤ n ≤ 3, 0 ≤ m ≤ 3, n + m = 3이고, p가 2일 때 n + m = 4이고 각각의 X가 독립적으로 F, Cl, Br 및 I로 구성된 군으로부터 선택된 할로겐이다. 일부 실시 예에서, 포스핀은 화학식 PpHnXm을 가지며, 여기서 p가 1일 때 0 ≤ n ≤ 3, 0 ≤ m ≤ 3, n + m = 3 또는 p가 2일 때 n + m = 4이며, 각각의 X는 독립적으로 F, Cl, Br 및 I로 구성된 그룹에서 선택된 할로겐이다.
일부 실시 예에서, 제2 전구체 또는 화합물은 물, 암모니아 또는 하이드라진이다. 물, 암모니아 또는 하이드라진과 유기금속 전구체 또는 화합물의 반응 생성물은 반응 생성물의 비등점을 높이고 금속 포토레지스트 물질의 방출을 방지하는 수소 결합을 형성하고, 이에 의해 금속 오염을 방지할 수 있다. 수소 결합은 또한 일부 실시 예에서 포토레지스트 층 품질에 대한 수분 효과를 방지하는 데 도움이 될 수 있다.
일부 실시 예에서, 포토레지스트 조성물을 성막하는 동작(S110)이 기상(vapor phase) 성막 동작에 의해 수행된다. 일부 실시 예에서, 기상 성막 동작은 원자 층 성막(atomic layer deposition, ALD) 또는 화학 기상 성막(chemical vapor deposition, CVD)을 포함한다. 일부 실시 예들에서, ALD는 플라즈마 강화 원자 층 성막(plasma-enhanced atomic layer deposition, PE-ALD)을 포함하고, CVD는 플라즈마 강화 화학 기상 성막(plasma-enhanced chemical vapor deposition, PE-CVD), 금속-유기 화학 기상 성막(metal-organic chemical vapor deposition, MO-CVD); 대기압 화학 기상 성막(atmospheric pressure chemical vapor deposition, AP-CVD) 및 저압 화학 기상 성막(low pressure chemical vapor deposition, LP-CVD)을 포함한다.
본 개시의 일부 실시 예에 따른 레지스트 층 성막 장치(200)가 도 8에 도시된다. 일부 실시 예에서, 성막 장치(200)는 ALD 또는 CVD 장치이다. 성막 장치(200)는 진공 챔버(205)를 포함한다. 진공 챔버(205) 내의 기판 지지 스테이지(210)는 실리콘 웨이퍼와 같은 기판(10)을 지지한다. 일부 실시 예에서, 기판 지지 스테이지(210)는 히터를 포함한다. 제1 전구체 또는 복합 가스 공급부(220) 및 캐리어/퍼지 가스 공급부(225)는 가스 라인(235)을 통해 챔버의 주입구(230)에 연결되고, 제2 전구체 또는 복합 가스 공급부(240) 및 캐리어/퍼지 가스 공급부(225)는 일부 실시 예에서 다른 가스 라인(235')을 통해 챔버의 다른 주입구(230')에 연결된다. 챔버는 배기되고, 과잉 반응물 및 반응 부산물은 배출구(250) 및 배기 라인(255)을 통해 진공 펌프(245)에 의해 제거된다. 일부 실시 예에서, 전구체 가스 및 캐리어/퍼지 가스의 유속 또는 펄스, 과잉 반응물 및 반응 부산물의 배기, 진공 챔버(205) 내부의 압력 및 진공 챔버(205) 또는 웨이퍼 지지 스테이지(210)의 온도는 이들 파라미터 각각을 제어하도록 구성된 제어기(260)에 의해 제어된다.
포토레지스트 층을 성막하는 것은 제1 화합물 또는 제1 전구체 및 제2 화합물 또는 제2 전구체를 증기 상태로 결합하여 포토레지스트 조성물을 형성하는 것을 포함한다. 일부 실시 예에서, 포토레지스트 조성물의 제1 화합물 또는 제1 전구체 및 제2 화합물 또는 제2 전구체는 주입구(230, 230')를 통해 거의 동시에 성막 챔버(205)(CVD 챔버) 내로 도입된다. 일부 실시 예에서, 제1 화합물 또는 제1 전구체 및 제2 화합물 또는 제2 전구체는 주입구(230, 230')를 통해 교대로 성막 챔버(205)(ALD 챔버) 내에 도입된다. 즉, 제1 화합물 또는 전구체 다음에, 제2 화합물 또는 전구체, 그 다음 나중에 제1 화합물 또는 전구체에 이어 제2 화합물 또는 전구체의 도입을 교대로 반복한다.
일부 실시 예에서, 성막 챔버 온도는 성막 동작 동안 약 30 ℃ 내지 약 400 ℃, 다른 실시 예들에서 약 50 ℃ 내지 약 250 ℃ 범위이다. 일부 실시 예에서, 성막 챔버의 압력은 성막 동작 동안 약 5 mTorr 내지 약 100 Torr, 다른 실시 예에서 약 100 mTorr 내지 약 10 Torr 범위이다. 일부 실시 예에서, 플라즈마 전력은 약 1000 W 미만이다. 일부 실시 예에서, 플라즈마 전력은 약 100 W 내지 약 900 W 범위이다. 일부 실시 예에서, 제1 화합물 또는 전구체 및 제2 화합물 또는 전구체의 유속은 약 100 sccm 내지 약 1000 sccm의 범위이다. 일부 실시 예에서, 유기금속 화합물 전구체 대 제2 화합물 또는 전구체의 흐름의 비는 약 1 : 1 내지 약 1 : 5 범위이다. 상기 언급된 범위를 벗어난 동작 파라미터에서, 일부 실시 예에서 만족스럽지 못한 포토레지스트 층이 초래된다. 일부 실시 예에서, 포토레지스트 층 형성이 단일 챔버에서 발생한다(원-팟(one-pot) 층 형성).
본 개시의 일부 실시 예에 따른 CVD 공정에서, 유기금속 전구체 및 제2 전구체의 별개의 주입구 경로(230, 235 및 230', 235')에 있는 2 개 이상의 가스 스트림이 CVD 장치의 성막 챔버(205)로 도입되고, 이들은 기체 상(gas phase)에서 혼합 및 반응하여 반응 생성물을 형성한다. 일부 실시 예에서 별도의 주입 주입구(230, 230') 또는 이중-플레넘 샤워헤드를 사용하여 스트림이 도입된다. 성막 장치는 유기금속 전구체 및 제2 전구체의 스트림이 챔버 내에서 혼합되어 유기금속 전구체 및 제2 전구체가 반응하여 반응 생성물을 형성하도록 구성된다. 본 개시의 메커니즘, 기능 또는 유용성을 제한하지 않고, 기상 반응으로부터의 생성물은 분자량이 더 무거워진 다음, 응축되거나 그렇지 않으면 기판(10) 상에 성막되는 것으로 믿어진다.
일부 실시 예에서, 포토레지스트 층을 성막하기 위해 ALD 공정이 사용된다. ALD 동안, 기판의 표면을 대체 기체 화합물(또는 전구체)에 노광시킴으로써 기판(10) 상에서 층이 성장된다. CVD와는 대조적으로, 전구체는 일련의 겹치지 않는 연속 펄스로서 도입된다. 이러한 각 펄스에서 전구체 분자는 자체 제한 방식으로 표면과 반응하여, 표면 상의 모든 반응 부위가 소모되면 반응이 종료된다. 결과적으로 모든 전구체(소위 ALD 사이클)에 한 번 노광된 후 표면 상에 성막되는 물질의 최대 양은 전구체-표면 상호 작용의 특성에 의해 결정된다.
ALD 공정의 실시 예에서, 유기금속 전구체를 펄스화하여 전반(first half) 반응에서 금속 함유 전구체를 기판(10) 표면에 전달한다. 일부 실시 예에서, 유기금속 전구체는 적합한 기본 종(예를 들어, 기판 표면 상의 OH 또는 NH 작용기)과 반응하여 새로운 자가 포화 표면을 형성한다. 과잉의 미사용 반응물 및 반응 부산물은 일부 실시 예에서 진공 펌프(245)를 사용한 배기 펌프 다운 및/또는 불활성 퍼지 가스의 유동에 의해 제거된다. 그 후, 암모니아(NH3)와 같은 제2 전구체가 일부 실시 예에서 성막 챔버로 펄스화된다. NH3는 기판 상의 유기금속 전구체와 반응하여 기판 표면 상의 반응 생성물 포토레지스트를 얻는다. 제2 전구체는 또한 다른 자기 제한 및 포화 후반(second half) 반응을 제공하기 위해 기본 반응성 종과 자기 포화 결합을 형성한다. 일부 실시 예에서 미사용 반응물 및 반응 부산물을 제거하기 위해 제2 퍼지가 수행된다. 제1 전구체 및 제2 전구체의 펄스는 원하는 두께의 포토레지스트 층이 달성될 때까지 개재 퍼지 동작으로 교번된다.
일부 실시 예에서, 각각의 포토레지스트 층은 약 5 nm 내지 약 50 nm의 두께로, 그리고 다른 실시 예에서 약 10 nm 내지 약 30 nm의 두께로 형성된다. 당업자는 상기 명시적인 범위 내의 추가 범위의 두께가 고려되고 본 개시 내에 있음을 인식할 것이다. 두께는 포토레지스트 층의 광학적 특성을 기반으로 하여 X 선 반사율 및/또는 타원 편광법의 비접촉 방법을 사용하여 평가될 수 있다. 일부 실시 예에서, 각각의 포토레지스트 층 두께는 처리를 용이하게 하기 위해 비교적 균일하다. 일부 실시 예에서, 성막된 포토레지스트 층의 두께의 변화는 평균 두께로부터 ± 25 % 이하만큼 변하고, 다른 실시 예에서 각각의 포토레지스트 층 두께는 평균 포토레지스트 층 두께로부터 ± 10 % 이하만큼 변한다. 더 큰 기판상의 높은 균일성 성막과 같은 일부 실시 예에서, 포토레지스트 층 균일성의 평가는 1 센티미터 에지 배제로 평가될 수 있다. 즉, 층 균일성은 에지의 1 센티미터 내의 코팅 부분에 대해 평가되지 않는다. 당업자는 상기 명시적 범위 내의 추가 범위가 고려되고 본 개시 내에 있음을 인식할 것이다.
일부 실시 예에서, 제1 및 제2 화합물 또는 전구체는 캐리어 가스와 함께 성막 챔버(205) 내로 전달된다. 캐리어 가스, 퍼지 가스, 성막 가스 또는 기타 공정 가스는 질소, 수소, 아르곤, 네온, 헬륨 또는 이들의 조합을 포함할 수 있다.
일부 실시 예에서, 유기금속 화합물은 금속 성분으로서 주석(Sn), 안티몬(Sb), 비스무트(Bi), 인듐(In) 및/또는 텔루륨(Te)를 포함하지만, 본 개시는 이들 금속에 제한되지 않는다. 다른 실시 예에서, 추가적인 적합한 금속은 티타늄(Ti), 지르코늄(Zr), 하프늄(Hf), 바나듐(V), 코발트(Co), 몰리브덴(Mo), 텅스텐(W), 알루미늄(Al), 갈륨( Ga), 실리콘(Si), 저마늄(Ge), 인(P), 비소(As), 이트륨(Y), 란타넘(La), 세륨(Ce), 루테튬(Lu) 또는 이들의 조합을 포함한다. 추가 금속은 Sn, Sb, Bi, In 및/또는 Te에 대한 대안이거나 이에 추가하는 것일 수 있다.
사용된 특정 금속은 방사선 흡수에 상당한 영향을 미칠 수 있다. 따라서 원하는 방사 및 흡수 단면적에 따라 금속 성분을 선택할 수 있다. 주석, 안티몬, 비스무트, 텔루륨 및 인듐은 13.5 nm에서 극자외선 광을 강력하게 흡수한다. 하프늄은 전자빔과 극자외선 방사선을 잘 흡수한다. 티타늄, 바나듐, 몰리브덴 또는 텅스텐을 포함하는 금속 조성물은 예를 들어 248 nm 파장의 자외선 광에 대한 감도를 제공하기 위해 더 긴 파장에서 강한 흡수를 갖는다.
도 9는 본 개시의 실시 예에 따른 화학 방사선에 대한 노광 및 가열의 결과로서 포토레지스트 조성물 성분이 거치는 반응을 도시한다. 도 9는 본 개시의 실시 예들에 따른 포토레지스트 구조 패터닝 방법의 다양한 스테이지에서 포토레지스트 구조(photoresist structure, PR)의 예시적인 화학 구조를 도시한다. 도 9에 도시된 바와 같이, 포토레지스트 조성물은 유기금속 화합물, 예를 들어 SnX2R2 및 제2 화합물, 예를 들어 암모니아(NH3)를 포함한다. 유기금속 화합물과 암모니아가 결합될 때, 유기금속 화합물은 증기 상(vapor phase)의 암모니아 일부와 반응하여 유기금속 화합물의 금속(Sn)에 부착된 아민기와 반응 생성물을 형성한다. 성막된 포토레지스트 층의 아민기는 성막된 포토레지스트 층의 비등점을 실질적으로 증가시키고 금속 함유 포토레지스트 재료의 가스 방출을 방지할 수 있는 수소 결합을 가지고 있고, 이에 의해 금속 함유 포토레지스트에서 금속에 의한 성막 챔버 및 반도체 디바이스 처리 장비의 오염을 방지한다. 또한, 아민기의 수소 결합은 수분이 포토레지스트 층 품질에 미치는 영향을 제어할 수 있다.
마스크를 사용하여 극자외선에 후속적으로 노광될 때, 유기금속 화합물은 극자외선을 흡수하고 하나 이상의 유기 R 기가 유기금속 화합물로부터 절단되어 방사선 노광 영역에서 아미노 금속 화합물을 형성한다. 그 후, 노광후 베이킹(post-exposure bake, PEB)이 수행될 때, 아미노 금속 화합물은 도 9에 도시된 바와 같이 일부 실시 예에서 아민기를 통해 가교 결합한다. 일부 실시 예에서, 아미노 금속 화합물의 부분 가교 결합은 극자외선 방사선에 노광된 결과로서 발생한다. 선택적으로 노광된 포토레지스트가 이후에 현상되고, 가교 결합된 방사선 노광 패턴은 기판 위에 남아 있는 반면, 방사선 비노광 영역은 현상 중에 제거된다.
위에서 설명한 바와 같이, 특히 포토레지스트 층의 더 깊은 부분에서 포토레지스트의 불균일한 노광은 포토레지스트의 불균일한 가교도를 초래하고, 이는 불량한 LWR(line width roughness), 티-탑 레지스트 프로파일 및/또는 포토레지스트 패턴의 현상된 영역에서 포토레지스트 스컴의 형성을 야기할 수 있다. 불균일한 포토레지스트 노광로 인한 문제를 방지하기 위해, 본 개시의 실시 예는 포토레지스트 구조(15)로서 다층 또는 등급화된 포토레지스트 층 구조를 사용한다. 성막 동작 동안 존재하는 물의 양을 조정(tune)하거나, 금속 함유 레지스트 두께를 변화시키거나, 금속 레지스트 전구체의 유속을 변화시키거나, 포토레지스트 구조 가열 조건(시간 및 온도)을 변화시킴으로써, 다층 포토레지스트 구조 또는 상이한 가교도를 갖는 등급화된 포토레지스트 층(15)이 불균일한 노광 문제를 극복하기 위해 형성될 수 있다.
도 10a, 12a 및 13a에 도시된 바와 같이, 물의 양을 포함하는 포토레지스트 층 형성 파라미터를 변화시키고, 금속 함유 레지스트 두께를 변화시키고, 금속 레지스트 전구체를 변화시키고, 포토레지스트 베이킹 조건을 변화시킴으로써, 다중 층이 형성된다. 화학 방사선에 노광되기 전 물의 양, 금속 레지스트 전구체의 양 및 포토레지스트 베이킹/가열 조건을 포함하는 층 형성 조건에 따라 포토레지스트 층에서 일부 가교 결합이 발생한다. 화학 방사선에 대한 노광 및 노광후 베이킹은 포토레지스트 층의 노광된 영역에서 가교 결합의 양을 실질적으로 증가시키고, 일부 실시 예에서 대부분의 가교 결합을 담당한다.
예를 들어, 도 10a에서, 제1 가교 밀도(crosslinking density)를 갖는 상부 층(15c)이 상부 층(15c)의 제1 가교 밀도보다 더 큰 제2 가교 밀도를 갖는 중간 층(15b) 위에 형성되고, 이는 차례로 중간 층(15b)의 제2 가교 밀도보다 더 큰 제3 가교 밀도를 갖는 하부 층(15a) 위에 형성된다. 포토레지스트의 상부 층은 하부 층보다 더 많은 방사선을 흡수한다. 도 10b에 도시된 바와 같이, EUV 광(45)이 낮은 가교 밀도 및 더 큰 에너지 흡수를 갖는 상부 층(15c), 중간 가교 밀도 및 중간 에너지 흡수를 갖는 중간 층(15b) 및 높은 가교 밀도 및 더 낮은 에너지 밀도를 갖는 하부 층(15a)을 갖는 다층 포토레지스트 구조를 통과할 때, 포토레지스트 구조의 노광된 부분의 전체 두께는 일부 실시 예에서 동일한 가교도를 가질 것이며, 이에 의해 도 10c에 도시된 바와 같이 티-탑 형상 없이 포토레지스트 패턴(55)에 개선된 LWR을 제공한다.
일부 실시 예에서, 도 10a 및 10b에 예시된 포토레지스트 구조에서 상부 포토레지스트 층(15c)은 중간 포토레지스트 층(15b)보다 더 얇고, 중간 포토레지스트 층(15b)은 하부 포토레지스트 층(15a)보다 더 얇다. 다른 실시 예에서, 도 10a 및 도 10b에 예시된 포토레지스트 구조에서, 상부 포토레지스트 층(15c)은 중간 포토레지스트 층(15b)보다 낮은 농도의 금속 레지스트 전구체를 갖고, 중간 포토레지스트 층(15b)은 상부 포토레지스트 층(15a)보다 낮은 농도의 금속 레지스트 전구체를 갖는다. 다른 실시 예에서, 상부 포토레지스트 층(15c)은 중간 포토레지스트 층(15b)보다 낮은 온도 또는 더 짧은 시간에서 노광전 베이킹되고, 중간 포토레지스트 층(15b)은 하부 포토레지스트 층(15a)보다 더 낮은 온도 또는 더 짧은 시간에서 노광전 베이킹된다. 다른 실시 예에서, 상부 포토레지스트 층(15c)의 성막 동작 동안 성막 챔버 내의 제2 화합물 또는 전구체의 양(농도)은 중간 포토레지스트 층(15b)의 성막 동작 동안의 양(농도)보다 작고, 중간 포토레지스트 층(15b)의 성막 동작 동안 성막 챔버 내의 제2 화합물 또는 전구체의 양은 하부 포토레지스트 층(15a)의 성막 동작 동안의 양보다 작다. 일부 실시 예에서, 성막 챔버 내 제2 화합물 또는 전구체의 양은 성막 챔버 내로 도입된 제2 화합물 또는 전구체의 압력을 제어하거나, 성막 챔버 내로 도입된 제2 화합물 또는 전구체의 유량을 제어하거나, 제2 전구체 또는 화합물이 성막 챔버 내로 흐르는 시간의 양을 제어함으로써 제어된다. 일부 실시 예에서, 제2 전구체 또는 화합물은 수증기이다.
일부 실시 예에서, 가교도 또는 가교 밀도는 처리 파라미터에 기초하여 결정된다. 예를 들어, 제2 화합물 또는 전구체의 더 높은 농도 또는 유속, 제2 전구체 또는 화합물이 성막 챔버 내로 흐르는 더 긴 시간, 더 높은 가열 온도 또는 성막된 레지스트 층을 가열하는 더 긴 시간은 포토레지스트 층의 더 높은 가교도 또는 더 높은 가교 밀도를 제공한다. 반대로, 제2 화합물 또는 전구체의 더 낮은 농도 또는 유속, 제2 전구체 또는 화합물이 성막 챔버 내로 흐르는 더 짧은 시간, 더 낮은 가열 온도, 또는 성막된 레지스트 층을 가열하는 더 짧은 시간 지속은 포토레지스트 층의 더 낮은 가교도 또는 더 낮은 가교 밀도를 제공한다.
일부 실시 예에서, 포토레지스트 층(15a, 15b, 15c) 각각의 두께는 약 5 nm 내지 약 50 nm 범위이다. 약 5 nm 미만의 두께에서 포토레지스트 층은 원하는대로 적절히 수행하고 에칭을 포함한 후속 처리로부터 하부 기판을 보호하기에 충분한 두께를 갖지 않는다. 약 50 nm가 넘는 두께에서는 추가적인 성능 향상이 이루어지지 않으며 반도체 제조 공정 효율성이 감소된다.
다양한 실시 예에서, 도 11a 및 11b에 도시된 바와 같이, 다층 포토레지스트 구조에서 포토레지스트 층의 배열을 얻기 위해 상이한 방법이 사용된다. 도 11a 및 11b는 본 개시의 실시 예들에 따른 다층 포토레지스트 구조의 포토레지스트 층들의 파라미터들의 표를 도시한다.
일 실시 예에서, 도 11a에 도시된 바와 같이, 도 10a의 다층 포토레지스트 구조(15)에 대응하는 높은 가교 밀도 하부 층(15a), 중간 가교 밀도 중간 층(15b) 및 낮은 가교 밀도 상부 층(15c)을 갖는 다층 포토레지스트 구조(15)가 포토레지스트 층을 형성하는 동안 성막 챔버에서 유기금속 전구체의 농도를 변화시킴으로써 형성된다. 각각의 포토레지스트 층의 두께는 거의 동일하며 성막 챔버의 수분 농도는 거의 동일하다. 포토레지스트 층을 형성하는 동안 성막 챔버의 유기금속 전구체 농도를 변화시켜 상부 층(15c)이 유기금속 전구체의 더 낮은 농도로 형성되도록 함으로써, 중간 층(15b)이 상부 층(15c)보다 더 높은 농도의 유기금속 전구체로 형성되고, 하부 층(15a)은 중간 층(15b)보다 더 높은 농도의 유기금속 전구체로 형성되고, 다양한 층의 원하는 가교 밀도가 얻어진다. 일부 실시 예에서, 다양한 층의 유기금속 전구체의 농도 및 가교도 또는 가교 밀도는 처리 파라미터에 기초하여 결정된다. 예를 들어, 제1 화합물 또는 전구체의 더 높은 농도 또는 유속 또는 제1 전구체 또는 화합물이 성막 챔버 내로 흐르는 더 긴 시간은 더 높은 유기금속 전구체 농도 및 포토레지스트 층의 더 높은 가교도 또는 더 높은 가교 밀도를 제공한다. 역으로, 제1 화합물 또는 전구체의 더 낮은 농도 또는 유속 또는 제2 전구체 또는 화합물이 성막 챔버 내로 흐르는 더 짧은 시간은 더 낮은 유기금속 전구체 농도 및 포토레지스트 층의 더 낮은 가교도 또는 더 낮은 가교 밀도를 제공한다. 포토레지스트 층의 형성 동안 성막 챔버에서 유기금속 전구체의 농도가 클수록, 포토레지스트 층 형성 동안 가교 결합을 거칠 수 있는 유기금속 전구체의 양이 더 많아진다.
다른 실시 예에서, 도 11b에 도시된 바와 같이, 도 10a의 다층 포토레지스트 구조(15)에 대응하는 높은 가교 밀도 하부 층(15a), 중간 가교 밀도 중간 층(15b) 및 낮은 가교 밀도 상부 층(15c)을 갖는 다층 포토레지스트 구조(15)가 포토레지스트 성막 챔버 내의 수분 농도를 변화시킴으로써 형성된다. 각 포토레지스트 층의 두께는 거의 동일하고, 각 포토레지스트 층의 금속 농도는 거의 동일하다. 포토레지스트 성막 챔버의 수분 농도를 변화시켜 상부 층(15c)이 더 낮은 수분 농도로 형성되고, 중간 층(15b)이 상부 층(15c)의 형성보다 더 높은 수분 농도로 형성되고, 하부 층(15a)이 중간 층(15b)의 형성보다 더 큰 농도로 형성되도록 함으로써, 다양한 층의 원하는 가교 밀도가 얻어진다.
일부 실시 예에서, 성막 챔버 내의 물의 양은 수증기 및 성막 챔버의 포토레지스트 층을 형성하기 위한 다른 반응 전구체 또는 화합물의 총 중량의 약 10 wt% 미만의 수증기 농도를 유지하도록 제어된다. 일부 실시 예에서, 수증기 농도는 물 및 반응 전구체 또는 화합물의 총량을 기준으로 약 0.1 wt% 내지 약 10 wt%의 범위이다. 일부 실시 예에서, 금속-함유 전구체 또는 화합물 대 물의 몰비는 약 1 : 0.1 내지 약 1 : 2 범위이다. 수증기 농도가 높을수록 포토레지스트 층의 가교도 또는 가교 밀도가 높아진다. 반대로, 더 낮은 수증기 농도는 포토레지스트 층의 더 낮은 가교도 또는 가교 밀도를 제공한다. 수증기 농도가 약 10 %보다 높으면, 포토레지스트 구조(LWR)가 감소한다. 수증기 농도가 약 0.1 % 미만이면 포토레지스트 구조에서 가교 결합이 불충분할 수 있다. 가교 결합이 너무 적으면 현상 동작 중에 제거되지 않아야 하는 노광 영역의 부분이 현상 동작 동안 제거될 수 있으며, 결과 패턴은 원하는 선명도를 갖지 않는다. 가교 결합이 너무 많으면 현상 동작 중에 제거되어야 하는 비노광 영역의 부분이 제거되지 않을 수 있으며, 결과 패턴은 원하는 선명도를 갖지 않는다.
일부 실시 예에서, 가교도 또는 가교 밀도는 처리 파라미터에 기초하여 결정된다. 예를 들어, 수증기의 더 높은 농도 또는 유속 또는 수증기가 성막 챔버 내로 흐르는 더 긴 시간은 포토레지스트 층의 더 높은 가교도 또는 더 높은 가교 밀도를 제공한다. 반대로, 수증기의 더 낮은 농도 또는 유속 또는 수증기가 성막 챔버 내로 흐르는 더 짧은 시간은 포토레지스트 층의 더 낮은 가교도 또는 더 낮은 가교 밀도를 제공한다.
다른 실시 예에서, 도 12a-12c에 도시된 바와 같이, H2O의 농도를 포함하는 포토레지스트 층 형성 파라미터를 변화시키고, 금속 함유 레지스트 두께를 변화시키고, 금속 레지스트 전구체를 변화시키고, 포토레지스트 베이킹 조건을 변화시킴으로써, 상부 포토레지스트 층(15c)은 가장 높은 가교 밀도를 가지며 하부 포토레지스트 층(15a)은 가장 낮은 가교 밀도를 갖는다. 상부 포토레지스트 층(15c)의 더 높은 가교 밀도는 일부 실시 예에서 가스 방출 문제를 방지한다. 다층 포토레지스트 구조(15)의 바닥에 있는 하부 포토레지스트 층(15a)의 낮은 가교 밀도는 현상액에 의해 보다 쉽게 용해/제거되고, 이에 의해 스컴 결함을 감소시킨다.
예를 들어, 도 12a에서, 제1 가교 밀도를 갖는 상부 층(15c)이 상부 층(15c)의 제1 가교 밀도보다 작은 제2 가교 밀도를 갖는 중간 층(15b) 위에 형성되고, 이는 차례로 중간 층(15b)의 제2 가교 밀도보다 작은 제3 가교 밀도를 갖는 하부 층(15a) 위에 형성된다. 포토레지스트의 상부 층은 하부 층보다 더 많은 방사선을 흡수한다. 도 12b에 도시된 바와 같이, EUV 광(45)이 높은 가교 밀도 및 더 큰 에너지 흡수를 갖는 상부 층(15c), 중간 가교 밀도 및 중간 에너지 흡수를 갖는 중간 층(15b), 및 낮은 가교 밀도 및 더 낮은 에너지 흡수를 갖는 하부 층(15a)을 갖는 다층 포토레지스트 구조를 통과할 때, 일부 실시 예에서 포토레지스트 구조의 노광된 부분의 전체 두께는 최상단 포토레지스트 층의 높은 가교도로부터 최하단 포토레지스트 층의 낮은 가교도에 이르는 가교 결합 그래디언트를 가질 것이다. 이 구조는 포토레지스트 패턴(55)에 도 12c에 도시된 바와 같이 포토레지스트 가스 방출 및 성막 챔버에서의 후속 오염을 방지하는 고밀도(higher density) 상부 층 및 하부 포토레지스트 층의 개선된 현상을 가능하게 하고 하부 포토레지스트 층에서의 포토레지스트 스컴 형성을 방지하는 저밀도(lower density) 하부 층을 제공한다. 일부 실시 예에서, 패터닝된 포토레지스트 피처는 도 12c에 도시된 바와 같이 상부 부분에서 더 높은 가교 밀도 및 하부 부분에서 더 낮은 가교 밀도로 인해 포토레지스트 패턴(50)의 하부 부분에서보다 포토레지스트 패턴(50)의 상부 부분에서 더 넓은 폭을 갖는다. 일부 실시 예에서, 원하는 레지스트 프로파일이 얻어지도록 각각의 포토레지스트 층에서의 가교도가 제어된다. 상부 및 하부 포토레지스트 층 간의 가교 결합의 차이가 너무 크다면, 패터닝된 레지스트 프로파일의 결과적인 테이퍼가 너무 클 수 있다. 일부 실시 예에서, 상부 레지스트 층과 하부 레지스트 층 사이의 중간 층은 상부 레지스트 층과 하부 레지스트 층 사이의 접착력을 향상시킨다.
일부 실시 예에서, 도 12a 및 12b에 예시된 포토레지스트 구조에서, 상부 포토레지스트 층(15c)은 중간 포토레지스트 층(15b)보다 두껍고, 중간 포토레지스트 층(15b)은 하부 포토레지스트 층(15a)보다 두껍다. 다른 실시 예에서, 도 12a 및 12b에 예시된 포토레지스트 구조에서 상부 포토레지스트 층(15c)은 중간 포토레지스트 층(15b)보다 금속 레지스트 전구체의 농도가 더 높고, 중간 포토레지스트 층(15b)은 상부 포토레지스트 층(15a)보다 금속 레지스트 전구체의 농도가 더 높다. 다른 실시 예에서, 상부 포토레지스트 층(15a)은 중간 포토레지스트 층(15b)보다 더 높은 온도 또는 더 긴 시간에서 노광전 베이킹되고, 중간 포토레지스트 층(15b)은 하부 포토레지스트 층(15a)보다 더 높은 온도 또는 더 긴 시간에서 노광전 베이킹된다. 다른 실시 예에서, 성막 챔버 내의 제2 화합물 또는 전구체의 양은 중간 포토레지스트 층(15b)의 성막 동작 동안보다 상부 포토레지스트 층(15c)의 성막 동작 동안 더 많고, 중간 포토레지스트 층(15b)의 성막 동작 동안 성막 챔버 내의 제2 화합물 또는 전구체의 양은 하부 포토레지스트 층(15a)의 성막 동작 동안보다 더 크다.
다른 실시 예에서, 도 13a-13c에 도시된 바와 같이, 다층 포토레지스트 구조(15)는 스컴을 제거하고 개선된 LWR을 제공하기 위해 상이한 가교 밀도 및 두께를 갖는 다수의 포토레지스트 층(15a, 15b, 15c, 15d, 15e)을 포함한다. 본 명세서에 개시된 바와 같이, 티-탑 형성을 해결하기 위해 포토레지스트 층 형성 파라미터를 조정하는 것은 일부 실시 예에서 스컴 결함 및 포토레지스트 가스 방출을 해결하지 못할 수 있다. 반면에, 스컴 결함 및 포토레지스트 가스 방출 문제를 해결하기 위해 본 명세서에 개시된 바와 같이 포토레지스트 층 형성 파라미터를 조정하는 것은 일부 실시 예에서 티-탑 형성을 해결하지 못할 수 있다. 따라서, 일부 실시 예에서, 개선된 LWR을 제공하면서, 스컴 및 가스 방출을 제거하기 위해 상이한 가교 밀도를 갖는 다중 포토레지스트 층이 형성되고 배열된다. 예를 들어, 일부 실시 예에서, 제1 두께 및 제1 가교 밀도를 갖는 최하단(bottom) 층(15a)이 기판(10) 위에 형성된다. 그 다음, 3 개의 중간 층(15b, 15c, 15d)이 최하단 층(15a) 위에 형성된다. 3 개의 중간 층(15b, 15c, 15d)은 도 10a-10c의 실시 예에서 형성된 3 개의 포토레지스트 층과 유사하다. 제2 가교 밀도 및 제2 두께를 갖는 상부 중간 층(15d)은 상부 중간 층(15d)의 제2 가교 밀도보다 큰 제3 가교 밀도를 갖는 두 번째 중간 층(15c) 위에 형성되고, 이는 차례로 두 번째 중간 층(15c)의 제3 가교 밀도보다 큰 제4 가교 밀도 및 제4 두께를 갖는 하부 중간 층(15b) 위에 형성된다. 제5 가교 밀도 및 제5 두께를 갖는 최상단(top) 층(15e)이 상부 중간 층(15d) 위에 형성된다.
최상단 층(15e)의 제5 가교 밀도는 최하단 층(15a)의 제1 가교 밀도, 상부 중간 층(15d)의 제2 가교 밀도 및 두 번째 중간 층(15c)의 제3 가교 밀도보다 크다. 최하단 층(15a)의 제1 가교 밀도는 두 번째 중간 층(15c)의 제3 가교 밀도, 하부 중간 층(15b)의 제4 가교 밀도 및 최상단 층(15e)의 제5 가교 밀도보다 작다. 일부 실시 예에서, 최하단 층(15a)의 제1 두께는 상부 중간 층(15d)의 제2 두께, 두 번째 중간 층(15c)의 제3 두께, 및 하부 중간 층(15b)의 제4 두께보다 작다. 일부 실시 예에서, 최상단 층(15e)의 제5 두께는 상부 중간 층(15d)의 제2 두께, 두 번째 중간 층(15c)의 제3 두께 및 하부 중간 층(15b)의 제4 두께보다 작다.
일부 실시 예에서, 최하단 층(15a)의 제1 두께 및 최상단 층(15e)의 제5 두께는 독립적으로 약 0.5 nm 내지 약 45 nm 범위이다. 일부 실시 예에서, 상부 중간 층(15d)의 제2 두께, 두 번째 중간 층(15c)의 제3 두께, 및 하부 중간 층(15b)의 제4 두께는 독립적으로 약 5 nm 내지 약 50 nm 범위이다.
본 개시의 일부 실시 예에서, 별개의 개별 포토레지스트 층을 형성하기보다는, 포토레지스트 구조(15)가 하나의 연속 층으로서 형성되며, 여기서 포토레지스트 성막 파라미터는 포토레지스트 층 성막 동안 변화되어 그래디언트 가교 밀도를 갖는 포토레지스트 층을 포토레지스트 층의 두께에 걸쳐 생성한다. 일부 실시 예에서, 포토레지스트의 가교 밀도는 포토레지스트 층(15)/기판(10) 계면에서의 최대 가교 밀도로부터 포토레지스트 층(15)의 상부 표면에서의 최소 가교 밀도까지 연속적으로 변한다. 다른 실시 예에서, 포토레지스트의 가교 밀도는 포토레지스트 층(15)/기판(10) 계면에서의 최소 가교 밀도로부터 포토레지스트 층(15)의 상부 표면에서의 최대 가교 밀도까지 연속적으로 변한다.
도 13b에 도시된 바와 같이, 다층 포토레지스트 구조(15)는 EUV 광(45)에 패턴 방식으로 노광된다. 최상단 포토레지스트 층(15e)의 높은 가교 밀도는 포토레지스트 다층 구조(15)의 가스 방출 및 반도체 디바이스 처리 챔버 및 툴의 후속 오염을 감소시키거나 방지한다. 최하단 포토레지스트 층(15a)의 낮은 가교 밀도는 도 13c에 도시된 바와 같이 스컴 결함을 감소시키거나 방지한다. 또한, 도 13c에 도시된 바와 같이, 3 개의 중간 층(15b, 15c, 15d)은 하부 중간 층부터 위로 순서대로 배열되어 감소하는 가교 밀도를 가지며, 이는 도 10a-10c의 실시 예와 유사한 개선된 LWR을 얻기 위해 화학 방사선에 노광된 후 거의 동일한 가교도를 제공한다.
일부 실시 예에서, 패터닝될 층(60)은 도 14에 도시된 바와 같이 다층 포토레지스트 구조(15)를 형성하기 전에 기판 위에 배치된다. 일부 실시 예에서, 패터닝될 층(60)은 금속화 층 또는 금속화 층 위에 배치된 패시베이션 층과 같은 유전체 층이다. 패터닝될 층(60)이 금속화 층인 실시 예에서, 패터닝될 층(60)은 금속화 공정 및 화학 기상 성막, 원자 층 성막 및 물리적 기상 성막(스퍼터링)을 포함한 금속 성막 기법을 사용하여 전도성 재료로 형성된다. 마찬가지로, 패터닝될 층(60)이 유전체 층인 경우, 패터닝될 층(60)은 열 산화, 화학 기상 성막, 원자 층 성막 및 물리적 기상 성막을 포함하는 유전체 층 형성 기법에 의해 형성된다.
다층 포토레지스트 구조(15)는 후속적으로 화학 방사선(45)에 선택적으로 노광되어 도 15a 및 15b에 도시된 바와 같이, 그리고 도 3a 및 3b와 관련하여 본 명세서에 기술된 바와 같이, 다층 포토레지스트 구조에 노광 영역(50) 및 비노광 영역(52)을 형성한다. 본 명세서에 설명된 바와 같이, 포토레지스트 층(15a, 15b)은 일부 실시 예에서 네거티브-톤 포토레지스트이다.
비노광 포토레지스트 영역(52)은 도 16a에 도시된 바와 같이 디스펜서(62)로부터 현상액(57)를 분배함으로써 또는 도 16b에 도시된 바와 같이, 건식 현상 동작에 의해 현상되어, 도 17에 도시된 바와 같이 포토레지스트 패턴(55)을 형성한다. 현상 동작은 도 4a, 4b 및 5를 참조하여 여기에 설명된 것과 유사하다.
그 다음, 도 18에 도시된 바와 같이, 포토레지스트 층(15)의 패턴(55)은 에칭 동작을 이용하여 패터닝될 층(60)으로 전사되고, 도 6을 참조하여 설명된 바와 같이 포토레지스트 층은 제거되어, 패터닝될 층(60)에 패턴(55")을 형성한다.
다른 실시 예는 전술한 동작 전, 도중 또는 후에 다른 동작을 포함한다. 일부 실시 예에서, 개시된 방법은 핀 전계 효과 트랜지스터(fin field effect transistor, FinFET) 구조를 형성하는 것을 포함한다. 일부 실시 예에서, 복수의 활성 핀(active fin)이 반도체 기판 상에 형성된다. 그러한 실시 예는 기판에 트렌치를 형성하기 위해 패터닝된 하드 마스크의 개구를 통해 기판을 에칭하는 단계; 트렌치를 유전체 재료로 채우는 단계; CMP(Chemical Mechanical Polishing) 공정을 수행하여 STI(Shallow Trench Isolation) 피처를 형성하는 단계; 및 핀형 활성 영역을 형성하기 위해 STI 피처를 에피택시 성장 또는 리세싱하는 단계를 더 포함한다. 일부 실시 예에서, 하나 이상의 게이트 전극이 기판 상에 형성된다. 일부 실시 예는 게이트 스페이서, 도핑된 소스/드레인 영역, 게이트/소스/드레인 피처를 위한 컨택트 등을 형성하는 것을 포함한다. 다른 실시 예에서, 타겟 패턴은 다층 상호 접속 구조에서 금속 라인으로서 형성된다. 예를 들어, 금속 라인은 다수의 트렌치를 형성하기 위해 에칭된 기판의 층간 유전체(inter-layer dielectric, ILD) 층에 형성될 수 있다. 트렌치는 금속과 같은 전도성 재료로 채워질 수 있고; 전도성 재료는 화학적 기계적 평탄화(chemical mechanical planarization, CMP)와 같은 공정을 사용하여 연마되어 패터닝된 ILD 층을 노광시키고, 이에 의해 ILD 층에 금속 라인을 형성할 수 있다. 상기는 본 명세서에 기술된 방법을 사용하여 제조 및/또는 개선될 수 있는 디바이스/구조의 비 제한적인 예이다.
일부 실시 예에서, 본 개시의 실시 예에 따라 다이오드, 전계 효과 트랜지스터(field-effect transistor, FET), 금속 산화물 반도체 전계 효과 트랜지스터(metal-oxide semiconductor field effect transistor, MOSFET), 상보성 금속 산화물 반도체(complementary metal-oxide semiconductor, CMOS) 트랜지스터, 바이폴라 트랜지스터, 고전압 트랜지스터, 고주파 트랜지스터, FinFET, 기타 3 차원(3D) FET, 금속 산화물 반도체 전계 효과 트랜지스터(metal-oxide semiconductor field effect transistor, MOSFET), 상보 금속 산화물 반도체(complementary metal-oxide semiconductor, CMOS) 트랜지스터, 바이폴라 트랜지스터, 고전압 트랜지스터, 고주파 트랜지스터, 기타 메모리 셀 및 이들의 조합이 형성된다.
물과 같은 제2 화합물 또는 전구체의 양을 조정하고, 금속 함유 포토레지스트 두께, 금속 함유 포토레지스트 전구체 및 포토레지스트 베이킹 조건의 시간 및 온도를 변경함으로써, 상이한 가교도를 가진 병합된 다층 포토레지스트 구조가 불균일한 노광 문제를 극복하기 위해 형성된다. 본 개시의 포토레지스트 층 구조 및 패터닝 방법은 티-탑 레지스트 패턴 프로파일을 방지하고, 스컴 결함을 감소시키며, 반도체 제조 공정 툴의 가스 방출 및 후속 오염을 방지한다. 본 개시의 실시 예는 개선된 선폭 거칠기와 포토레지스트의 노광 부분과 비노광 부분 사이의 개선된 구별을 제공한다. 본 개시의 실시 예는 증가된 반도체 디바이스 수율을 제공한다.
본 개시의 실시 예는 반도체 디바이스 제조 방법이고, 기판 위에 금속 함유 포토레지스트를 포함하는 다층 포토레지스트 구조를 형성하는 것을 포함한다. 다층 포토레지스트 구조는 상이한 물리적 파라미터를 가진 둘 이상의 금속 함유 포토레지스트 층을 포함한다. 금속 함유 포토레지스트는 제1 전구체 및 제2 전구체의 반응 생성물이고, 다층 포토레지스트 구조의 각각의 층은 상이한 포토레지스트 층 형성 파라미터를 사용하여 형성된다. 상이한 포토레지스트 층 형성 파라미터는 제1 전구체, 제1 전구체의 양, 제2 전구체, 제2 전구체의 양, 각각의 포토레지스트 층 형성 동작의 시간 길이 및 포토레지스트 층의 가열 조건으로 구성된 군으로부터 선택된 하나 이상이다. 다층 포토레지스트 구조는 화학 방사선에 선택적으로 노광되어 잠재 패턴(latent pattern)을 형성하고, 잠재 패턴은 선택적으로 노광된 다층 포토레지스트 구조에 현상액을 도포함으로써 현상되어, 패턴을 형성한다. 실시 예에서, 화학 방사선은 극자외선 방사선이다. 실시 예에서, 방법은 다층 포토레지스트 구조를 화학 방사선에 선택적으로 노광시켜 잠재 패턴을 형성한 후, 잠재 패턴을 현상하기 전에, 다층 포토레지스트 구조를 50 ℃ 내지 250 ℃ 범위의 온도에서 노광후 가열하는 단계를 포함한다. 실시 예에서, 방법은 각 층을 형성한 후 50 ℃ 내지 200 ℃ 범위의 온도에서 각각의 포토레지스트 층을 가열하는 단계를 포함한다. 실시 예에서, 포토레지스트 층의 상이한 물리적 파라미터는 상이한 포토레지스트 층 가교 밀도 또는 상이한 포토레지스트 층 두께를 포함한다. 실시 예에서, 다층 포토레지스트 구조는 화학 기상 성막(CVD) 또는 원자 층 성막(ALD)에 의해 형성된다. 실시 예에서, 다층 포토레지스트 구조는 기판 위에 놓인 제1 포토레지스트 층, 및 제1 포토레지스트 층 위에 놓인 제2 포토레지스트 층을 포함하고, 포토레지스트 층은 제1 전구체 및 제2 전구체의 반응 생성물이고, 제1 포토레지스트 층은 제1 전구체 및 제1 농도를 갖는 제2 전구체를 결합함으로써 형성되고, 제2 포토레지스트 층은 제1 전구체 및 제2 농도를 갖는 제2 전구체를 결합함으로써 형성되며, 여기서 제2 전구체의 제1 및 제2 농도는 상이하다. 실시 예에서, 방법은 제2 포토레지스트 층 위에 제3 포토레지스트 층을 형성하는 단계를 포함하며, 여기서 제3 포토레지스트 층은 제1 전구체 및 제3 농도를 갖는 제2 전구체를 결합함으로써 형성되고, 제3 농도는 제1 및 제2 농도와 상이하다. 실시 예에서, 기판 위에 다층 포토레지스트 구조를 형성하는 동안 존재하는 H2O의 양은 상이한 물리적 파라미터를 갖는 2 개 이상의 포토레지스트 층을 제공하도록 변경된다. 실시 예에서, 2 개 이상의 포토레지스트 층은 상이한 두께를 갖는다. 실시 예에서, 방법은 2 개 이상의 포토레지스트 층의 각각의 포토레지스트 층이 형성된 후에 가열 동작을 수행하는 단계를 포함한다.
본 개시의 다른 실시 예는 기판 위에 제1 물리적 파라미터를 갖는 제1 레지스트 층을 형성하는 단계, 및 제1 레지스트 층 위에 제2 물리적 파라미터를 갖는 제2 레지스트 층을 형성하는 단계를 포함하는, 패턴 형성 방법이다. 제1 및 제2 물리적 파라미터는 상이하다. 제1 및 제2 레지스트 층은 패턴 방식으로 가교 결합되고, 가교 결합되지 않은 제1 및 제2 레지스트 층의 부분은 제거되어 제1 및 제2 레지스트 층에 패턴을 형성한다. 제1 및 제2 레지스트 층은 제1 금속 함유 전구체 및 제2 전구체의 반응 생성물이다. 제1 레지스트 층 및 제2 레지스트 층은 상이한 레지스트 층 형성 파라미터를 사용하여 형성된다. 상이한 레지스트 층 형성 파라미터는 제1 금속 함유 전구체, 제1 금속 함유 전구체의 양, 제2 전구체, 제2 전구체의 양, 각각의 레지스트 층 형성 동작의 시간 길이, 레지스트 층의 가열 조건으로 구성된 군으로부터 선택된 하나 이상이다. 실시 예에서, 방법은 제2 레지스트 층 위에 제3 물리적 파라미터를 갖는 제3 레지스트 층을 형성하는 단계를 포함하며, 여기서 제3 물리적 파라미터는 제1 및 제2 물리적 파라미터와 상이하다. 실시 예에서, 제1 및 제2 물리적 파라미터는 제1 및 제2 레지스트 층의 가교 밀도이다. 실시 예에서, 제1 및 제2 물리적 파라미터는 제1 및 제2 레지스트 층의 두께이다. 실시 예에서, 방법은 각각의 레지스트 층을 형성한 후에 제1 및 제2 레지스트 층을 가열하는 단계를 포함한다. 실시 예에서, 가교 결합되지 않은 제1 및 제2 레지스트 층의 부분은 건식 에칭 동작에 의해 제거된다. 실시 예에서, 제1 및 제2 레지스트 층은 원자 층 성막(ALD) 또는 화학 기상 성막(CVD)에 의해 형성된다. 실시 예에서, 제1 및 제2 레지스트 층을 패턴 방식으로 가교 결합하는 것은 제1 및 제2 레지스트 층을 화학 방사선에 패턴 방식으로 노광하는 것, 및 패턴 방식 노광후에 제1 및 제2 레지스트 층을 가열하는 것을 포함한다. 실시 예에서, 화학 방사선은 극자외선 방사선 또는 전자 빔을 포함한다. 실시 예에서, 제1 및 제2 레지스트 층을 가열하는 것은 50 ℃ 내지 250 ℃ 범위의 온도에 있다.
본 개시의 다른 실시 예는 제1 성막 파라미터에서 제1 기상 성막 동작에서 제1 포토레지스트 조성물을 성막함으로써 기판 위에 제1 포토레지스트 층을 형성하는 단계 및 제2 성막 파라미터에서 제2 기상 성막 동작에서 제2 포토레지스트 조성물을 성막함으로써 제1 포토레지스트 층 위에 제2 포토레지스트 층을 형성하는 단계를 포함하는 패턴 형성 방법이다. 제1 성막 파라미터는 제2 성막 파라미터와 상이하다. 제1 성막 파라미터 및 제2 성막 파라미터는 제1 포토레지스트 조성물의 양, 제2 조성물의 양, 각각의 포토레지스트 층 형성 동작의 시간 길이, 압력, 증기 유속, 및 포토레지스트 층의 가열 조건으로 구성되는 군으로부터 선택된 하나 이상이다. 제1 및 제2 포토레지스트 층은 화학 방사선에 선택적으로 노광되어, 잠재 패턴을 형성한다. 잠재 패턴은 선택적으로 노광된 제1 및 제2 포토레지스트 층에 현상액을 도포함으로써 현상되어, 패턴을 형성한다. 실시 예에서, 제1 및 제2 기상 성막 동작은 원자 층 성막(ALD) 또는 화학 기상 성막(CVD)이다. 실시 예에서, 방법은 제3 성막 파라미터에서 제3 기상 성막 동작에 의해 제2 포토레지스트 층 위에 제3 포토레지스트 층을 형성하는 단계를 포함하며, 여기서 제3 성막 파라미터는 제1 및 제2 성막 파라미터와 상이하다. 실시 예에서, 제3 성막 파라미터의 양은 제1 성막 파라미터의 양과 제2 성막 파라미터의 양 사이에 있다. 실시 예에서, 화학 방사선은 극자외선 방사선 또는 전자 빔이다. 실시 예에서, 방법은 제1 및 제2 포토레지스트 층을 화학 방사선에 선택적으로 노광시켜 잠재 패턴을 형성한 후, 잠재 패턴을 현상하기 전에, 제1 및 제2 포토레지스트 층을 50 ℃ 내지 250 ℃ 범위의 온도에서 노광후 가열하는 단계를 포함한다. 실시 예에서, 제1 및 제2 포토레지스트 층은 금속 함유 포토레지스트 조성물을 포함한다. 실시 예에서, 제1 및 제2 포토레지스트 층은 상이한 두께를 갖는다. 실시 예에서, 제1 및 제2 포토레지스트 층은 상이한 가교 밀도를 갖는다.
본 개시의 다른 실시 예는 성막 챔버에서 제1 수증기 농도에서 기상 성막 동작에 의해 기판 위에 제1 포토레지스트 층을 형성하는 반도체 디바이스 제조 방법이다. 제2 포토레지스트 층은 성막 챔버에서 제2 수증기 농도에서 제2 기상 성막 동작에 의해 제1 포토레지스트 층 위에 형성된다. 제1 수증기 농도와 제2 수증기 농도는 상이하다. 제1 및 제2 포토레지스트 층은 화학 방사선에 선택적으로 노광되어 잠재 패턴을 형성한다. 잠재 패턴은 선택적으로 노광된 제1 및 제2 포토레지스트 층에 현상액을 도포함으로써 현상되어 패턴을 형성한다. 실시 예에서, 제2 수증기 농도는 제1 수증기 농도보다 크다. 실시 예에서, 제1 수증기 농도는 제2 수증기 농도보다 크다. 실시 예에서, 방법은 제3 수증기 농도에서 제3 기상 성막 동작에 의해 제2 포토레지스트 층 위에 제3 포토레지스트 층을 형성하는 단계를 포함하며, 여기서 제3 수증기 농도는 제1 수증기 농도 및 제2 수증기 농도와는 상이하다. 실시 예에서, 제2 수증기 농도는 제1 수증기 농도보다 크고, 제3 수증기 농도는 제2 수증기 농도보다 크다. 실시 예에서, 제1 수증기 농도는 제2 수증기 농도보다 크고, 제2 수증기 농도는 제3 수증기 농도보다 크다. 실시 예에서, 성막 챔버는 원자 층 성막 챔버 또는 화학 기상 성막 챔버이다. 실시 예에서, 현상액은 플라즈마 에칭제이다. 실시 예에서, 방법은 잠재 패턴을 현상한 후 기판의 노광된 부분을 에칭하는 단계를 포함한다.
본 개시의 또 다른 실시 예는 반도체 디바이스 제조 방법이고, 유기금속 전구체 및 제2 전구체의 반응 생성물을 기판 위에 성막하여 기판 위에 제2 전구체의 제1 농도를 갖는 제1 레지스트 층을 형성하는 단계를 포함한다. 유기금속 전구체와 제2 전구체의 반응 생성물이 제1 레지스트 층 위에 성막되어 제2 농도의 제2 전구체를 갖는 제2 레지스트 층을 형성한다. 제2 농도는 제1 농도와 상이하다. 유기금속 전구체는 각각 독립적으로 화학식 MaRbXc을 갖고, 여기서 M은 Sn, Bi, Sb, In 및 Te로 구성된 군으로부터 선택된 하나 이상이고; R은 치환 또는 비치환된 알킬, 알케닐 또는 카르복실레이트기이고; X는 할라이드 또는 설포네이트기이고; 1 ≤ a ≤ 2, b ≥ 1, c ≥ 1 및 b + c ≤ 5이다. 제2 전구체는 물, 아민, 보란 및 포스 핀으로 구성된 군으로부터 각각 독립적으로 선택된다. 제1 및 제2 레지스트 층은 패턴 방식으로 가교 결합되어 제1 및 제2 레지스트 층에 잠재 패턴을 형성한다. 잠재 패턴은 현상액을 패턴 방식으로 가교 결합된 제1 및 제2 레지스트 층에 도포함으로써 현상되어, 기판의 부분을 노광하는 패턴을 형성한다. 실시 예에서, 제2 농도는 제1 농도보다 크다. 실시 예에서, 제1 농도는 제2 농도보다 크다. 실시 예에서, 방법은 제2 레지스트 층 위에 제3 농도의 제2 전구체를 갖는 제3 레지스트 층을 형성하기 위해 패턴 방식의 가교 결합 전에 제2 레지스트 층 위에 유기금속 전구체 및 제2 전구체의 반응 생성물을 성막하는 단계를 포함하고, 제3 농도는 제1 농도 및 제2 농도와 상이하다. 실시 예에서, 제2 농도는 제1 농도보다 크고, 제3 농도는 제1 농도보다 크다. 실시 예에서, 제1 농도는 제2 농도보다 크고, 제2 농도는 제3 농도보다 크다. 실시 예에서, 제1, 제2 및 제3 레지스트 층은 동일한 유기금속 전구체로 형성된다. 실시 예에서, 제1, 제2 및 제3 레지스트 층은 동일한 제2 전구체로 형성된다. 실시 예에서, 레지스트 층을 패턴 방식으로 가교 결합하는 것은 제1 및 제2 레지스트 층을 극자외선 방사선에 패턴 방식으로 노광하는 것, 및 패턴 방식으로 노광된 제1 및 제2 레지스트 층을 가열하는 것을 포함한다. 실시 예에서, 가열은 50 ℃ 내지 250 ℃ 범위의 온도에서 수행된다. 실시 예에서, 아민은 암모니아 또는 하이드라진이다. 실시 예에서, 아민, 보란 또는 포스핀은 할라이드 치환기를 포함한다.
또 다른 실시 예는 기판 위에 제1 농도의 제2 전구체를 갖는 제1 포토레지스트 층을 형성하기 위하여, 기판 위에 유기금속 전구체와 제2 전구체의 반응 생성물을 기상 성막 동작에 의해 성막하는 단계를 포함하는 반도체 디바이스의 제조 방법이다. 유기금속 전구체와 제2 전구체의 반응 생성물은 기상 성막 동작에 의해 제1 포토레지스트 층 위에 성막되어, 제1 포토레지스트 층 위에 제2 농도의 제2 전구체를 갖는 제2 포토레지스트 층을 형성한다. 제2 농도는 제1 농도와 상이하다. 유기금속 전구체는 각각 독립적으로 화학식 MaRbXc을 갖고, 여기서 M은 Sn, Bi, Sb, In 및 Te로 구성된 군으로부터 선택된 하나 이상이고; R은 치환 또는 비치환된 알킬, 알케닐 또는 카르복실레이트기이고; X는 할라이드 또는 설포네이트기이고; 1 ≤ a ≤ 2, b ≥ 1, c ≥ 1 및 b + c ≤ 5이다. 제2 전구체는 물, 아민, 보란 및 포스핀으로 구성된 군으로부터 각각 독립적으로 선택된다. 제1 및 제2 포토레지스트 층은 화학 방사선에 선택적으로 노광되어 제1 및 제2 포토레지스트 층에 잠재 패턴을 형성한다. 잠재 패턴은 선택적으로 노광된 제1 및 제2 레지스트 층에 현상액을 도포함으로써 현상되어, 기판의 부분을 노광하는 패턴을 형성한다. 실시 예에서, 방법은 제1 및 제2 포토레지스트 층을 선택적으로 노광하기 전에 제3 농도의 제2 전구체를 갖는 제3 포토레지스트 층을 형성하기 위해 제2 포토레지스트 층 위에 유기금속 전구체 및 제2 전구체의 반응 생성물을 기상 성막 동작에 의해 성막하는 단계를 포함하고, 여기서 제3 농도는 제1 및 제2 농도와 상이하다. 실시 예에서, 방법은 선택적으로 노광시킨 후, 잠재 패턴을 현상하기 전에 50 ℃ 내지 250 ℃ 범위의 온도에서 제1, 제2 및 제3 포토레지스트 층을 가열하는 단계를 포함한다. 실시 예에서, 기상 성막 동작은 화학 기상 성막 또는 원자 층 성막으로 구성된 군으로부터 선택된다. 실시 예에서, 방법은 제1, 제2 및 제3 포토레지스트 층 각각을 형성한 후 그리고 선택적으로 노광하기 전에 50 ℃ 내지 200 ℃ 범위의 온도에서 제1, 제2 및 제3 포토레지스트 층을 가열하는 단계를 포함한다. 실시 예에서, 제1, 제2 및 제3 포토레지스트 층은 상이한 두께를 갖는다. 실시 예에서, X는 하나 이상의 아민 기로 치환된 설포네이트기이다. 실시 예에서, 알킬, 알케닐 또는 카르복실레이트기는 하나 이상의 플루오로기로 치환된다. 실시 예에서, 아민, 보란 또는 포스핀은 할라이드 치환기를 포함한다. 실시 예에서, 화학 방사선은 극자외선 방사선 또는 전자 빔이다.
본 개시의 다른 실시 예는 원자 층 성막(ALD) 또는 화학 기상 성막(CVD)을 통해 기판 위에 제1 포토레지스트 층을 성막하고, ALD 또는 CVD를 통해 제1 포토레지스트 층 위에 제2 포토레지스트 층을 성막하는 단계를 포함하는 반도체 디바이스 제조 방법이다. 제1 포토레지스트 층은 제1 화합물 및 제2 화합물의 제1 반응 생성물을 포함하는 제1 포토레지스트 조성물을 포함하고, 제2 포토레지스트 층은 제1 화합물 및 제2 화합물의 제2 반응 생성물을 포함하는 제2 포토레지스트 조성물을 포함한다. 제1 화합물은 t-부틸 트리스(디메틸아미노) 주석, i-부틸 트리스(디메틸아미노) 주석, n-부틸 트리스(디메틸아미노) 주석, sec-부틸 트리스(디메틸아미노) 주석, i-프로필(트리스) 디메틸아미노 주석, n-프로필 트리스(디에틸아미노) 주석, t-부틸 트리스(t-부톡시) 주석, i-부틸 트리스(부톡시) 주석, n-부틸 트리스(부톡시) 주석, sec-부틸 트리스(부톡시) 주석, i-프로필(트리스) 디메틸아미노 주석 및 n-프로필 트리스(부톡시) 주석으로 구성된 군으로부터 독립적으로 선택된다. 제2 화합물은 물, 아민, 보란 및 포스핀으로 구성된 군으로부터 독립적으로 선택된다. 제1 포토레지스트 조성물 내의 제2 화합물의 농도는 제2 포토레지스트 조성물 내의 제2 화합물의 농도와 상이하다. 제1 및 제2 포토레지스트 층은 화학 방사선에 선택적으로 노광되어 잠재 패턴을 형성한다. 잠재 패턴은 선택적으로 노광된 제1 및 제2 포토레지스트 층에 현상액을 도포함으로써 현상되어 기판의 부분을 노광하는 패턴을 형성한다. 실시 예에서, 방법은 현상에 의해 노광된 기판의 부분을 제거하는 단계를 포함한다. 실시 예에서, 현상에 의해 기판의 부분을 제거하는 것은 기판을 에칭하는 것을 포함한다. 실시 예에서, 화학 방사선은 극자외선 방사선 또는 전자 빔이다. 실시 예에서, 방법은 제1 및 제2 포토레지스트 층을 화학 방사선에 선택적으로 노광시켜 잠재 패턴을 형성한 후, 잠재 패턴을 현상하기 전에, 50 ℃ 내지 250 ℃ 범위의 온도에서 제1 및 제2 포토레지스트 층을 가열하는 단계를 포함한다. 실시 예에서, 제1 화합물은 하나 이상의 플루오로기로 치환된다. 실시 예에서, 제1 포토레지스트 조성물 내의 제1 화합물은 제2 포토레지스트 조성물 내의 제1 화합물과 동일하다. 실시 예에서, 제1 포토레지스트 조성물 내의 제2 화합물은 제2 포토레지스트 조성물 내의 제2 화합물과 동일하다. 실시 예에서, 방법은 ALD 또는 CVD를 통해 제2 포토레지스트 층 위에 제3 포토레지스트 층을 성막하는 단계를 포함하고, 여기서 제3 포토레지스트 층은 제1 화합물 및 제2 화합물의 제3 반응 생성물을 포함하는 제3 포토레지스트 조성물을 포함하고, 제3 포토레지스트 조성물 내의 제2 화합물의 농도는 제2 포토레지스트 조성물 내의 제2 화합물의 농도보다 크고, 제2 포토레지스트 조성물 내의 제2 화합물의 농도는 제1 포토레지스트 조성물 내의 제2 화합물의 농도보다 크다. 실시 예에서, 방법은 ALD 또는 CVD를 통해 제2 포토레지스트 층 위에 제3 포토레지스트 층을 성막하는 단계를 포함하고, 여기서 제3 포토레지스트 층은 제1 화합물 및 제2 화합물의 제3 반응 생성물을 포함하는 제3 포토레지스트 조성물을 포함하고, 제3 포토레지스트 조성물 내의 제2 화합물의 농도는 제2 포토레지스트 조성물 내의 제2 화합물의 농도보다 작고, 제2 포토레지스트 조성물 내의 제2 화합물의 농도는 제1 포토레지스트 조성물 내의 제2 화합물의 농도보다 작다. 실시 예에서, 아민은 암모니아 또는 하이드라진이다. 일 실시 예에서, 아민, 보란 또는 포스핀은 할라이드 치환기를 포함한다.
본 개시의 다른 실시 예는 기판 위에 다층 레지스트 구조를 형성하는 단계를 포함하는 반도체 디바이스를 제조하는 방법이며, 여기서 다층 레지스트 구조는 2 개 이상의 레지스트 층을 포함한다. 2 개 이상의 레지스트 층 각각은 유기금속 화합물과 물, 아민, 보란 및 포스핀으로 구성된 군으로부터 선택된 화합물의 반응 생성물을 포함한다. 2 개 이상의 레지스트 층 각각은 상이한 물리적 파라미터를 가진다. 다층 레지스트 구조는 패턴 방식으로 가교 결합되어 다층 레지스트 구조에 잠재 패턴을 형성한다. 잠재 패턴은 현상액을 패턴 방식으로 가교 결합된 다층 레지스트 구조 층에 도포함으로써 현상되어, 다층 레지스트 구조에 패턴을 형성한다. 실시 예에서, 다층 레지스트 구조를 패턴 방식으로 가교 결합하는 것은 2 개 이상의 레지스트 층을 화학 방사선에 패턴 방식으로 노광하는 것, 및 패턴 방식 노광 후에 2 개 이상의 레지스트 층을 가열하는 것을 포함한다. 실시 예에서, 화학 방사선은 극자외선 방사선 또는 전자 빔을 포함한다. 실시 예에서, 2 개 이상의 레지스트 층을 가열하는 것은 50 ℃ 내지 250 ℃ 범위의 온도에서 2 개 이상의 레지스트 층을 가열하는 것을 포함한다. 실시 예에서, 물리적 파라미터는 2 개 이상의 레지스트 층의 가교 밀도이다. 실시 예에서, 물리적 파라미터는 2 개 이상의 레지스트 층의 두께이다. 실시 예에서, 유기금속 화합물은 화학식 MaRbXc을 갖고, 여기서 M은 Sn, Bi, Sb, In 및 Te로 이루어진 군으로부터 선택된 하나 이상이고; R은 치환 또는 비치환된 알킬, 알케닐 또는 카르복실레이트기이고; X는 할라이드 또는 설포네이트기이고; 1 ≤ a ≤ 2, b ≥ 1, c ≥ 1, 및 b + c ≤ 5이다. 실시 예에서, 알킬, 알케닐 또는 카르복실레이트기는 하나 이상의 플루오로기로 치환된다. 실시 예에서, 설포네이트기는 하나 이상의 아민기로 치환된다. 실시 예에서, 2 개 이상의 레지스트 층은 3 내지 6 개의 레지스트 층을 포함한다. 실시 예에서, 아민은 암모니아 또는 하이드라진이다. 실시 예에서, 아민, 보란 또는 포스핀은 할라이드 치환기를 포함한다.
본 개시의 다른 실시 예는 기판 위에 제1 포토레지스트 층을 형성하고 제1 포토레지스트 층 위에 제2 포토레지스트 층을 형성하는 단계를 포함하는 패턴 형성 방법이다. 제3 포토레지스트 층이 제2 포토레지스트 층 위에 형성된다. 제4 포토레지스트 층이 제3 포토레지스트 층 위에 형성된다. 제5 포토레지스트 층이 제4 포토레지스트 층 위에 형성된다. 제1, 제2, 제3, 제4 및 제5 포토레지스트 층은 상이한 물리적 파라미터를 가지며, 제1, 제2, 제3, 제4 및 제5 포토레지스트 층은 다층 포토레지스트 구조를 형성한다. 다층 포토레지스트 구조는 화학 방사선에 선택적으로 노광되어 잠재 패턴을 형성한다. 잠재 패턴은 선택적으로 노광된 다층 포토레지스트 구조에 현상액을 도포함으로써 현상되어 패턴을 형성한다. 실시 예에서, 화학 방사선은 극자외선 방사선이다. 실시 예에서, 방법은 다층 포토레지스트 구조를 화학 방사선에 선택적으로 노광시켜 잠재 패턴을 형성한 후, 잠재 패턴을 현상하기 전에, 50 ℃ 내지 250 ℃ 범위의 온도에서 다층 포토레지스트 구조를 노광후 가열하는 단계를 포함한다. 실시 예에서, 포토레지스트 층은 금속 함유 포토레지스트 조성물을 포함한다. 실시 예에서, 포토레지스트 층의 상이한 물리적 파라미터는 상이한 가교 밀도 또는 상이한 포토레지스트 층 두께를 포함한다. 실시 예에서, 제1 포토레지스트 층은 제1 가교 밀도를 가지고, 제2 포토레지스트 층은 제2 가교 밀도를 가지고, 제3 포토레지스트 층은 제3 가교 밀도를 가지고, 제4 포토레지스트 층은 제4 가교 밀도를 가지고, 제5 포토레지스트 층은 제5 가교 밀도를 가지고, 제2 가교 밀도는 제1, 제3 및 제4 가교 밀도보다 크며, 제3 가교 밀도는 제1 및 제4 가교 밀도보다 크다. 실시 예에서, 방법은 제1 포토레지스트 층, 제2 포토레지스트 층, 제3 포토레지스트 층, 제4 포토레지스트 층 및 제5 포토레지스트 층 각각이 형성된 후에 가열 동작을 수행하는 단계를 포함한다.
전술한 내용은 당업자가 본 개시의 양태를 더 잘 이해할 수 있도록 여러 실시 예 또는 예의 특징을 개략적으로 설명한다. 당업자는 동일한 목적을 수행하고/하거나 여기에 도입된 실시 예 또는 예의 동일한 이점을 달성하기 위한 다른 공정 및 구조를 설계 또는 수정하기 위한 기초로서 본 개시를 용이하게 사용할 수 있음을 인식해야 한다. 당업자는 또한 그러한 균등한 구성이 본 개시의 사상 및 범위를 벗어나지 않으며, 본 개시의 사상 및 범위를 벗어나지 않고 본 명세서에서 다양한 변경, 대체 및 교체를 할 수 있음을 인식해야 한다.
실시 예들
실시 예 1. 반도체 디바이스 제조 방법에 있어서,
기판 위에 금속 함유 포토레지스트를 포함하는 다층 포토레지스트 구조물을 형성하는 단계;
잠재 패턴을 형성하기 위해 다층 포토레지스트 구조물을 화학 방사선(actinic radiation)에 선택적으로 노광하는 단계; 및
패턴을 형성하기 위해 선택적으로 노광된 다층 포토레지스트 구조물에 현상액을 도포함으로써 잠재 패턴을 현상하는 단계를 포함하고,
다층 포토레지스트 구조물은 상이한 물리적 파라미터들을 갖는 2 개 이상의 금속 함유 포토레지스트 층들을 포함하고,
금속 함유 포토레지스트는 제1 전구체 및 제2 전구체의 반응 생성물이며,
다층 포토레지스트 구조물의 각 층은 상이한 포토레지스트 층 형성 파라미터들을 사용하여 형성되되,
상이한 포토레지스트 층 형성 파라미터들은 제1 전구체, 제1 전구체의 양, 제2 전구체, 제2 전구체의 양, 각 포토레지스트 층 형성 동작의 시간 길이, 및 포토레지스트 층들의 가열 조건들로 구성되는 군으로부터 선택되는 하나 이상인 것인, 반도체 디바이스 제조 방법.
실시 예 2. 실시 예 실시 예 1에 있어서, 화학 방사선은 극자외선 방사선인 것인, 반도체 디바이스 제조 방법.
실시 예 3. 실시 예 1에 있어서, 잠재 패턴을 형성하기 위해 다층 포토레지스트 구조물을 화학 방사선에 선택적으로 노광하는 단계 후에, 그리고 잠재 패턴을 현상하는 단계 전에, 50 ℃ 내지 250 ℃ 범위의 온도에서 다층 포토레지스트 구조물을 노광후 가열하는 단계를 더 포함하는, 반도체 디바이스 제조 방법.
실시 예 4. 실시 예 1에 있어서, 각 층을 형성한 후에 50 ℃ 내지 200 ℃ 범위의 온도에서 각 포토레지스트 층을 가열하는 단계를 더 포함하는, 반도체 디바이스 제조 방법.
실시 예 5. 실시 예 1에 있어서, 포토레지스트 층의 상이한 물리적 파라미터들은 상이한 가교 밀도들 또는 상이한 포토레지스트 층 두께들을 포함하는 것인, 반도체 디바이스 제조 방법.
실시 예 6. 실시 예 1에 있어서, 다층 포토레지스트 구조물은 화학 기상 성막(CVD, chemical vapor deposition) 또는 원자 층 성막(ALD, atomic layer deposition)에 의해 형성되는 것인, 반도체 디바이스 제조 방법.
실시 예 7. 실시 예 1에 있어서,
다층 포토레지스트 구조물은 기판 위에 놓인 제1 포토레지스트 층, 및 제1 포토레지스트 층 위에 놓인 제2 포토레지스트 층을 포함하고,
포토레지스트 층은 제1 전구체 및 제2 전구체의 반응 생성물들이고,
제1 포토레지스트 층은 제1 전구체 및 제1 농도를 갖는 제2 전구체를 결합함으로써 형성되고,
제2 포토레지스트 층은 제1 전구체 및 제2 농도를 갖는 제2 전구체를 결합함으로써 형성되고,
제2 전구체의 제1 농도 및 제2 농도는 서로 상이한 것인, 반도체 디바이스 제조 방법.
실시 예 8. 실시 예 7에 있어서, 제2 포토레지스트 층 위에 제3 포토레지스트 층을 형성하는 단계를 더 포함하되,
제3 포토레지스트 층은 제1 전구체 및 제3 농도를 갖는 제2 전구체를 결합함으로써 형성되고,
제3 농도는 제1 농도 및 제2 농도와 상이한 것인, 반도체 디바이스 제조 방법.
실시 예 9. 실시 예 1에 있어서, 기판 위에 다층 포토레지스트 구조물을 형성하는 단계 동안 존재하는 H2O의 양은 상이한 물리적 파라미터들을 갖는 2 개 이상의 포토레지스트 층들을 제공하도록 변경되는 것인, 반도체 디바이스 제조 방법.
실시 예 10. 실시 예 1에 있어서, 2 개 이상의 포토레지스트 층들은 상이한 두께들을 갖는 것인, 반도체 디바이스 제조 방법.
실시 예 11. 실시 예 1에 있어서, 2 개 이상의 포토레지스트 층들의 각 포토레지스트 층이 형성된 후에 가열 동작을 수행하는 단계를 더 포함하는, 반도체 디바이스 제조 방법.
실시 예 12. 패턴 형성 방법에 있어서,
기판 위에 제1 물리적 파라미터를 갖는 제1 레지스트 층을 형성하는 단계;
제1 레지스트 층 위에 제2 물리적 파라미터를 갖는 제2 레지스트 층을 형성하는 단계;
제1 레지스트 층 및 제2 레지스트 층을 패턴 방식으로 가교 결합하는 단계; 및
제1 레지스트 층 및 제2 레지스트 층에 패턴을 형성하기 위해 가교 결합되지 않은 제1 레지스트 층 및 제2 레지스트 층의 부분들을 제거하는 단계를 포함하고,
제1 물리적 파라미터 및 제2 물리적 파라미터는 상이하고,
제1 레지스트 층 및 제2 레지스트 층은 제1 금속 함유 전구체 및 제2 전구체의 반응 생성물들이고,
제1 레지스트 층 및 제2 레지스트 층은 상이한 레지스트 층 형성 파라미터들을 사용하여 형성되고,
상이한 레지스트 층 형성 파라미터들은 제1 금속 함유 전구체, 제1 금속 함유 전구체의 양, 제2 전구체, 제2 전구체의 양, 각 레지스트 층 형성 동작의 시간 길이, 및 레지스트 층들의 가열 조건들로 구성되는 군으로부터 선택되는 하나 이상인 것인, 패턴 형성 방법.
실시 예 13. 실시 예 12에 있어서, 제2 레지스트 층 위에 제3 물리적 파라미터를 갖는 제3 레지스트 층을 형성하는 단계를 더 포함하되, 제3 물리적 파라미터는 제1 물리적 파라미터 및 제2 물리적 파라미터와 상이한 것인, 패턴 형성 방법.
실시 예 14. 실시 예 12에 있어서, 제1 물리적 파라미터 및 제2 물리적 파라미터는 제1 레지스트 층 및 제2 레지스트 층의 가교 밀도들인 것인, 패턴 형성 방법.
실시 예 15. 실시 예 12에 있어서, 제1 물리적 파라미터 및 제2 물리적 파라미터는 제1 레지스트 층 및 및 제2 레지스트 층의 두께들인 것인, 패턴 형성 방법.
실시 예 16. 실시 예 12에 있어서, 레지스트 층들 각각을 형성한 후에 제1 레지스트 층 및 제2 레지스트 층을 가열하는 단계를 더 포함하는, 패턴 형성 방법.
실시 예 17. 패턴 형성 방법에 있어서,
제1 기상 성막 동작에서 제1 포토레지스트 조성물을 제1 성막 파라미터로 성막함으로써 기판 위에 제1 포토레지스트 층을 형성하는 단계;
제2 기상 성막 동작에서 제2 포토레지스트 조성물을 제2 성막 파라미터로 성막함으로써 제1 포토레지스트 층 위에 제2 포토레지스트 층을 형성하는 단계;
잠재 패턴을 형성하기 위해 제1 포토레지스트 층 및 제2 포토레지스트 층을 화학 방사선에 선택적으로 노광하는 단계; 및
패턴을 형성하기 위해 선택적으로 노광된 제1 포토레지스트 층 및 제2 포토레지스트 층에 현상액을 도포함으로써 잠재 패턴을 현상하는 단계를 포함하고,
제1 성막 파라미터는 제2 성막 파라미터와 상이하고,
제1 성막 파라미터 및 제2 성막 파라미터는 제1 포토레지스트 조성물의 양, 제2 포토레지스트 조성물의 양, 각 포토레지스트 층 형성 동작의 시간 길이, 압력, 증기 유속, 및 포토레지스트 층들의 가열 조건들로 구성된 군으로부터 선택된 하나 이상인 것인, 패턴 형성 방법.
실시 예 18. 실시 예 17에 있어서, 제1 기상 성막 동작 및 제2 기상 성막 동작은 원자 층 성막(ALD) 또는 화학 기상 성막(CVD)인 것인, 패턴 형성 방법.
실시 예 19. 실시 예 17에 있어서, 제3 기상 성막 동작에 의해 제2 포토레지스트 층 위에 제3 포토레지스트 층을 제3 성막 파라미터로 형성하는 단계를 더 포함하되,
제3 성막 파라미터는 제1 성막 파라미터 및 제2 성막 파라미터와 상이한 것인, 패턴 형성 방법.
실시 예 20. 실시 예 19에 있어서, 제3 성막 파라미터의 양은 제1 성막 파라미터의 양과 제2 성막 파라미터의 양 사이에 있는 것인, 패턴 형성 방법.

Claims (10)

  1. 반도체 디바이스 제조 방법에 있어서,
    기판 위에 금속 함유 포토레지스트를 포함하는 다층 포토레지스트 구조물을 형성하는 단계;
    잠재 패턴을 형성하기 위해 상기 다층 포토레지스트 구조물을 화학 방사선(actinic radiation)에 선택적으로 노광하는 단계; 및
    상기 패턴을 형성하기 위해 상기 선택적으로 노광된 다층 포토레지스트 구조물에 현상액을 도포함으로써 상기 잠재 패턴을 현상하는 단계를 포함하고,
    상기 다층 포토레지스트 구조물은 상이한 물리적 파라미터들을 갖는 2 개 이상의 금속 함유 포토레지스트 층들을 포함하고,
    상기 금속 함유 포토레지스트는 제1 전구체 및 제2 전구체의 반응 생성물이며,
    상기 다층 포토레지스트 구조물의 각 층은 상이한 포토레지스트 층 형성 파라미터들을 사용하여 형성되되,
    상기 상이한 포토레지스트 층 형성 파라미터들은 상기 제1 전구체의 성분, 상기 제1 전구체의 양, 상기 제2 전구체의 성분, 상기 제2 전구체의 양, 각 포토레지스트 층 형성 동작의 시간 길이, 및 상기 포토레지스트 층들의 가열 조건들로 구성되는 군으로부터 선택되는 하나 이상인 것인, 반도체 디바이스 제조 방법.
  2. 제1항에 있어서, 상기 화학 방사선은 극자외선 방사선인 것인, 반도체 디바이스 제조 방법.
  3. 제1항에 있어서, 잠재 패턴을 형성하기 위해 상기 다층 포토레지스트 구조물을 화학 방사선에 선택적으로 노광하는 단계 후에, 그리고 상기 잠재 패턴을 현상하는 단계 전에, 50 ℃ 내지 250 ℃ 범위의 온도에서 상기 다층 포토레지스트 구조물을 노광후 가열하는 단계를 더 포함하는, 반도체 디바이스 제조 방법.
  4. 제1항에 있어서, 각 층을 형성한 후에 50 ℃ 내지 200 ℃ 범위의 온도에서 각 포토레지스트 층을 가열하는 단계를 더 포함하는, 반도체 디바이스 제조 방법.
  5. 제1항에 있어서, 상기 포토레지스트 층의 상기 상이한 물리적 파라미터들은 상이한 가교 밀도들 또는 상이한 포토레지스트 층 두께들을 포함하는 것인, 반도체 디바이스 제조 방법.
  6. 제1항에 있어서,
    상기 다층 포토레지스트 구조물은 상기 기판 위에 놓인 제1 포토레지스트 층, 및 상기 제1 포토레지스트 층 위에 놓인 제2 포토레지스트 층을 포함하고,
    상기 포토레지스트 층은 제1 전구체 및 제2 전구체의 반응 생성물들이고,
    상기 제1 포토레지스트 층은 상기 제1 전구체 및 제1 농도를 갖는 상기 제2 전구체를 결합함으로써 형성되고,
    상기 제2 포토레지스트 층은 상기 제1 전구체 및 제2 농도를 갖는 상기 제2 전구체를 결합함으로써 형성되고,
    상기 제2 전구체의 상기 제1 농도 및 상기 제2 농도는 서로 상이한 것인, 반도체 디바이스 제조 방법.
  7. 제6항에 있어서, 상기 제2 포토레지스트 층 위에 제3 포토레지스트 층을 형성하는 단계를 더 포함하되,
    상기 제3 포토레지스트 층은 상기 제1 전구체 및 제3 농도를 갖는 상기 제2 전구체를 결합함으로써 형성되고,
    상기 제3 농도는 상기 제1 농도 및 상기 제2 농도와 상이한 것인, 반도체 디바이스 제조 방법.
  8. 제1항에 있어서, 상기 다층 포토레지스트 구조물은 성막 챔버 내에서 형성되고, 상기 기판 위에 상기 다층 포토레지스트 구조물을 형성하는 단계 동안 상기 성막 챔버 내에 존재하는 H2O의 양은 상이한 물리적 파라미터들을 갖는 2 개 이상의 상기 포토레지스트 층들을 제공하도록 변경되는 것인, 반도체 디바이스 제조 방법.
  9. 패턴 형성 방법에 있어서,
    기판 위에 제1 물리적 파라미터를 갖는 제1 레지스트 층을 형성하는 단계;
    상기 제1 레지스트 층 위에 제2 물리적 파라미터를 갖는 제2 레지스트 층을 형성하는 단계;
    상기 제1 레지스트 층 및 상기 제2 레지스트 층을 패턴 방식으로 가교 결합하는 단계; 및
    상기 제1 레지스트 층 및 상기 제2 레지스트 층에 패턴을 형성하기 위해 가교 결합되지 않은 상기 제1 레지스트 층 및 상기 제2 레지스트 층의 부분들을 제거하는 단계를 포함하고,
    상기 제1 물리적 파라미터 및 상기 제2 물리적 파라미터는 상이하고,
    상기 제1 레지스트 층 및 상기 제2 레지스트 층은 제1 금속 함유 전구체 및 제2 전구체의 반응 생성물들이고,
    상기 제1 레지스트 층 및 상기 제2 레지스트 층은 상이한 레지스트 층 형성 파라미터들을 사용하여 형성되고,
    상기 상이한 레지스트 층 형성 파라미터들은 상기 제1 금속 함유 전구체의 성분, 상기 제1 금속 함유 전구체의 양, 상기 제2 전구체의 성분, 상기 제2 전구체의 양, 각 레지스트 층 형성 동작의 시간 길이, 및 상기 레지스트 층들의 가열 조건들로 구성되는 군으로부터 선택되는 하나 이상인 것인, 패턴 형성 방법.
  10. 패턴 형성 방법에 있어서,
    제1 기상 성막 동작에서 금속을 함유하는 제1 포토레지스트 조성물을 제1 성막 파라미터로 성막함으로써 기판 위에 제1 포토레지스트 층을 형성하는 단계;
    제2 기상 성막 동작에서 제2 포토레지스트 조성물을 제2 성막 파라미터로 성막함으로써 상기 제1 포토레지스트 층 위에 제2 포토레지스트 층을 형성하는 단계;
    잠재 패턴을 형성하기 위해 상기 제1 포토레지스트 층 및 상기 제2 포토레지스트 층을 화학 방사선에 선택적으로 노광하는 단계; 및
    상기 패턴을 형성하기 위해 상기 선택적으로 노광된 제1 포토레지스트 층 및 제2 포토레지스트 층에 현상액을 도포함으로써 상기 잠재 패턴을 현상하는 단계를 포함하고,
    상기 제1 성막 파라미터는 상기 제2 성막 파라미터와 상이하고,
    상기 제1 성막 파라미터 및 상기 제2 성막 파라미터는 상기 제1 포토레지스트 조성물의 양, 상기 제2 포토레지스트 조성물의 양, 각 포토레지스트 층 형성 동작의 시간 길이, 압력, 증기 유속, 및 상기 포토레지스트 층들의 가열 조건들로 구성된 군으로부터 선택된 하나 이상이고,
    상기 제1 포토레지스트 층과 상기 제2 포토레지스트 층은 상이한 두께들 또는 상이한 가교 밀도들을 갖는 것인, 패턴 형성 방법.
KR1020210033383A 2020-03-30 2021-03-15 반도체 디바이스 제조 방법 KR102630481B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202063002351P 2020-03-30 2020-03-30
US63/002,351 2020-03-30
US202063026688P 2020-05-18 2020-05-18
US63/026,688 2020-05-18
US17/150,356 2021-01-15
US17/150,356 US11784046B2 (en) 2020-03-30 2021-01-15 Method of manufacturing a semiconductor device

Publications (2)

Publication Number Publication Date
KR20210122675A KR20210122675A (ko) 2021-10-12
KR102630481B1 true KR102630481B1 (ko) 2024-01-29

Family

ID=77856545

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210033383A KR102630481B1 (ko) 2020-03-30 2021-03-15 반도체 디바이스 제조 방법

Country Status (2)

Country Link
US (1) US11784046B2 (ko)
KR (1) KR102630481B1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12002675B2 (en) * 2020-06-18 2024-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist layer outgassing prevention

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100573672B1 (ko) 1999-12-07 2006-04-25 인피니언 테크놀로지스 아게 레지스트 구조물의 형성 방법
WO2019098208A1 (ja) 2017-11-17 2019-05-23 三井化学株式会社 半導体素子中間体、金属含有膜形成用組成物、半導体素子中間体の製造方法、半導体素子の製造方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3035721B2 (ja) 1991-10-16 2000-04-24 大日本印刷株式会社 レジストパターンの形成方法
TW201224190A (en) * 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method
US9857684B2 (en) 2016-03-17 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon-containing photoresist for lithography
KR20200144580A (ko) 2018-05-11 2020-12-29 램 리써치 코포레이션 Euv 패터닝 가능한 하드 마스크들을 제조하기 위한 방법들
JP6933605B2 (ja) 2018-05-21 2021-09-08 信越化学工業株式会社 パターン形成方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100573672B1 (ko) 1999-12-07 2006-04-25 인피니언 테크놀로지스 아게 레지스트 구조물의 형성 방법
WO2019098208A1 (ja) 2017-11-17 2019-05-23 三井化学株式会社 半導体素子中間体、金属含有膜形成用組成物、半導体素子中間体の製造方法、半導体素子の製造方法

Also Published As

Publication number Publication date
US20210305047A1 (en) 2021-09-30
KR20210122675A (ko) 2021-10-12
US11784046B2 (en) 2023-10-10

Similar Documents

Publication Publication Date Title
KR102571376B1 (ko) 포토레지스트층 표면 처리, 캡층, 및 포토레지스트 패턴을 형성하는 방법
US11822237B2 (en) Method of manufacturing a semiconductor device
KR102647995B1 (ko) 반도체 장치 제조 방법 및 패턴 형성 방법
US20230386840A1 (en) Method of manufacturing semiconductor devices and pattern formation method
US20230369048A1 (en) Method of manufacturing a semiconductor device
KR102630481B1 (ko) 반도체 디바이스 제조 방법
US20230326754A1 (en) Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US20230072538A1 (en) Method of manufacturing a semiconductor device and pattern formation method
KR102499934B1 (ko) 반도체 디바이스를 제조하는 방법
TWI796661B (zh) 半導體裝置之製造方法
US20230375920A1 (en) Method of manufacturing a semiconductor device
US12002675B2 (en) Photoresist layer outgassing prevention
US20220291587A1 (en) Method of manufacturing a semiconductor device
US20230418156A1 (en) Method of manufacturing a semiconductor device and semiconductor device manufacturing tool
US11942322B2 (en) Method of manufacturing semiconductor devices and pattern formation method
CN113341662A (zh) 光致抗蚀剂显影剂和制造半导体器件的方法
CN117008432A (zh) 制造半导体器件的方法和半导体器件制造工具

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant