KR102571376B1 - 포토레지스트층 표면 처리, 캡층, 및 포토레지스트 패턴을 형성하는 방법 - Google Patents

포토레지스트층 표면 처리, 캡층, 및 포토레지스트 패턴을 형성하는 방법 Download PDF

Info

Publication number
KR102571376B1
KR102571376B1 KR1020210034029A KR20210034029A KR102571376B1 KR 102571376 B1 KR102571376 B1 KR 102571376B1 KR 1020210034029 A KR1020210034029 A KR 1020210034029A KR 20210034029 A KR20210034029 A KR 20210034029A KR 102571376 B1 KR102571376 B1 KR 102571376B1
Authority
KR
South Korea
Prior art keywords
photoresist layer
layer
photoresist
substrate
pattern
Prior art date
Application number
KR1020210034029A
Other languages
English (en)
Other versions
KR20210122679A (ko
Inventor
이-첸 쿠오
치-청 리우
밍-후이 웽
지아-린 웨이
옌-유 첸
즈르-훙 리
야루 청
치-밍 양
체-리앙 리
칭-유 창
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20210122679A publication Critical patent/KR20210122679A/ko
Application granted granted Critical
Publication of KR102571376B1 publication Critical patent/KR102571376B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/092Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by backside coating or layers, by lubricating-slip layers or means, by oxygen barrier layers or by stripping-release layers or means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Optics & Photonics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

포토레지스트층에 패턴을 형성하는 방법은, 기판 위에 포토레지스트층을 형성하는 단계 및 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계를 포함한다. 포토레지스트층은 화학 방사선에 선택적으로 노광되어 잠재 패턴을 형성하고, 선택적으로 노광된 포토레지스트층에 현상제를 도포함으로써 잠재 패턴이 현상되어 패턴이 현상된다.

Description

포토레지스트층 표면 처리, 캡층, 및 포토레지스트 패턴을 형성하는 방법{PHOTORESIST LAYER SURFACE TREATMENT, CAP LAYER, AND METHOD OF FORMING PHOTORESIST PATTERN}
[관련 출원]
본 출원은, 2020년 3월 30일에 제출된 미국 가특허 출원 제63/002,297호, 및 2020년 5월 18일에 제출된 미국 가특허 출원 제63/026,695호에 대한 우선권을 청구하며, 각각의 전체 내용은 본 명세서에 참조로서 통합된다.
소비자 수요에 대응하여 소비자 디바이스가 점점 더 작아짐에 따라, 이러한 디바이스의 개별 구성 요소도 필연적으로 크기가 줄어들었다. 휴대폰, 태블릿 컴퓨터 등과 같은 디바이스의 주요 구성 요소를 구성하는 반도체 디바이스는 점점 더 작아지게 되었고, 이에 대응하여 반도체 디바이스 내의 개별 디바이스(예를 들어, 트랜지스터, 저항기, 커패시터 등)도 크기가 줄어들게 되었다.
반도체 디바이스의 제조 공정에 사용되는 하나의 가능한 기술은 포토리소그래피 물질의 사용이다. 이러한 물질은 패턴화될 층의 표면에 도포되고, 그런 다음 자체적으로 패턴화된 에너지에 노광된다. 이러한 노광은 감광성 물질의 노광 영역의 화학적 및 물리적 특성을 개질한다(modify). 이러한 개질은, 노광되지 않은 감광성 물질 영역의 개질되지 않도록 하면서, 한 영역을 제거하면서 다른 부분을 제거하지 않는 데 사용될 수 있다.
그러나, 개별 디바이스의 크기가 감소함에 따라, 포토리소그래피 처리를 위한 공정 윈도우가 점점 더 엄격해진다. 따라서, 디바이스를 축소하는 능력을 유지하려면 포토리소그래피 처리 분야의 발전이 필요하며, 점점 더 작은 구성 요소를 향한 경향이 유지될 수 있도록 원하는 설계 기준을 충족하려면 추가적인 개선이 필요한다.
본 개시의 양태는 첨부 도면과 함께 다음의 상세한 설명을 읽으면 가장 잘 이해할 수 있다. 본 산업계에서의 표준 관행에 따라, 다양한 피처는 일정한 비율로 도시되지 않았다는 점에 유의한다. 실제로, 다양한 피처의 치수는 설명의 명료성을 위해 임의로 증가 또는 감소될 수 있다.
도 1은 본 개시의 일 실시예에 따른 순차적 작업의 공정 단계를 도시한다.
도 2a 및 2b는 본 개시의 실시예들에 따른 반도체 디바이스를 제조하는 공정 흐름을 도시한다.
도 3은 본 개시의 일 실시예에 따른 순차적 작업의 공정 단계를 도시한다.
도 4a 및 4b는 본 개시의 실시예들에 따른 순차적 작업의 공정 단계를 도시한다.
도 5a, 5b, 5c 및 5d는 본 개시의 실시예들에 따른 순차적 작업의 공정 단계를 도시한다.
도 6a, 6b, 6c, 6d, 6e 및 6f는 본 개시의 실시예들에 따른 순차적 작업의 공정 단계를 도시한다.
도 7은 본 개시의 일 실시예에 따른 순차적 작업의 공정 단계를 도시한다.
도 8은 본 개시의 일 실시예에 따른 순차적 작업의 공정 단계를 도시한다.
도 9a, 9b 및 9c는 본 개시의 실시예들에 따른 유기 금속 전구체들을 도시한다.
도 10은 본 개시의 일부 실시예들에 따른 포토레지스트 퇴적 장치를 도시한다.
도 11은 본 개시의 실시예들에 따른, 화학 방사선에의 노광 및 가열의 결과로서 포토레지스트층이 겪는 반응을 도시한다.
도 12a는 본 개시의 실시예들에 따른 표면 처리 작업을 도시한다.
도 12b는 본 개시의 실시예들에 따른 캡층 형성 작업을 도시한다.
도 13은 본 개시의 일 실시예에 따른 순차적 작업의 공정 단계를 도시한다.
도 14a 및 14b는 본 개시의 실시예들에 따른 순차적 작업의 공정 단계를 도시한다.
도 15a, 15b, 15c 및 15d는 본 개시의 실시예들에 따른 순차적 작업의 공정 단계를 도시한다.
도 16a, 16b, 16c, 16d, 16e 및 16f는 본 개시의 실시예들에 따른 순차적 작업의 공정 단계를 도시한다.
도 17은 본 개시의 일 실시예에 따른 순차적 작업의 공정 단계를 도시한다.
도 18은 본 개시의 일 실시예에 따른 순차적 작업의 공정 단계를 도시한다.
아래의 개시는, 주어진 주제의 다양한 피처(feature)들을 구현하기 위한 많은 다양한 실시예들 또는 일례를 제공한다. 본 개시를 간단히 하도록, 컴포넌트 및 배치에 있어서 특정 예가 이하에 설명된다. 물론, 이는 단지 예일 뿐이며, 한정하려는 의도가 아니다. 예를 들어, 다음의 설명에서 제2 피처(feature) 위의 또는 그 상의 제1 피처의 형성은, 제1 및 제2 피처들이 직접 접촉하여 형성되는 실시예들을 포함할 수도 있고, 또한 부가적인 피처들이 제1 및 제2 피처들 사이에 형성되어 제1 및 제2 피처들이 직접 접촉부하지 않는 실시예들을 포함할 수도 있다. 또한, 본 개시는 다양일 예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이러한 반복은 간결성과 명료성을 위한 것이며 그 자체가 논의된 다양한 실시예들 및/또는 구성 간의 관계를 지시하는 단계는 아니다.
또한, "하에(beneath)", "아래에(below)", "하부의(lower)", "위의(above)", "상부의(upper)" 등과 같은 공간적으로 상대적인 용어가, 도면에 도시된 하나의 요소 또는 피처들과 다른 요소(들) 또는 피처들(들) 간의 관계를 설명하는 데 있어서, 설명의 편의를 위해 사용될 수도 있다. 공간적으로 상대적인 용어는, 도면에 나타난 방향 외에도, 사용 또는 작동 중인 디바이스의 다른 방향을 망라한다. 장치는 다른 방향으로(90도 회전되거나 다른 방향으로 회전되어) 배치될 수 있고, 본 개시에서 사용된 공간적으로 상대적인 서술어는 이에 따라 마찬가지로 해석될 수 있다. 또한, 용어 "이루어진(made of)"은 "포함하는(comprising)" 또는 "이루어진(consisting of)"을 의미할 수 있다.
반도체 산업이 더 높은 디바이스 밀도, 더 높은 성능 및 더 낮은 비용을 추구하는 나노 미터 기술 공정 노드로 발전함에 따라 반도체 피처 크기를 줄이는 데 어려움이 있었다. 극 자외선 리소그래피(EUVL, Extreme Ultraviolet Lithography)는 더 작은 반도체 디바이스 피처 크기를 형성하고 반도체 웨이퍼에서 디바이스 밀도를 높이기 위해 개발되었다. EUVL을 개선하려면 웨이퍼 노광 처리량을 늘리는 것이 바람직하다. 웨이퍼 노광 처리량은 노광력(exposure power) 증가 또는 레지스트 광속도(photospeed)(민감도) 증가를 통해 향상될 수 있다.
금속은 EUV 방사선의 높은 흡수 능력을 갖기 때문에, 금속-함유 포토레지스트가 극 자외선 리소그래피에 사용된다. 그러나 금속-함유 포토레지스트는 주변 수분과 산소를 흡수하여 패턴 해상도를 저하시킬 수 있다. 수분 및 산소의 흡수는 포토레지스트층에서 가교 반응을 개시하여 포토레지스트 현상제에 대한 포토레지스트의 비-노광 영역의 용해도를 감소시킬 수 있다. 또한, 포토레지스트층의 휘발성 전구체는 방사선 노광 및 현상 작업 전에 가스를 배출할 수 있으며, 이는 시간이 지남에 따라 포토레지스트층 품질을 변화시키고 반도체 디바이스 처리 챔버, 핸들링 장비 및 기타 반도체 웨이퍼를 오염시킬 수 있다. 포토레지스트층의 수분 및 산소 흡수와 포토레지스트 가스 방출은 리소그래피 성능에 부정적인 영향을 미치고 결함을 증가시킨다.
수분 및 산소 흡수 및 포토레지스트 가스 방출을 방지하기 위해, 본 개시의 실시예들은, 도 1에 도시된 바와 같이, 포토레지스트층의 표면을 처리하거나 포토레지스트층 위에 캡층을 형성한다. 본 개시에 따른 표면 처리 작업은, 금속-함유 포토레지스트의 리간드들을 개질하여(modify) 포토레지스트층의 리간드들의 표면 상의 친수성 말단기(end group)들을 소수성 말단기들로 변환하는 단계를 포함한다.
일부 실시예들에서, 포토레지스트층의 상부 표면에 있는 친수성 리간드 말단기들이 소수성 말단기들로 대체된다. 일부 실시예들에서, 포토레지스트층은 플라즈마로 처리되거나 열 처리를 거쳐 친수성 리간드 말단기들을 소수성 말단기들로 변화시킨다.
다른 실시예들에서, 도 1에 도시된 바와 같이, 캡층이 포토레지스트층 상에 퇴적된다. 일부 실시예들에서, 캡층은 단층(monolayer)이다. 일부 실시예들에서, 캡층은 유전체층이다.
표면 처리 및 캡층은 주변 수분 및 산소에 대한 노광으로부터 포토레지스트층을 보호하고, 가스 방출을 억제함으로써, 포토레지스트층을 안정화시키고 결함을 감소시킨다. 표면 처리 및 캡층은 포토레지스트 표면의 현상제 분산을 개선하여 스컴(scum) 및 브리지 결함을 줄인다.
도 2a 및 2b는 본 개시의 실시예들에 따른 반도체 디바이스를 제조하는 공정 흐름들(100)을 도시한다. 도 3에 도시된 바와 같이, 일부 실시예들에서, 작업(S110)에서, 레지스트층(15)을 형성하도록, 레지스트가 패턴화될 층 또는 기판(10)의 표면 상에 코팅된다. 일부 실시예들에서, 레지스트는 화학적 기상 퇴적(CVD, Chemical Vapor Deposition) 또는 원자층 퇴적(ALD, Atomic Layer Deposition)에 의해 형성된 금속-함유 포토레지스트이다. 다른 실시예들에서, 금속-함유 포토레지스트층이 스핀-코팅 방법에 의해 형성된다. 일부 실시예들에서, 도 2a 및 4a에 도시된 바와 같이, 표면 처리(S115a)가 레지스트층(15) 상에 수행되어 표면 처리된 층(20a)을 형성한다. 표면 처리(S115a)는 레지스트층(15)의 표면을 친수성 표면에서 소수성 표면으로 변화시킨다.
일부 실시예들에서, 레지스트층(15)은 표면 처리 작업(S115a) 후에 1차 가열 작업(S120)을 겪는다. 일부 실시예들에서, 1차 가열 작업(S120)은, 1차 가열 작업(S120) 동안 약 10초 내지 약 10분 동안 약 40℃ 내지 약 150℃의 온도에서 레지스트층(15)을 가열하는 단계를 포함한다. 일부 실시예들에서, 표면 처리(S115a)가 레지스트층(15) 상에 수행되기 전에 레지스트층(15)이 1차 가열 작업(S120)을 거친다.
일부 실시예들에서, 도 2b 및 4b에 도시된 바와 같이, 캡층(20b)이 레지스트층(15) 위에 작업(S125a, S125b)에서 형성된다. 일부 실시예들에서, 캡층(20b)은 1차 가열 작업(S120) 전에 형성된다. 다른 실시예들에서, 캡층 형성 작업(S125b)이 1차 가열 작업(S120) 후에 수행된다. 일부 실시예들에서, 레지스트층(15)은 1차 가열 작업(S120) 동안 약 10초 내지 약 10분 동안 약 40℃ 내지 약 150℃의 온도에서 가열된다.
레지스트층(15) 및 표면 처리된 층(20a) 또는 레지스트층(15) 및 캡층(20b)은, 후속하여, 도 2a 및 2b의 작업(S130)에서 화학 방사선(45/97)(도 5a, 5b, 5c 및 5d 참조)에 선택적으로 노광된다. 레지스트층(15)은 표면 처리된 층(20a) 또는 캡층(20b)을 통해 화학 방사선(45/97)에 노광된다. 일부 실시예들에서, 화학 방사선(45/97)은 표면 처리된 층(20a) 또는 캡층(20b)에 의해 실질적으로 흡수되지 않는다. 일부 실시예들에서, 포토레지스트층(15)은 자외선에 선택적으로 또는 패턴 방식으로(patternwise) 노광된다. 일부 실시예들에서, 자외선은 심자외선(DUV, Deep Ultraviolet Radiation)이다. 일부 실시예들에서, 자외선은 극 자외선(EUV, Extreme Ultraviolet) 방사선이다. 일부 실시예들에서, 레지스트층(15)은 전자 빔에 선택적으로 또는 패턴 방식으로 노광된다. 일부 실시예들에서, 레지스트층(15)은 화학 방사선(45/97)에 감광성인 포토레지스트층이고, 캡층(20b)은 포토레지스트층이 아니고 화학 방사선(45/97)에 감광성이다.
본 개시에 따른 포토레지스트층은, 화학 방사선에 노광되지 않는 포토레지스트층의 부분과 대조적으로, 화학 방사선에 노광되는 포토레지스트층의 부분이 현상제에서의 용해도가 변화되도록 화학 방사선의 흡수에 따라 화학 반응을 겪는 층이다. 화학 방사선에 감광성이 아닌 층은 화학 방사선에 노광될 때 현상제에서 층의 용해도를 변화시키는 화학 반응을 실질적으로 거치지 않는다.
도 5a 및 도 5b에 도시된 바와 같이, 노광 방사선(45)은 일부 실시예들에서 포토레지스트층(15)을 조사하는 단계 전에 포토 마스크(30)를 통과한다. 일부 실시예들에서, 포토 마스크(30)는 포토레지스트층(15)에서 복제될(replicated) 패턴을 갖는다. 패턴은 일부 실시예들에서 포토 마스크 기판(40) 상의 불투명 패턴(35)에 의해 형성된다. 불투명 패턴(35)은 크롬과 같은 자외선에 불투명한 물질로 형성될 수 있고, 포토 마스크 기판(40)은 용융 석영(fused quartz)과 같이 자외선에 투명한 물질로 형성된다.
일부 실시예들에서, 노광 영역(exposed area)(50) 및 미노광 영역(unexposed area)(52)을 형성하기 위한 포토레지스트층(15)의 선택적 또는 패턴 방식의 노광이 극 자외선 리소그래피를 사용하여 수행된다. 극 자외선 리소그래피 작업에서, 도 5c 및 도 5d에 도시된 바와 같이, 일부 실시예들에서 패턴화된 노광 광(exposure light)을 형성하기 위해 반사 포토 마스크(65)가 사용된다. 반사형 포토 마스크(65)는 저열 팽창성(low thermal expansion) 유리 기판(70)을 포함하며, 그 위에 Si 및 Mo의 반사형 다층(75)이 형성된다. 캐핑층(80) 및 흡수체층(85)이 반사형 다층(75) 상에 형성된다. 후면 전도층(90)이 저열 팽창성 기판(70)의 뒤측 상에 형성된다. 극 자외선(95)은 약 6°의 입사각에서 반사형 포토 마스크(65)를 향한다. 극 자외선의 일부(97)는 Si/Mo 다층(75)에 의해 포토레지스트-코팅된 기판(10)을 향해 반사되는 반면, 흡수층(85)에 입사되는 극 자외선의 일부는 포토 마스크에 의해 흡수된다. 일부 실시예들에서, 미러들을 포함하는 추가의 광학 기기가 반사 포토 마스크(65) 및 포토레지스트-코팅 기판(10) 사이에 위치한다.
일부 실시예들에서, 방사선에 대한 노광은 포토리소그래피 도구에 포토레지스트-코팅된 기판을 배치함으로써 수행된다. 포토리소그래피 도구는 포토 마스크(30/65), 광학 기기, 노광을 위한 방사선(45/97)을 제공하는 노광 방사선 소스, 그리고 노광 방사선 아래에서 기판을 지지하고 이동하기 위한 이동 가능한 스테이지를 포함한다.
일부 실시예들에서, 방사선(45/97)이 포토 마스크(30/65)에 의해 패턴화되기 전에 또는 후에, 방사선을 확장, 반사 또는 제어하기 위해 광학 기기(미도시)가 포토리소그래피 도구에서 사용된다. 일부 실시예들에서, 광학 기기는 경로를 따라 방사선(45/97)을 제어하기 위해 하나 이상의 렌즈, 거울, 필터 및 이들의 조합을 포함한다.
일부 실시예들에서, 방사선은, g-라인(약 436 nm의 파장), i-라인(약 365 nm의 파장), 자외선, 원 자외선(far ultraviolet radiation), 극 자외선, 전자 빔, 등과 같은 전자기 방자선이다. 일부 실시예들에서, 방사원(radiation source)은 수은 증기 램프, 크세논 램프, 탄소 아크 램프, KrF 엑시머 레이저 광(파장 248 nm), ArF 엑시머 레이저 광(파장 193 nm), F2 엑시머 레이저 광(파장 157 nm) 또는 CO2 레이저-여기(laser-excited) Sn 플라즈마(극 자외선, 파장 13.5 nm)으로 구성된 군으로부터 선택된다.
전자기 방사선의 양은, 노광 시간에 걸쳐, 통합된 복사 플럭스(integrated radiative flux)에 의해 얻어지는 플루언스(fluence) 또는 선량(dose)에 의해 특성화될 수 있다. 적합한 복사 플루언스는, 일부 실시예들에서 약 1 mJ/cm2 내지 약 150 mJ/cm2, 다른 실시예들에서 약 2 mJ/cm2 내지 약 100 mJ/cm2, 및 다른 실시예들에서 약 3 mJ/cm2 내지 약 50 mJ/cm2 범위이다. 당업자는 상기 명시적 범위 내의 추가적인 범위의 방사선 플루언스가 고려되고 본 개시 내에 있음을 인식할 것이다.
일부 실시예들에서, 선택적 또는 패턴 방식의 노광이 주사 전자 빔에 의해 수행된다. 전자 빔 리소그래피에서, 전자 빔은 2차 전자들을 유도하여 조사된 물질을 개질한다(modify). 본원에 개시된 전자 빔 리소그래피 및 금속-함유 레지스트를 사용하여 고해상도를 달성할 수 있다. 전자 빔은 빔의 에너지에 의해 특성화될 수 있으며, 적합한 에너지는 일부 실시예들에서 약 5 V 내지 약 200 kV(킬로 볼트), 다른 실시예들에서 약 7.5 V 내지 약 100 kV 범위이다. 30 kV에서 근접-보정된(proximity-corrected) 빔 선량은 일부 실시예들에서 약 0.1 μC/cm2 내지 약 5 μC/cm2, 다른 실시예들에서 약 0.5 μC/cm2 내지 약 1 μC/cm2, 다른 실시예들에서 약 1 μC/cm2 내지 약 100 μC/cm2이다. 당업자는 본원의 교시에 기초하여 다른 빔 에너지에서 대응하는 선량을 계산할 수 있고, 상기 명시적 범위 내의 전자 빔 특성의 추가 범위가 고려되고 본 개시 내에 있음을 인식할 것이다.
일부 실시예들에서, 레지스트층(15)의 노광은 침지(immersion) 리소그래피 기술을 사용한다. 이러한 기술에서, 침지 매체(미도시)는 최종 광학 기기와 포토레지스트층 사이에 배치되고, 노광 방사선(45)은 침지 매체를 통과한다.
방사선(50)에 노광된 레지스트층의 영역은 화학 반응을 거치고, 이로 인해 이후의 현상 작업(S150)에서 제거될 가능성을 변경한다. 일부 실시예들에서, 방사선(50)에 노광된 레지스트층의 부분은, 현상 작업(S150) 동안 노광된 부분이 보다 쉽게 제거되도록 하는 반응을 겪는다. 다른 실시예들에서, 방사선(50)에 노광된 레지스트층의 부분은, 현상 작업(S150) 동안 노광된 부분이 제거에 저항하도록 하는 반응을 겪는다.
다음으로, 레지스트층(15)은 작업(S140)에서 2차 가열 또는 노광후 베이킹(PEB, Post-Exposure Bake)을 거친다. 일부 실시예들에서, 레지스트층(15)은 약 50℃ 내지 약 250℃의 온도에서 약 20초 내지 약 300초 동안 가열된다. 일부 실시예들에서, 노광후 베이킹은 약 100℃ 내지 약 230℃ 범위의 온도에서 수행되고, 다른 실시예들에서 약 150℃ 내지 약 200℃ 범위의 온도에서 수행된다. 일부 실시예들에서, 노광후 베이킹 작업(S140)은, 레지스트층에서 제1 화합물 또는 제1 전구체 및 제2 화합물 또는 제2 전구체의 반응 생성물을 가교시킨다.
선택적으로 노광된 레지스트층(15)은 후속하여 작업(S150)에서 현상된다. 일부 실시예들에서, 레지스트층(15)은 선택적으로 노광된 레지스트층에 용매(solvent)-계 현상제(57)를 도포함으로써 현상된다. 도 6a 및 6b에 도시된 바와 같이, 액체 현상제(57)는 디스펜서(62)로부터 레지스트층(15) 및 표면 처리층(20a) 또는 레지스트층(15) 및 캡층(20b)으로 각각 공급된다. 일부 실시예들에서, 포토레지스트의 노광된 부분(50)은 화학 방사선에의 노광 또는 노광후 베이킹의 결과로써 가교 반응을 겪고, 도 7에 도시된 바와 같이 포토레지스트층(52)의 미노광 부분은 현상제(57)에 의해 제거되어 기판(10)을 노광시키기 위한 포토레지스트층(15)의 개구부들(55)의 패턴을 형성한다. 일부 실시예들에서, 표면 처리된 층(20a) 및 캡층(20b)은 현상 작업 동안 제거된다.
일부 실시예들에서, 레지스트 현상제(57)는 용매 및 산 또는 염기를 포함한다. 일부 실시예들에서, 용매의 농도는 레지스트 현상제의 총 중량을 기준으로 약 60중량%(wt.%) 내지 약 99중량%이다. 산 또는 염기 농도는 레지스트 현상제의 총 중량을 기준으로 약 0.001중량% 내지 약 20중량%이다. 특정 실시예들에서, 현상제의 산 또는 염기 농도는 레지스트 현상제의 총 중량을 기준으로 약 0.01중량% 내지 약 15중량%이다.
일부 실시예들에서, 현상제(57)는 스핀-온 공정을 사용하여 레지스트층(15)에 도포된다. 스핀-온 공정에서, 현상제(57)는 레지스트층(15) 위에서 레지스트층(15)에 도포되고, 도 6a에 도시된 바와 같이 레지스트-코팅된 기판은 회전된다. 일부 실시예들에서, 현상제(57)는 약 5 ml/분 내지 약 800 ml/분의 속도로 공급되는 반면, 포토레지스트-코팅된 기판(10)은 약 100 rpm 내지 약 2000 rpm의 속도로 회전된다. 일부 실시예들에서, 현상제는 약 10℃ 내지 약 80℃의 온도에 있다. 일부 실시예들에서 현상 작업은 약 30초 내지 약 10분 동안 계속된다.
일부 실시예들에서, 현상제(57)는 유기 용매이다. 유기 용매는 임의의 적합한 용매일 수 있다. 일부 실시예들에서, 용매는 프로필렌 글리콜 메틸 에테르 아세테이트(PGMEA, Propylene Glycol Methyl Ether Acetate), 프로필렌 글리콜 모노메틸 에테르(PGME, Propylene Glycol Monomethyl Ether), 1-에톡시-2-프로판올(PGEE, 1-Ethoxy-2-Propanol), γ-부티로락톤(GBL, γ-butyrolactone), 사이클로 헥사논(CHN, Cyclohexanone), 에틸 락테이트(EL, Ethyl Lactate), 메탄올, 에탄올, 프로판올, n-부탄올, 4-메틸-2-펜탄올, 아세톤, 메틸 에틸 케톤, 디메틸 포름아미드(DMF, Dimethylformamide), 이소프로판올(IPA, Isopropanol), 테트라히드로푸란(THF, Tetrahydrofuran), 메틸 이소부틸 카비놀(MIBC, Methyl Isobutyl Carbinol), n-부틸 아세테이트(nBA, n-Butyl Acetate), 2-헵타논(MAK), 테트라히드로푸란(THF, Tetrahydrofuran) 및 디옥산에서 선택된 하나 이상이다.
스핀-온 작업은 노광 후에 포토레지스트층(15)을 현상하기 위한 하나의 적절한 방법이지만, 이는 예시를 위한 것이며 실시예들을 제한하려는 것이 아니다. 오히려, 딥(dip) 공정, 퍼들 공정 및 스프레이-온 방법을 포함하는 임의의 적합한 현상 작업이 대안적으로 사용될 수 있다. 이러한 모든 현상 작업은 실시예들의 범위 내에 포함된다.
일부 실시예들에서, 도 6c 및 6d에 도시된 바와 같이, 건식 현상제(105)는 선택적으로 노광된 레지스트층(15) 및 표면 처리된 층(20a) 또는 캡층(20b)에 도포된다. 일부 실시예들에서, 건식 현상제(105)는 플라즈마 또는 화학적 증기이고, 건식 현상 작업(S150)은 플라즈마 에칭 또는 화학적 에칭 작업이다. 건식 현상은 조성물, 가교 정도 및 필름 밀도와 관련된 차이를 사용하여 레지스트의 원하는 부분을 선택적으로 제거한다. 일부 실시예들에서, 건식 현상 공정은 BCl3, BF3 또는 기타 루이스 산과 같은 건식 현상 화학 물질을 증기 상태로 흐르게 하면서 가열된 진공 챔버에서 완만한 플라즈마(고압, 저전력) 또는 열 공정을 사용한다. 일부 실시예들에서, BCl3는 미노광 물질을 제거하고, 플라즈마-기반 에칭 공정에 의해 하부층으로 전사되는 노광된 필름의 패턴을 남긴다.
일부 실시예들에서, 건식 현상은, 변압기 커플링 플라즈마(TCP, Transformer Coupled Plasma), 유도성 커플링 플라즈마(ICP, Inductively Coupled Plasma) 또는 용량성 커플링 플라즈마(CCP, Capacitively Coupled Plasma)를 포함하는 플라즈마 공정을 포함한다. 일부 실시예들에서, 플라즈마 공정은, 약 5 mTorr 내지 약 20 mTorr 범위의 압력, 약 250 W 내지 약 1000 W 범위의 전력 레벨, 약 0℃ 내지 약 300℃ 범위의 온도, 약 100 내지 약 1000 sccm의 유속에서 약 1 내지 약 3000초 동안 수행된다.
일부 실시예들에서, 표면 처리된 층(20a) 또는 캡층(20b)은 노광후 베이킹 작업(S140) 후에 그리고 현상 작업(S150) 전에 제거되고; 그런 다음, 도 6e에 도시된 바와 같은 습식 현상 작업 또는 도 6f에 도시된 바와 같은 건식 현상 작업에 의해 후속적으로 현상된다. 일부 실시예들에서, 표면 처리된 층(20a) 또는 캡층(20b)은 적합한 용매 또는 적합한 건식 에천트에 의해 제거된다.
현상 작업(S150)은 도 7에 도시된 바와 같이 기판(10)의 일부를 노광시키는 포토레지스트층에 패턴(55)을 제공한다. 현상 작업 후에, 패턴화된 포토레지스트층(15, 50)이 제 위치에 있는(in place) 동안 추가 처리가 수행된다. 예를 들어, 일부 실시예들에서 건식 또는 습식 에칭을 사용하는 에칭 작업이 수행되어 레지스트층(15, 50)의 패턴을 하부 기판(10)으로 전사하여 도 8에 도시된 바와 같이 리세스(55')를 형성한다. 기판(10)은 레지스트층(15)과 상이한 에칭 저항을 갖는다. 일부 실시예들에서, 에천트는 레지스트층(15)보다 기판(10)에 대해 더 선택적이다.
일부 실시예들에서, 패턴화된 레지스트층(15, 50)은 일부 실시예들에서 에칭 작업 동안 적어도 부분적으로 제거된다. 다른 실시예들에서, 패턴화된 레지스트층(15, 50)은, 적절한 레지스트 스트리퍼 용매를 사용하여 선택적 에칭에 의해 또는 레지스트 플라즈마 애싱 작업에 의해 기판(10)을 에칭한 후에 제거된다.
일부 실시예들에서, 기판(10)은 적어도 그것의 표면 부분 상에 단결정 반도체층을 포함한다. 기판(10)은 Si, Ge, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb 및 InP와 같은 단결정 반도체 물질을 포함할 수 있지만 이에 제한되지는 않는다. 일부 실시예들에서, 기판(10)은 실리콘-온-인슐레이터(SOI, Silicon-On Insulator) 기판의 실리콘층이다. 특정 실시예들에서, 기판(10)은 결정질 Si로 이루어진다.
기판(10)은 그 표면 영역에 하나 이상의 버퍼층(미도시)을 포함할 수 있다. 버퍼층은, 기판의 격자 상수에서 후에 형성된 소스/드레인 영역의 격자 상수로 격자 상수를 점진적으로 변경하는 역할을 할 수 있다. 버퍼층은 Si, Ge, GeSn, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb, GaN, GaP 및 InP와 같은 에피택시 성장 단결정 반도체 물질로 형성될 수 있다. 일 실시예에서, 실리콘 게르마늄(SiGe) 버퍼층은 실리콘 기판(10) 상에 에피택시 성장된다. SiGe 버퍼층의 게르마늄 농도는 최하부 버퍼층의 경우 30 원자%에서 최상부 버퍼층의 경우 70 원자%로 증가할 수 있다.
일부 실시예들에서, 기판(10)은, 화학식 MXa를 갖는 적어도 하나의 금속, 금속 합금 및 금속/질화물/황화물/산화물/실리사이드의 하나 이상의 층을 포함하고, 여기서 M은 금속이고 X는 N, S, Se, O, Si이고, a는 약 0.4 내지 약 2.5이다. 일부 실시예들에서, 기판(10)은 티타늄, 알루미늄, 코발트, 루테늄, 티타늄 질화물, 텅스텐 질화물, 탄탈륨 질화물 및 이들의 조합을 포함한다.
일부 실시예들에서, 기판(10)은 화학식 MXb의 실리콘 또는 금속 산화물 또는 질화물을 적어도 갖는 유전체 물질을 포함하며, 여기서 M은 금속 또는 Si이고, X는 N 또는 O이고, b는 약 0.4 내지 약 2.5이다. 일부 실시예들에서, 기판(10)은 실리콘 이산화물, 실리콘 질화물, 알루미늄 산화물, 하프늄 산화물, 란탄 산화물 및 이들의 조합을 포함한다.
포토레지스트층(15)은 화학 방사선에 노광됨으로써 패턴화되는 감광성층이다. 일반적으로, 입사 방사선에 노출된 포토레지스트 영역들의 화학적 특성은 사용되는 포토레지스트 유형에 따라 달라진다. 포토레지스트층(15)은 포지티브 톤 레지스트 또는 네거티브 톤 레지스트이다. 포지티브 톤 레지스트는, UV 광과 같은 방사선에 노광되면 현상제에 용해되는 반면, 미노광(non-exposed)(또는 덜 노광된) 포토레지스트 영역은 현상제에 녹지 않는 포토레지스트 물질을 말한다. 반면에, 네거티브 톤 레지스트는, 방사선에 노광되면 현상제에서 불용성이 되는 반면, 미노광(또는 덜 노광된) 포토레지스트 영역은 현상제에서 용해되는 포토레지스트 물질을 말한다. 방사선에 노광되면 불용성이 되는 네거티브 레지스트 영역은 방사선 노광에 의한 가교 반응으로 인해 불용성이 될 수 있다.
일부 실시예들에서, 포토레지스트층은 고감도 포토레지스트 조성물을 포함한다. 일부 실시예들에서, 고감도 포토레지스트 조성물은 극 자외선(EUV) 방사선에 매우 민감하다.
일부 실시예들에서, 포토레지스트층(15)은 증기 상태로 결합된 제1 화합물 또는 제1 전구체 및 제2 화합물 또는 제2 전구체를 포함하는 포토레지스트 조성물로 이루어진다. 제1 전구체 또는 제1 화합물은 도 9a에 도시된 바와 같이 MaRbXc 화학식을 갖는 유기 금속이고, 여기서 M은 Sn, Bi, Sb, In, Te, Ti, Zr, Hf, V, Co, Mo, W, Al, Ga, Si, Ge, P, As, Y, La, Ce, 또는 Lu 중 적어도 하나이고, R은 치환 또는 비치환된 알킬기, 알케닐기 또는 카르복실레이트기이다. 일부 실시예들에서, M은 Sn, Bi, Sb, In, Te 및 이들의 조합으로 이루어진 군으로부터 선택된다. 일부 실시예들에서, R은 C3-C6 알킬, 알케닐 또는 카르복실레이트이다. 일부 실시예들에서, R은 프로필, 이소프로필, 부틸, 이소-부틸, 세크-부틸, 테르트-부틸, 펜틸, 이소 펜틸, 세크-펜틸, 테르트-펜틸, 헥실, 이소-헥실, 세크-헥실, 테르트-헥실 및 이들의 조합으로부터 선택된다. X는 리간드, 이온 또는 다른 모이어티(moiety)이며, 이는 일부 실시예들에서 제2 화합물 또는 제2 전구체와 반응성이고; 1 ≤ a ≤ 2, b ≥ 1, c ≥ 1, 그리고 b + c ≤ 5 이다. 일부 실시예들에서, 알킬, 알케닐 또는 카르복실레이트기는 하나 이상의 플루오로기로 치환된다. 일부 실시예들에서, 유기 금속 전구체는 도 9a에 도시된 바와 같이 이량체(dimer)이고, 여기서 각 모노머 단위는 아민기에 의해 연결된다. 각 모노머는 위에서 정의한 화학식 MaRbXc을 가진다.
일부 실시예들에서, R은 n ≥ 3인 CnH2n+1과 같은 알킬이다. 일부 실시예들에서, R은 플루오르화되어, 예를 들어 화학식 CnFxH((2n+1)-x)를 갖는다. 일부 실시예들에서, R은 적어도 하나의 베타-수소 또는 베타-불소를 갖는다. 일부 실시예들에서, R은 i-프로필, n-프로필, t-부틸, i-부틸, n-부틸, 세크-부틸, n-펜틸, i-펜틸, t-펜틸 및 세크-펜틸 및 이들의 조합으로 구성된 군에서 선택된다.
일부 실시예들에서, X는 M-OH 모이어티를 생성하기 위해 제2 화합물 또는 제2 전구체에 의해 용이하게 치환된 임의의 모이어티, 예컨대 디알킬아미노 및 모노알킬아미노를 포함하는 아민; 알콕시; 카르복실레이트, 할로겐 및 설포네이트로 구성된 군으로부터 선택된 모이어티이다. 일부 실시예들에서, 설포네이트기는 하나 이상의 아민기로 치환된다. 일부 실시예들에서, 할로겐화물은 F, Cl, Br 및 I로 구성된 군으로부터 선택되는 하나 이상이다. 일부 실시예들에서, 설포네이트기는 치환 또는 비치환된 C1-C3기를 포함한다.
일부 실시예들에서, 제1 유기 금속 화합물 또는 제1 유기 금속 전구체는 도 9b에 도시된 바와 같이 금속 코어(M+)에 부착된 리간드들(L)을 갖는 금속 코어(M+)를 포함한다. 일부 실시예들에서, 금속 코어(M+)는 금속 산화물이다. 리간드들(L)은 일부 실시예들에서 C3-C12 지방족 또는 방향족기를 포함한다. 지방족 또는 방향족기는, 알킬기, 알케닐기 및 페닐기를 포함하여 1-9개의 탄소를 함유하는 고리형 또는 비고리형(non cyclic) 포화 펜던트기로 분지되거나 분지되지 않을 수 있다. 분지된 기는 산소 또는 할로겐으로 추가로 치환될 수 있다. 일부 실시예들에서, C3-C12 지방족 또는 방향족기는 헤테로 사이클릭기를 포함한다. 일부 실시예들에서, C3-C12 지방족 또는 방향족기는 에테르 또는 에스테르 결합에 의해 금속에 부착된다. 일부 실시예들에서, C3-C12 지방족 또는 방향족기는 아질산염(nitrite) 및 설포네이트(sulfonate) 치환기를 포함한다.
일부 실시예들에서, 유기 금속 전구체 또는 유기 금속 화합물은 세크-헥실 트리스(디메틸아미노) 주석, t-헥실 트리스(디메틸아미노) 주석, i-헥실 트리스(디메틸아미노) 주석, n-헥실 트리스(디메틸아미노) 주석, 세크-펜틸 트리스(디메틸아미노), t-펜틸 트리스(디메틸아미노) 주석, i-펜틸 트리스(디메틸아미노) 주석, n-펜틸 트리스(디메틸아미노) 주석, 세크-부틸 트리스(디메틸아미노) 주석, t-부틸 트리스(디메틸아미노) 주석, i-부틸 트리스(디메틸아미노) 주석, n-부틸 트리스(디메틸아미노) 주석, 세크-부틸 트리스(디메틸아미노) 주석, i-프로필(트리스) 디메틸아미노 주석, n-프로필 트리스(디에틸아미노) 주석, 및 세크-헥실 트리스(t-부톡시) 주석, t-헥실 트리스(t-부톡시) 주석, i-헥실 트리스(t-부톡시) 주석, n-헥실 트리스(t-부톡시) 주석, 세크-펜틸 트리스(t-부톡시) 주석, t-펜틸 트리스(t-부톡시) 주석, i-펜틸 트리스(t-부톡시) 주석, n-펜틸 트리스(t-부톡시) 주석, t-부틸 트리스(t-부톡시) 주석, i-부틸 트리스(부톡시) 주석, n-부틸 트리스(부톡시) 주석, 세크-부틸 트리스(부톡시) 주석, i-프로필 (트리스) 디메틸아미노 주석, 또는 n-프로필 트리스(부톡시) 주석을 포함하는 유사(analogous) 알킬(트리스)(t-부톡시) 주석 화합물을 포함한다. 일부 실시예들에서, 유기 금속 전구체들 또는 유기 금속 화합물들은 플루오르화된다. 일부 실시예들에서, 유기 금속 전구체들 또는 화합물들은 약 200℃ 미만의 비등점(boiling point)을 갖는다.
일부 실시예들에서, 제1 화합물 또는 제1 전구체는, 기판 또는 개재 하부층에 대한 포토레지스트의 접착을 개선하기 위해, 기판 또는 그 하부층의 표면 상의 작용기, 예컨대 히드록실기와 배위(coordinate)될 수 있는 하나 이상의 불포화 결합을 포함한다.
일부 실시예들에서, 제2 전구체 또는 제2 화합물은 아민, 보란(borane), 포스핀 또는 물 중 적어도 하나이다. 일부 실시예들에서, 아민은 화학식 NpHnXm을 가지며, 여기서 p가 1 일 때 0 ≤ n ≤ 3, 0 ≤ m ≤ 3, n + m = 3이고, p가 2 일 때 n + m = 4이고, 각각의 X는 독립적으로 F, Cl, Br 및 I로 구성된 군에서 선택되는 할로겐이다. 일부 실시예들에서, 보란은 화학식 BpHnXm을 가지며, 여기서 p가 1 일 때 0 ≤ n ≤ 3, 0 ≤ m ≤ 3, n + m = 3 이고, p가 2일 때 n + m = 4이고, 각각의 X는 독립적으로 F, Cl, Br 및 I로 구성된 군에서 선택되는 할로겐이다. 일부 실시예들에서, 포스핀은 화학식 PpHnXm을 가지며, 여기서 p가 1 일 때 0 ≤ n ≤ 3, 0 ≤ m ≤ 3, n + m = 3이고, p가 2 일 때 n + m = 4이고, 각각의 X는 독립적으로 F, Cl, Br 및 I로 구성된 군에서 선택되는 할로겐이다.
일부 실시예들에서, 제2 전구체 또는 화합물은 물, 암모니아 또는 히드라진이다. 물, 암모니아 또는 히드라진과 유기 금속 전구체 또는 화합물의 반응 생성물은, 반응 생성물의 비등점을 증가시키고 금속 포토레지스트 물질의 방출을 방지하는 수소 결합을 형성하여, 금속 오염을 방지할 수 있다. 수소 결합은 또한 포토레지스트층 품질에 대한 수분 효과를 방지하는 데 도움이 될 수 있다.
도 9b는 일부 실시예들에서 화학 방사선에 노광된 결과로서 금속 전구체들이 겪는 반응을 보여준다. 화학 방사선에 노광된 결과, 리간드기(L)들은 금속 전구체들의 금속 코어(M+)로부터 분리되고(split off), 둘 이상의 금속 전구체 코어들은 서로 결합한다.
도 9c는 본 개시의 실시예들에 따른 유기 금속 전구체들의 예를 도시한다. 도 9c는 벤젠기이다.
일부 실시예들에서, 포토레지스트 조성물을 퇴적하는 작업(S110)은 기상 퇴적 작업에 의해 수행된다. 일부 실시예들에서, 기상 퇴적 작업은 원자층 퇴적(ALD, Atomic Layer Deposition) 또는 화학적 기상 퇴적(CVD, Chemical Vapor Deposition)을 포함한다. 일부 실시예들에서, ALD는 플라즈마 강화 원자층 퇴적(PE-ALD, Plasma-Enhanced Atomic Layer Deposition)을 포함하고, CVD는 플라즈마 강화 화학적 기상 퇴적(PE-CVD, Plasma-Enhanced Chemical Vapor Deposition), 금속-유기 화학적 기상 퇴적(MO-CVD, Metal-Organic Chemical Vapor Deposition); 대기압 화학적 기상 퇴적(AP-CVD, Atmospheric Pressure Chemical Vapor Deposition) 및 저압 화학적 기상 퇴적(LP-CVD, Low Pressure Chemical Vapor Deposition)을 포함한다.
본 개시의 일부 실시예들에 따른 레지스트층 퇴적 장치(200)가 도 10에 도시된다. 일부 실시예들에서, 퇴적 장치(200)는 ALD 또는 CVD 장치이다. 퇴적 장치(200)는 진공 챔버(205)를 포함한다. 진공 챔버(205) 내의 기판 지지 스테이지(210)는 실리콘 웨이퍼와 같은 기판(10)을 지지한다. 일부 실시예들에서, 기판 지지 스테이지(210)는 히터를 포함한다. 일부 실시예에서 제1 전구체 또는 복합 가스 공급부(220) 및 캐리어/퍼지 가스 공급부(225)는 가스 라인(235)을 통해 챔버의 유입구(inlet)(230)에 연결되고, 제2 전구체 또는 복합 가스 공급부(240) 및 캐리어/퍼지 가스 공급부(225)는 다른 가스 라인(235')을 통해 챔버의 다른 유입구(230')에 연결된다. 챔버는 배기되고(evacuated), 과잉 반응물 및 반응 부산물은 배출구(250) 및 배기 라인(255)를 통해 진공 펌프(245)에 의해 제거된다. 일부 실시예들에서, 전구체 가스들 및 캐리어/퍼지 가스들의 유속 또는 펄스, 과잉 반응물 및 반응 부산물의 배기, 진공 챔버(205) 내부의 압력 및 진공 챔버(205) 또는 웨이퍼 지지 스테이지(210)의 온도는 이들 파라미터 각각을 제어하도록 구성된 제어기(260)에 의해 제어된다.
포토레지스트층을 퇴적하는 단계는 증기 상태의 제1 화합물 또는 제1 전구체 및 제2 화합물 또는 제2 전구체를 조합하여 포토레지스트 조성물을 형성하는 단계를 포함한다. 일부 실시예들에서, 포토레지스트 조성물의 제1 화합물 또는 제1 전구체 및 제2 화합물 또는 제2 전구체는 유입구들(230, 230')을 통해 거의 동시에 퇴적 챔버(205)(CVD 챔버)로 도입된다. 일부 실시예들에서, 제1 화합물 또는 제1 전구체 및 제2 화합물 또는 제2 전구체는 유입구들(230, 230')을 통해 교대로 퇴적 챔버(205)(ALD 챔버)에 도입된다 - 즉, 먼저 하나의 화합물 또는 전구체, 그런 다음 제2 화합물 또는 전구체, 그런 다음 후속하여 그 하나의 화합물 또는 전구체에 이어 제2 화합물 또는 전구체를 도입하는 것을 교대로 반복한다.
일부 실시예들에서, 퇴적 챔버 온도는 퇴적 작업 동안 약 30℃ 내지 약 400℃의 범위이고, 다른 실시예들에서 약 50℃ 내지 약 250℃의 범위이다. 일부 실시예들에서, 퇴적 챔버의 압력은 퇴적 작업 동안 약 5 mTorr 내지 약 100 Torr의 범위이고, 다른 실시예들에서 약 100 mTorr 내지 약 10 Torr 범위이다. 일부 실시예들에서, 플라즈마 전력은 약 1000 W 미만이다. 일부 실시예들에서, 플라즈마 전력은 약 100 W 내지 약 900 W의 범위이다. 일부 실시예들에서, 제1 화합물 또는 전구체 및 제2 화합물 또는 전구체의 유속은 범위는 약 100 sccm 내지 약 1000 sccm이다. 일부 실시예들에서, 유기 금속 화합물 전구체 대 제2 화합물 또는 전구체의 유속(flow)의 비는 약 1 : 1 내지 약 1 : 5 범위이다. 상기 언급된 범위를 벗어난 작동 파라미터에서, 일부 실시예들에서는 만족스럽지 못한 포토레지스트층이 초래된다. 일부 실시예들에서, 포토레지스트층의 형성은 단일 챔버에서 발생한다(원-포트(one-pot) 층 형성).
본 개시의 일부 실시예들에 따른 CVD 공정에서, 별개의 유입 경로들(230, 235 및 230', 235')에 있는 유기 금속 전구체 및 제2 전구체의 2개 이상의 가스 스트림이 CVD 장치의 퇴적 챔버(205)에 도입되며, 이들은 기체상에서 혼합 및 반응하여 반응 생성물을 형성한다. 스트림들은 일부 실시예들에서 별도의 주입 유입구들(230, 230') 또는 이중-플레넘 샤워 헤드를 사용하여 도입된다. 퇴적 장치는, 유기 금속 전구체 및 제2 전구체 스트림들이 챔버에서 혼합되어, 유기 금속 전구체 및 제2 전구체가 반응하여 반응 생성물을 형성하도록 구성된다. 본 개시의 메커니즘, 기능 또는 유용성을 제한하지 않고, 기상 반응으로부터의 생성물은 분자량이 더 무거워진 다음 응축되거나 그렇지 않으면 기판(10) 상에 퇴적되는 것으로 믿어진다.
일부 실시예들에서, 포토레지스트층을 퇴적하도록 ALD 공정이 사용된다. ALD 동안, 기체 화합물들(또는 전구체들)을 번갈아 사용하기 위해 기판의 표면을 노광시킴으로써 기판(10) 상에 층이 성장된다. CVD와 달리, 전구체들은 일련의 순차적이고, 중첩하지 않는 펄스로 도입된다. 이러한 각각의 펄스에서, 전구체 분자들은 자기-제한 방식으로 표면과 반응하여, 표면 상의 모든 반응성 부위가 소모되면 반응이 종료된다. 결과적으로, 모든 전구체들에 한 번 노광된 후(소위 ALD 사이클), 표면 상에 퇴적되는 물질의 최대 양은 전구체-표면 상호 작용의 특성에 따라 결정된다.
ALD 공정의 일 실시예에서, 제1 전반 반응(first half reaction)에서 유기 금속 전구체가 펄스화되어 기판(10) 표면에 금속-함유 전구체를 전달한다. 일부 실시예들에서, 유기 금속 전구체는 적합한 기본 종(underlying species)(예를 들어, 기판 표면 상의 OH 또는 NH 작용기)과 반응하여 새로운 자기-포화(self-saturating) 표면을 형성한다. 일부 실시예들에서 과잉의 미사용 반응물 및 반응 부산물은 진공 펌프(245)를 사용한 배기-펌프 다운 및/또는 불활성 퍼지 가스의 유동에 의해 제거된다. 그런 다음, 암모니아(NH3)와 같은 제2 전구체가 일부 실시예들에서 퇴적 챔버로 펄스화된다. NH3는 기판 상의 유기 금속 전구체와 반응하여 기판 표면 상의 반응 생성물 포토레지스트를 얻는다. 제2 전구체는 또한 기본 반응성 종(underlying reactive species)과 자기-포화 결합을 형성하여 다른 자기-제한 및 포화(self-limiting and suturing) 제2 후반 반응(second half reaction)을 제공한다. 일부 실시예들에서 미사용 반응물 및 반응 부산물을 제거하도록 제2 퍼지가 수행된다. 원하는 두께의 포토레지스트층이 달성될 때까지 제1 전구체 및 제2 전구체의 펄스들은 개재 퍼지 작업(intervening purge operation)들로 교번된다.
일부 실시예들에서, 포토레지스트층(15)은 약 5 nm 내지 약 50 nm의 두께로, 다른 실시예들에서 약 10 nm 내지 약 30 nm의 두께로 형성된다. 당업자는 상기 명시적 범위 내의 추가적인 두께 범위가 고려되고 본 개시 내에 있음을 인식할 것이다. 두께는 포토레지스트층의 광학적 특성을 기반으로 하는 x-선 반사율 및/또는 편광 해석법(ellipsometry)의 비접촉 방법을 사용하여 평가할 수 있다. 일부 실시예들에서, 각각의 포토레지스트층 두께는 처리를 용이하게 하기 위해 비교적 균일하다. 일부 실시예들에서, 퇴적된 포토레지스트층의 두께의 변화는 평균 두께로부터 ±25 % 이하만큼 변하고, 다른 실시예들에서 각각의 포토레지스트층 두께는 평균 포토레지스트층 두께로부터 ±10 % 이하만큼 변한다. 더 큰 기판 상의 높은 균일성 퇴적과 같은 일부 실시예들에서, 포토레지스트층 균일성의 평가는 1센티미터 에지 배제로 평가될 수 있다 - 즉, 층 균일성은 에지의 1센티미터 내의 코팅 부분에 대해 평가되지 않는다. 당업자는 상기 명시적 범위 내의 추가 범위가 고려되고 본 개시 내에 있음을 인식할 것이다.
일부 실시예들에서, 제1 및 제2 화합물들 또는 전구체들은 캐리어 가스와 함께 퇴적 챔버(205)로 전달된다. 캐리어 가스, 퍼지 가스, 퇴적 가스 또는 기타 공정 가스는 질소, 수소, 아르곤, 네온, 헬륨 또는 이들의 조합을 포함할 수 있다.
일부 실시예들에서, 유기 금속 화합물은 금속 성분으로서 주석(Sn), 안티몬(Sb), 비스무트(Bi), 인듐(In) 및/또는 텔루르(Te)를 포함하지만, 본 개시는 이들 금속에 제한되지 않는다. 다른 실시예들에서, 추가적인 적합한 금속은 티타늄(Ti), 지르코늄(Zr), 하프늄(Hf), 바나듐(V), 코발트(Co), 몰리브덴(Mo), 텅스텐(W), 알루미늄(Al), 갈륨(Ga), 실리콘(Si), 게르마늄(Ge), 인(P), 비소(As), 이트륨(Y), 란탄(La), 세륨(Ce), 루테튬(Lu) 또는 이들의 조합을 포함한다. 추가적인 금속이 Sn, Sb, Bi, In 및/또는 Te에 대안물로서 또는 추가적으로 포함될 수 있다.
사용된 특정 금속은 방사선 흡수에 상당한 영향을 미칠 수 있다. 따라서, 원하는 방사선(radiation) 및 흡수 단면에 따라 금속 성분이 선택될 수 있다. 주석, 안티몬, 비스무트, 텔루르 및 인듐은 13.5 nm에서 극 자외선을 강력하게 흡수한다. 하프늄은 전자 빔과 극 자외선을 잘 흡수한다. 티타늄, 바나듐, 몰리브덴 또는 텅스텐을 포함하는 금속 조성물은 더 긴 파장에서 강한 흡수도를 가지며, 예를 들어 248 nm 파장의 자외선에 대한 감도를 제공한다.
도 11은 본 개시의 일 실시예에 따른 화학 방사선에 대한 노광 및 가열의 결과로서 포토레지스트 조성물 성분이 겪는 반응을 도시한다. 도 11은 본 개시의 실시예들에 따른 포토레지스트 패턴화 방법의 다양한 단계에서 포토레지스트층(PR, Photoresist layer)의 예시적인 화학 구조체를 도시한다. 도 11에 도시된 바와 같이, 포토레지스트 조성물은 유기 금속 화합물, 예를 들어 SnX2R2 및 제2 화합물, 예를 들어 암모니아(NH3)를 포함한다. 유기 금속 화합물과 암모니아가 결합될 때, 유기 금속 화합물은 기상(vapor phase)의 일부 암모니아와 반응하여 유기 금속 화합물의 금속(Sn)에 부착된 아민기와 반응 생성물을 형성한다. 퇴적된 포토레지스트층의 아민기는 퇴적된 포토레지스트층의 비등점을 실질적으로 증가시키고 금속-함유 포토레지스트 물질의 가스 방출을 방지할 수 있는 수소 결합을 가지고 있어, 금속-함유 포토레지스트에서 금속에 의한 퇴적 챔버 및 반도체 디바이스 처리 장비의 오염을 방지할 수 있다. 또한 아민 기의 수소 결합은 수분이 포토레지스트층 품질에 미치는 영향을 제어할 수 있다.
일부 실시예들에서, 포토레지스트 조성물은, 스핀-온 코팅 절차에 의해 퇴적된 후 용매를 제거하기 위한 1차 가열로 형성되는 용매 중의 유기 폴리머-기반 조성물이다.
후속하여 극 자외선에 노광될 때, 유기 금속 화합물은 극 자외선 방사선을 흡수하고, 하나 이상의 유기 R기가 유기 금속 화합물로부터 분리되어(cleaved) 방사선 노광 영역에서 아미노 금속 화합물을 형성한다. 그런 다음, 노광후 베이킹(PEB, Post-Exposure Bake)이 수행될 때, 아미노 금속 화합물이 도 11에 도시된 바와 같이 일부 실시예들에서 아민기를 통해 가교 결합된다. 일부 실시예들에서, 아미노 금속 화합물의 부분 가교는 극 자외선에 대한 노광의 결과로 발생한다.
일부 실시예들에서, 표면 처리 작업(S115a)은 인-시튜(in-situ) 작업이며, 여기서 표면 처리는 포토레지스트 퇴적 작업(S110)과 동일한 처리 챔버에서 수행된다. 다른 실시예들에서, 표면 처리 작업(115a)은 엑스-시튜(ex-situ) 작업이며, 여기서 표면 처리는 포토레지스트 퇴적 작업(S110)과 다른 처리 챔버에서 수행된다. 일부 실시예들에서, 표면 처리 작업(S115a)은 포토레지스트층의 표면을 친수성 표면에서 소수성 표면으로 변경하는 단계를 포함한다. 일부 실시예들에서, 표면 처리 작업(S115a)은 포토레지스트층의 리간드들의 말단기들을 비-극성 유기기(organic group)로 대체하는 단계를 포함한다. 일부 실시예들에서, 비-극성 유기기들은 알킬 또는 아릴기들을 포함한다. 일부 실시예들에서, 표면 처리는 포토레지스트층의 리간드들 상의 친수성 말단기들을 소수성 말단기들로 변환하는 단계를 포함한다. 일부 실시예들에서, 히드록실(-OH) 말단기들과 같은 리간드들 상의 극성 또는 친수성 말단기들은 메틸기(-CH3) 또는 페닐기(-C6H5)와 같은 비-극성 또는 소수성 말단기들로 대체되거나 전환된다. 일부 실시예들에서, 표면 처리는, -OH 기와 같은 포토레지스트층의 리간드들의 말단기들을 암모니아, 실란, 실릴아민, 알킬 할로겐화물, 아릴 할로겐화물, 실리콘 할로겐화물, 알킬 아민, 아릴 아민, 카르복시 알킬 또는 카르복시 아릴과 반응시키는 단계를 포함한다.
일부 실시예들에서, 리간드 상의 -OH 말단기들은 할로실란(SiRyX4-y)과 반응하며, 여기서 X는 할로겐이고 R은 리간드이다. 할로겐 중 적어도 하나는 수소와 반응하여 제거되고, 말단기는 SiRyX3-yO로 전환된다. 리간드(R)는 비-극성 알킬기이고, y는 일부 실시예들에서 1-3이다. 일부 실시예들에서, 반응 온도는 약 20℃ 내지 약 500℃이다.
도 12a는 본 개시의 일 실시예에 따른 표면 처리 작업을 도시한다. 도 12a에 도시된 바와 같이, 금속 레지스트의 리간드(L)들은 친수성 말단기들(-OH기)을 갖는다. 실릴아민(R3SiNH2)이 친수성 말단기들과 반응하여, 친수성 말단기들을 소수성 말단기들(실릴 아미노기)로 전환한다.
일부 실시예들에서, 표면 처리된 층(20a)은 EUV를 포함하는 자외선에 노광; 열처리; 현상액; 또는 에칭에 의해 제거된다. 일부 실시예들에서, 표면 처리된 층(20a)은 표면 처리된 층(20a)을 약 50℃ 내지 약 400℃ 범위의 온도로 가열함으로써 제거된다. 일부 실시예들에서, 표면 처리된 층(20a)은 표면 처리된 층(20a)을 약 10 nm 내지 약 400 nm 범위의 파장을 갖는 자외선에 노광시킴으로써 제거된다.
다른 실시예들에서, 캡층(20b)은 얇은 층이거나 복수의 얇은 층을 포함한다. 일부 실시예들에서, 캡층(20b)은 화학적 기상 퇴적(CVD) 작업 또는 원자층 퇴적(ALD) 작업(S110)에 의해 퇴적된다. 캡층(20b)은 인-시튜(in-situ) 또는 엑스-시튜(ex-situ) 작업으로 형성될 수 있다. 일부 실시예들에서, 캡층(20b)은 CVD 또는 ALD 레지스트층 형성 작업과 동일한 챔버에서 형성된다 즉 인-시튜 작업. 일부 실시예들에서, 캡층(20b)은 유전체층이다. 일부 실시예들에서, 캡층(20b)은 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, SiOC, SiON, 또는 이들의 조합의 다층으로 제조된다. 일부 실시예들에서, 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, SiOC, SiON 기는 하나 이상의 극성 또는 비-극성 알킬 또는 아릴기로 치환된다. 일부 실시예들에서, 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, SiOC, SiON 기는 3차 알킬 또는 3차 페닐기로 치환된다. 일부 실시예들에서, 치환기는 테르트-부틸기이다.
일부 실시예들에서, 캡층(20b)은 헥사메틸디실라잔(HMDS, Hexamethyldisilazane), 스핀-온 유리(SOG), 폴리메틸메타크릴레이트(PMMA, Polymethylmethacrylate) 또는 스핀-온 탄소(SOC, Spin-On Carbon)와 같은 스핀-코팅된 층이다. 이러한 실시예들에서, 캡층(20b)은 레지스트층 형성 작업과 다른 챔버에서 형성된다(즉, 엑스-시튜 작업). 일부 실시예들에서, 캡층(20b)은 침지 리소그래피 공정에 사용되는 포토레지스트 탑 코트층을 포함하지 않는다. 일부 실시예들에서, 캡층(20b)은 유기 폴리머 또는 HMDS를 포함하지 않는다. 일부 실시예들에서, 캡층(20b)의 두께는 약 0.5 nm 내지 약 20 nm 범위이다. 이 범위 미만의 캡층(20b) 두께는 수분 및 산소 흡수 및 포토레지스트 가스 방출을 방지하기에 불충분할 수 있고, 이 범위를 초과하는 두께는 추가적인 이점의 제공 없이 레지스트의 후속 처리를 방해할 수 있다. 일부 실시예들에서, 캡층은 단층이다.
도 12b는 본 개시의 일 실시예에 따른 캡층 형성 작업을 도시한다. 도 12b에 도시된 바와 같이, 금속 레지스트의 리간드들(L)은 극성, 친수성 말단기들(-OH 기)을 갖는다. Si(OR)4와 같은 극성 또는 비-극성 유기기로 치환된 실리콘 산화물이 레지스트층 위에 퇴적된다. 캡층은 레지스트층 표면 상의 극성기들(-OH기)과 반응하여 레지스트층 위에 비-극성, 소수성 말단기들(-OR기)을 형성한다. 일부 실시예들에서, R은 알킬기 또는 페닐기이다. 비-극성, 소수성 말단기들은 캡층(20b)을 통한 수분 침투를 방지함으로써 주변 수분으로부터 레지스트층(15)을 보호한다.
다른 실시예들에서, 캡층(20b)은 CVD 또는 ALD에 의해 레지스트층 위에 SiO2와 같은 실리콘 산화물의 직접 퇴적에 의해 형성된다. SiO2 두께는 약 3 nm 내지 약 20 nm의 범위이다. SiO2 캡층(20b)은, 공기와 직접 접촉하지 않도록 레지스트층(15)을 보호한다.
일부 실시예들에서, 캡층(20b)은 EUV를 포함하는 자외선에 노광; 열처리; 현상액; 또는 에칭에 의해 제거된다. 캡층은 포토레지스트층의 선택적 노광 동안 화학 방사선의 흡수에 부정적인 영향을 미치지 않도록 선택된다. 일부 실시예들에서, 캡층(20b)은 약 50℃ 내지 약 400℃ 범위의 온도로 캡층을 가열함으로써 제거된다. 일부 실시예들에서, 캡층(20b)은 약 10 nm 내지 약 400 nm 범위의 파장을 갖는 자외선에 캡층(20b)을 노광시킴으로써 제거된다.
일부 실시예들에서, 도 13에 도시된 바와 같이, 패턴화될 층(60)이 포토레지스트층을 형성하기 전에 기판 위에 배치된다. 일부 실시예들에서, 패턴화될 층(60)은 금속화층 또는 금속화층 위에 배치된 패시베이션층과 같은 유전체층이다. 패턴화될 층(60)이 금속화층인 실시예들에서, 패턴화될 층(60)은 금속화 공정 및 화학적 기상 퇴적, 원자층 퇴적 및 물리적 기상 퇴적(스퍼터링)을 포함한 금속 퇴적 기술을 사용하여 전도성 물질로 형성된다. 마찬가지로, 패턴화될 층(60)이 유전체층인 경우, 패턴화될 층(60)은 열 산화, 화학적 기상 퇴적, 원자층 퇴적 및 물리적 기상 퇴적을 포함하는 유전체층 형성 기술에 의해 형성된다.
그런 다음, 포토레지스트층의 표면은 도 4를 참조하여 설명된 바와 같이 표면 처리된 층(20a)을 형성하도록 처리되거나, 도 14a 및 14b에 도시된 바와 같이 캡층(20b)이 포토레지스트층(15) 위에 형성된다.
이어서, 포토레지스트층(15)은, 도 15a, 15b, 15c, 및 15d에 도시되고 도 5a 내지 5d와 관련하여 설명된 바와 같이, 화학 방사선(45)에 선택적으로 노광되어 포토레지스트층에 노광 영역(50) 및 미노광 영역(52)을 형성한다. 본원에 설명된 바와 같이, 포토레지스트는 일부 실시예들에서 네거티브-톤 포토레지스트이다.
도 16a, 16b, 16c, 16d, 16e 및 16f에 도시된 바와 같이, 도 6a 내지 6f를 참조하여 본원에서 설명된 바와 같이, 미노광 포토레지스트 영역들(52)이 현상되어 도 17에 도시된 바와 같이 포토레지스트 개구부들(55)의 패턴을 형성한다.
그런 다음, 도 18에 도시된 바와 같이, 포토레지스트층(15)의 패턴(55)은 에칭 작업을 사용하여 패턴화될 층(60)으로 전사되고, 포토레지스트층은 도 7을 참조하여 설명된 바와 같이 제거되어 패턴화될 층(60)에 패턴(55")을 형성한다.
본 개시에 따른 신규의 포토레지스트층 표면 처리 또는 캡층 형성 및 포토리소그래피 패턴화 방법은 종래의 노광 기술보다 더 높은 효율 공정에서 감소된 결함과 함께 더 높은 웨이퍼 노광 처리량에서 더 높은 반도체 디바이스 피처 해상도 및 밀도를 제공한다. 본 개시의 실시예들은 증가된 Q-시간(포토레지스트가 잠재 패턴을 형성하기 위해 화학 방사선에 노광되기 전에, 포토레지스트가 패턴화될 기판 또는 층 상에 남아있을 수 있는 시간의 양)을 갖는 안정한 포토레지스트 코팅된 기판을 제공한다. 본 개시의 실시예들은 레지스트층의 수분 및 산소 흡수를 방지하고, 후속 처리 동안 레지스트층의 가스 방출을 방지한다. 본 개시의 실시예들은 금속 레지스트 잔류물에 의한 프로세싱 챔버, 핸들링 도구 및 기타 웨이퍼의 오염을 방지한다. 본 개시의 실시예들은 개선된 안정성을 갖는 포토레지스트 필름을 제공한다.
본 개시의 일 실시예는, 기판 위에 포토레지스트층을 형성하는 단계 및 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계를 포함하는, 포토레지스트층에 패턴을 형성하는 방법이다. 포토레지스트층은 화학 방사선에 선택적으로 노광되어 잠재 패턴을 형성하고, 선택적으로 노광된 포토레지스트층에 현상제를 도포함으로써 잠재 패턴이 현상되어 패턴이 현상된다. 일 실시예에서, 포토레지스트층은 금속-함유 포토레지스트 조성물을 포함한다. 일 실시예에서, 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계는 포토레지스트층 위에 캡층을 형성하는 단계를 포함하고, 여기서 캡층은 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, SiOC, SiON 또는 이들의 조합의 다층으로 이루어진다. 일 실시예에서, 캡층은 단층이다. 일 실시예에서, 캡층은 화학적 기상 퇴적 또는 원자층 퇴적에 의해 형성된다. 일 실시예에서, 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계는 포토레지스트층의 표면에 표면 처리를 수행하는 단계를 포함한다. 일 실시예에서, 표면 처리는 포토레지스트층에서 리간드들의 말단기들을 암모니아, 실란, 실릴아민, 알킬 할로겐화물, 아릴 할로겐화물, 실리콘 할로겐화물, 알킬 아민, 카복시 알킬 또는 카복시 아릴과 반응시키는 단계를 포함한다. 일 실시예에서, 표면 처리는 포토레지스트층에서 리간드들의 말단기들을 비-극성 유기기로 대체하는 단계를 포함한다. 일 실시예에서, 표면 처리는 포토레지스트층의 표면을 친수성 표면에서 소수성 표면으로 변경하는 단계를 포함한다. 일 실시예에서, 표면 처리는 포토레지스트층의 리간드들 상의 친수성 말단기들을 소수성 말단기들로 변환하는 단계를 포함한다. 일 실시예에서, 화학 방사선은 극 자외선이다. 일 실시예에서, 방법은, 잠재 패턴을 형성하기 위해 화학 방사선에 포토레지스트층을 선택적으로 노광시키는 단계 후에 그리고 잠재 패턴을 현상하는 단계 전에, 포토레지스트층을 노광후 베이킹하는 단계를 포함한다. 일 실시예에서, 노광후 베이킹 단계는 100℃ 내지 500℃ 범위의 온도에서 수행된다. 일 실시예에서, 표면 처리는 포토레지스트를 플라즈마로 처리하는 단계 또는 포토레지스트층의 표면을 열처리하는 단계를 포함한다. 일 실시예에서, 포토레지스트층의 표면 처리된 부분은 자외선에 대한 노광, 극 자외선에 대한 노광, 열처리, 현상액 또는 에칭에 의해 제거된다. 일 실시예에서, 캡층은 화학적 기상 퇴적(CVD) 작업 또는 원자층 퇴적(ALD) 작업에 의해 형성된다. 일 실시예에서, 캡층은 자외선에 대한 노광, 극 자외선에 대한 노광, 열 처리, 현상액 또는 에칭에 의해 제거된다. 일 실시예에서, 잠재 패턴을 현상하는 단계 동안 캡층이 제거된다.
본 개시의 다른 실시예는, 증기 상태로 제1 전구체 및 제2 전구체를 결합하여 포토레지스트 물질을 형성하는 단계 및 기판 위에 포토레지스트 물질을 퇴적하는 단계에 의해 기판 위에 포토레지스트층을 형성하는 단계를 포함하는 반도체 디바이스를 제조하는 방법이다. 포토레지스트층의 수분 또는 산소 흡수 특성이 감소한다. 포토레지스트층에 잠재 패턴을 형성하기 위해 포토레지스트층은 화학 방사선에 선택적으로 노광된다. 선택적으로 노광된 포토레지스트층에 현상제를 도포하여 포토레지스트층에 패턴을 형성함으로써 잠재 패턴이 현상되고, 포토레지스트층의 패턴은 기판으로 확장된다. 일 실시예에서, 제1 전구체는 화학식 MaRbXc를 갖는 유기 금속이며, 여기서 M은 Sn, Bi, Sb, In, Te, Ti, Zr, Hf, V, Co, Mo, W, Al, Ga, Si, Ge, P, As, Y, La, Ce, 또는 Lu 중의 적어도 하나이고, R은 치환 또는 비치환된 알킬기, 알케닐기 또는 카르복실레이트기고, X는 할로겐화물기 또는 설포네이트기이고, 1 ≤ a ≤ 2, b ≥ 1, c ≥ 1, 및 b + c ≤ 5이고; 제2 전구체는 아민, 보란(borane), 포스핀 또는 물 중 적어도 하나이다. 일 실시예에서, 포토레지스트 물질은 원자층 퇴적(ALD) 또는 화학적 기상 퇴적(CVD)에 의해 기판 위에 퇴적된다. 일 실시예에서, 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계는 포토레지스트층의 표면을 친수성 표면에서 소수성 표면으로 변화시키는 단계를 포함한다. 일 실시예에서, 포토레지스트층의 수분 또는 산소 흡수를 감소시키는 단계는 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, SiOC, SiON 또는 이들의 조합의 다층으로 이루어진 캡층을 형성하는 단계를 포함한다. 일 실시예에서, 방법은, 잠재 패턴을 현상하는 단계 동안 포토레지스트층의 캡층 또는 표면 처리된 부분을 제거하는 단계를 포함한다. 일 실시예에서, 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계는, 포토레지스트층의 리간드들의 말단기들을 암모니아, 실란, 실릴아민, 알킬 할로겐화물, 아릴 할로겐화물, 실리콘 할로겐화물, 알킬 아민, 카르복시 알킬, 또는 카르복실 아릴과 반응시키는 단계를 포함한다. 일 실시예에서, 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계는 포토레지스트층의 리간드들의 말단기들을 비-극성 유기기로 대체하는 단계를 포함한다. 일 실시예에서, 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계는 포토레지스트층의 리간드들 상의 친수성 말단기들을 소수성 말단기들로 변환하는 단계를 포함한다. 일 실시예에서, 캡층은 단층이다.
본 개시의 다른 실시예는, 포토레지스트층을 형성하도록, 원자층 퇴적(ALD) 또는 화학적 기상 퇴적(CVD)을 통해 기판 표면 위에 제1 유기 금속 화합물 및 제2 화합물을 포함하는 포토레지스트 조성물을 퇴적하는 단계를 포함하는 반도체 디바이스를 제조하는 방법이다. 포토레지스트층의 수분 또는 산소 흡수 특성이 감소한다. 잠재 패턴을 형성하도록, 포토레지스트층은 화학 방사선에 선택적으로 노광된다. 기판 표면의 일부를 노광하는 패턴을 형성하도록, 선택적으로 노광된 포토레지스트층에 현상제를 도포하여 잠재 패턴이 현상된다. 현상 단계에 의해 노광된 기판 표면의 일부가 제거된다. 일 실시예에서, 방법은, 현상 단계에 의해 기판 표면의 일부를 제거하는 단계는 기판 표면을 에칭하는 단계를 포함한다. 일 실시예에서, 방법은, 포토레지스트층을 선택적으로 노광시켜서 잠재 패턴을 형성하는 단계 후에, 100℃ 내지 500℃ 범위의 온도에서 포토레지스트층을 가열하는 단계를 포함한다. 일 실시예에서, 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계는 포토레지스트층의 리간드들의 말단기들을 암모니아, 실란, 알킬 할로겐화물, 실리콘 할로겐화물, 아미노 알킬 또는 카르복실 알킬과 반응시키는 단계를 포함한다. 일 실시예에서, 포토레지스트층의 수분 또는 산소 흡수를 감소시키는 단계는 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, SiOC, SiON 또는 이들의 조합의 다층으로 이루어진 캡층을 형성하는 단계를 포함한다. 일 실시예에서, 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계는 포토레지스트층 내의 리간드들의 말단기들을 비-극성 유기기로 대체하는 단계를 포함한다. 일 실시예에서, 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계는 포토레지스트층의 표면을 친수성 표면에서 소수성 표면으로 변화시키는 단계를 포함한다. 일 실시예에서, 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계는 포토레지스트층의 리간드들 상의 친수성 말단기들을 소수성 말단기들로 변환하는 단계를 포함한다. 일 실시예에서, 캡층은 단층이다. 일 실시예에서, 화학 방사선은 극 자외선이다.
본 개시의 다른 실시예는, 기판 위에 레지스트층을 형성하는 단계, 레지스트층의 표면을 표면 처리하는 단계, 레지스트층 위에 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, SiOC, SiON 또는 이들의 조합의 다층으로 이루어진 캡층을 형성하는 단계를 포함하는 방법이다. 레지스트층은 패턴 방식으로 가교되고, 가교되지 않은 레지스트층의 일부는 패턴 방식의 가교 단계 동안 제거되어 레지스트층에 패턴을 형성한다. 일 실시예에서, 방법은, 패턴 방식의 가교 단계 후에 그리고 가교되지 않은 레지스트층의 일부를 제거하는 단계 전에 레지스트층을 가열하는 단계를 포함한다. 일 실시예에서, 레지스트층은 레지스트층을 가열하는 단계 동안 100℃ 내지 500℃ 범위의 온도에서 가열된다. 일 실시예에서, 레지스트층의 일부를 제거하는 단계는 패턴 방식으로 가교된 레지스트층에 현상제를 도포하는 단계를 포함한다. 일 실시예에서, 레지스트층의 일부를 제거하는 단계는, 패턴 방식으로 가교된 레지스트층에 플라즈마를 도포하는 단계를 포함한다. 일 실시예에서, 레지스트층 또는 캡층의 표면 처리된 부분은 레지스트층을 패턴 방식으로 가교 결합하는 단계 후에 그리고 레지스트층의 일부를 제거하는 단계 전에 제거된다. 일 실시예에서, 레지스트층 또는 캡층의 표면 처리된 부분은 자외선에 노광, 극 자외선에 노광, 열 처리, 현상액 또는 에칭에 의해 제거된다. 일 실시예에서, 증기 상태의 제1 전구체 및 제2 전구체를 조합하여 레지스트 물질을 형성함으로써 레지스트층이 기판 위에 형성되고, 여기서 제1 전구체는 화학식 MaRbXc을 갖는 유기 금속이며, M은 Sn, Bi, Sb, In, Te, Ti, Zr, Hf, V, Co, Mo, W, Al, Ga, Si, Ge, P, As, Y, La, Ce, 또는 Lu 중의 적어도 하나이고, R은 치환 또는 비치환된 알킬기, 알케닐기 또는 카르복실레이트기고, X는 할로겐화물기 또는 설포네이트기이고, 1 ≤ a ≤ 2, b ≥ 1, c ≥ 1, 및 b + c ≤ 5이고; 제2 전구체는 아민, 보란(borane), 포스핀 또는 물 중 적어도 하나이다. 일 실시예에서, 레지스트층은 원자층 퇴적(ALD) 또는 화학적 기상 퇴적(CVD)에 의해 기판 위에 퇴적된다.
본 개시의 다른 실시예는, 원자층 퇴적(ALD) 또는 화학적 기상 퇴적(CVD)에 의해 기판 표면 위에 레지스트층을 퇴적하는 단계를 포함하는, 레지스트층을 패턴화하는 방법이다. 레지스트층은 유기 금속 화합물과 아민, 보란, 포스핀 및 물 중 적어도 하나의 반응 생성물을 포함한다. 레지스트층의 표면이 표면 처리되거나 캡층이 레지스트층 위에 형성된다. 레지스트층의 표면을 처리하거나 캡층을 형성하는 단계 후에, 레지스트층은 패턴 방식으로 가교되어 레지스트층에 잠재 패턴을 형성한다. 잠재 패턴은, 패턴 방식의 가교된 레지스트층에 현상제를 도포하여 기판 표면의 일부를 노광하는 패턴을 형성하는 단계에 의해 현상된다. 일 실시예에서, 방법은, 패턴 방식으로 가교하는 단계 전에 레지스트층의 1차 가열을 포함한다. 일 실시예에서, 표면 처리 또는 캡층 형성 단계는 1차 가열 전에 수행된다. 일 실시예에서, 표면 처리 또는 캡층 형성 단계는 1차 가열 후에 수행된다. 일 실시예에서, 방법은 패턴 방식으로 가교하는 단계 후에 레지스트층의 2차 가열을 포함한다. 일 실시예에서, 레지스트층의 2차 가열은 100℃ 내지 500℃ 범위의 온도에서 수행된다. 일 실시예에서, 방법은 현상 단계 후에 기판 표면의 노광된 부분을 제거하는 단계를 포함한다. 일 실시예에서, 레지스트층을 패턴 방식으로 가교하는 단계는 레지스트층을 극 자외선에 패턴 방식으로 노광시키는 단계를 포함한다.
본 개시의 다른 실시예는, 기판 위에 기상(vapor phase) 유기 금속 화합물 및 제2 기상 화합물의 반응 생성물을 퇴적하는 단계를 포함하는 반도체 디바이스를 제조하는 방법이다. 유기 금속 화합물은 화학식 MaRbXc를 갖는 유기 금속이며, 여기서 M은 Sn, Bi, Sb, In, Te, Ti, Zr, Hf, V, Co, Mo, W, Al, Ga, Si, Ge, P, As, Y, La, Ce, 또는 Lu 중의 적어도 하나이고, R은 치환 또는 비치환된 알킬기, 알케닐기 또는 카르복실레이트기고, X는 할로겐화물기 또는 설포네이트기이고, 1 ≤ a ≤ 2, b ≥ 1, c ≥ 1, 및 b + c ≤ 5이고; 제2 전구체는 아민, 보란(borane), 포스핀 또는 물 중 적어도 하나이다. 레지스트층의 표면은 표면 처리되거나 캡층이 레지스트층 위에 형성된다. 레지스트층은 패턴 방식으로 가교되어 레지스트층에 잠재 패턴을 형성한다. 레지스트층을 패턴 방식으로 가교하는 단계 후에, 레지스트층 또는 캡층의 표면 처리된 부분이 제거된다. 잠재 패턴은 패턴 방식의 가교된 레지스트층에 현상제를 도포하여 기판의 표면 부분을 노광시키는 패턴을 형성하는 단계에 의해 현상된다. 일 실시예에서, 레지스트층 또는 캡층의 표면 처리된 부분은 잠재 패턴을 현상하는 단계 동안 제거된다. 일 실시예에서, 레지스트층을 패턴 방식으로 가교하는 단계는 레지스트층 또는 캡층의 표면 처리된 부분을 통해 레지스트층을 극 자외선에 패턴 방식으로 노광시키는 단계 및 패턴 방식으로 노광된 레지스트층을 가열하는 단계를 포함한다. 일 실시예에서, 패턴 방식으로 노광된 레지스트층은 100℃ 내지 500℃ 범위의 온도에서 가열된다. 일 실시예에서, 캡층이 형성되고, 캡층은 감광성층이 아니다. 일 실시예에서, 방법은 현상 단계에 의해 노광된 기판의 일부를 제거하는 단계를 포함한다. 일 실시예에서, 방법은, 레지스트층을 패턴 방식으로 가교하는 단계 전에 40℃ 내지 150℃ 범위의 온도에서 레지스트층을 가열하는 단계를 포함한다. 일 실시예에서, 표면 처리 단계는, 레지스트층의 리간드들의 말단기들을 암모니아, 실란, 실릴아민, 알킬 할로겐화물, 아릴 할로겐화물, 실리콘 할로겐화물, 알킬 아민, 카르복시 알킬 또는 카르복시 아릴과 반응시키는 단계를 포함한다. 일 실시예에서, 표면 처리 단계는 레지스트층에서 리간드들의 말단기들을 비-극성 유기기로 대체하는 단계를 포함한다. 일 실시예에서, 표면 처리 단계는 레지스트층의 표면을 친수성 표면에서 소수성 표면으로 변경하는 단계를 포함한다. 일 실시예에서, 표면 처리 단계는 레지스트층의 리간드들 상의 친수성 말단기들을 소수성 말단기들로 변환하는 단계를 포함한다. 일 실시예에서, 캡층은 단층이다. 일 실시예에서, 캡층은 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, SiOC, SiON, 또는 이들의 조합의 다층으로 이루어진다.
본 개시의 다른 실시예는 기상 퇴적 작업에 의해 기판 위에 포토레지스트층을 퇴적하는 단계를 포함하는 포토레지스트층을 패턴화하는 방법이다. 포토레지스트층은 유기 금속 화합물과 제2 화합물의 반응 생성물을 포함하고, 여기서 제2 화합물은 아민, 보란, 포스핀 또는 물 중 적어도 하나이다. 캡층이 포토레지스트층 위에 형성되고, 여기서 캡층은 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, SiOC, SiON 또는 이들의 조합의 다층으로 만들어진다. 포토레지스트층은 캡층을 통해 화학 방사선에 선택적으로 노광되어 포토레지스트층에 잠재 패턴을 형성한다. 캡층이 제거되고, 화학 방사선에 노광되지 않은 포토레지스트층의 일부가 제거되어, 포토레지스트층을 선택적으로 노광하는 단계 동안 화학 방사선에 노광된 포토레지스트층의 나머지 부분의 패턴을 형성한다. 일 실시예에서, 방법은 포토레지스트층의 제거 부분에 의해 노광된 기판의 부분을 제거하는 단계를 포함한다. 일 실시예에서, 기판의 제거 부분은 기판을 건식 에칭하는 단계를 포함한다. 일 실시예에서, 포토레지스트층의 일부를 제거하는 단계는 포토레지스트층에 플라즈마를 도포하는 단계를 포함한다. 일 실시예에서, 기상 퇴적 작업은 원자층 퇴적 또는 화학적 기상 퇴적을 포함한다. 일 실시예에서, 캡층은 화학적 기상 퇴적의 원자층 퇴적에 의해 형성된다. 일 실시예에서, 방법은, 화학 방사선에 포토레지스트층을 선택적으로 노광하는 단계 전에 40℃ 내지 150℃ 범위의 온도에서 포토레지스트층을 가열하는 단계를 포함한다. 일 실시예에서, 캡층은 40℃ 내지 150℃ 범위의 온도에서 포토레지스트층을 가열하기 전에 형성된다. 일 실시예에서, 40℃ 내지 150℃ 범위의 온도에서 포토레지스트층을 가열하는 단계 후에 캡층이 형성된다. 일 실시예에서, 화학 방사선에 미노광 포토레지스트층의 부분을 제거하는 단계 동안 캡층이 제거된다. 일 실시예에서, 방법은, 화학 방사선에 포토레지스트층을 선택적으로 노광시키는 단계 후에, 100℃ 내지 500℃ 범위의 온도에서 캡층 및 포토레지스트층을 가열하는 단계를 포함한다.
전술한 내용은 당업자가 본 개시의 양태를 보다 잘 이해할 수 있도록 몇몇 실시예들의 피처부를 개략적으로 설명한다. 당업자는 본 개시가 동일한 목적을 수행하고/수행하거나 본원에 도입된 실시예들의 동일한 장점을 달성하기 위한 다른 공정 및 구조체체를 설계 또는 수정하기 위한 기초로서 본 개시를 용이하게 사용할 수 있음을 이해해야 한다. 당업자는 이러한 등가의 구성이 본 개시의 사상 및 범위를 벗어나지 않으며, 본 개시의 사상 및 범위를 벗어나지 않으면서 본원에서 다양한 변경, 대체 및 변경을 행할 수 있음을 인식해야 한다.
<부기>
1. 포토레지스트층 내에 패턴을 형성하는 방법에 있어서,
기판 위에 포토레지스트층을 형성하는 단계;
상기 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계;
잠재 패턴(latent pattern)을 형성하도록, 상기 포토레지스트층을 화학 방사선(actinic radiation)에 선택적으로 노광시키는 단계; 및
패턴을 형성하도록, 상기 선택적으로 노광된 포토레지스트층에 현상제를 도포함으로써 상기 잠재 패턴을 현상하는 단계
를 포함하는, 포토레지스트층 내에 패턴을 형성하는 방법.
2. 제1항에 있어서, 상기 포토레지스트층은 금속-함유 포토레지스트 조성물을 포함하는, 포토레지스트층 내에 패턴을 형성하는 방법.
3. 제2항에 있어서, 상기 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계는, 상기 포토레지스트층 위에 캡층을 형성하는 단계를 포함하고, 상기 캡층은 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, SiOC, SiON, 또는 이들의 다층 조합으로 제조되는, 포토레지스트층 내에 패턴을 형성하는 방법.
4. 제3항에 있어서, 상기 캡층은 단층인, 포토레지스트층 내에 패턴을 형성하는 방법.
5. 제3항에 있어서, 상기 캡층은 화학적 기상 퇴적 또는 원자층 퇴적에 의해 형성되는, 포토레지스트층 내에 패턴을 형성하는 방법.
6. 제2항에 있어서, 상기 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계는, 상기 포토레지스트층의 표면에 표면 처리를 수행하는 단계를 포함하는, 포토레지스트층 내에 패턴을 형성하는 방법.
7. 제6항에 있어서, 상기 표면 처리는, 상기 포토레지스트층 내의 리간드들의 말단기들을 암모니아, 실란, 알킬 할로겐화물, 실리콘 할로겐화물, 아미노 알킬, 또는 카르복실 알킬과 반응시키는 단계를 포함하는, 포토레지스트층 내에 패턴을 형성하는 방법.
8. 제6항에 있어서, 상기 표면 처리는, 상기 포토레지스트층 내의 리간드들의 말단기들을 비-극성 유기기(organic group)로 대체하는 단계를 포함하는, 포토레지스트층 내에 패턴을 형성하는 방법.
9. 제6항에 있어서, 상기 표면 처리는, 상기 포토레지스트층의 표면을 친수성 표면에서 소수성 표면으로 변화시키는 단계를 포함하는, 포토레지스트층 내에 패턴을 형성하는 방법.
10. 제6항에 있어서, 상기 표면 처리는, 상기 포토레지스트층 내의 리간드들 상의 친수성 말단기들을 소수성 말단기들로 변환하는 단계를 포함하는, 포토레지스트층 내에 패턴을 형성하는 방법.
11. 반도체 디바이스를 제조하는 방법에 있어서,
기판 위에 포토레지스트층을 형성하는 단계 - 상기 기판 위에 포토레지스트층을 형성하는 단계는,
포토레지스트 물질을 형성하도록, 증기 상태로 제1 전구체와 제2 전구체를 결합하는(combine) 단계, 및
상기 기판 위에 상기 포토레지스트 물질을 퇴적하는 단계
를 포함함 - ;
상기 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계;
상기 포토레지스트층 내에 잠재 패턴을 형성하도록, 상기 포토레지스트층을 화학 방사선에 선택적으로 노광시키는 단계;
상기 포토레지스트층 내에 패턴을 형성하도록, 상기 선택적으로 노광된 포토레지스트층에 현상제를 도포함으로써 상기 잠재 패턴을 현상하는 단계; 및
상기 포토레지스트층 내의 상기 패턴을 상기 기판 내로 연장시키는 단계
를 포함하는, 반도체 디바이스를 제조하는 방법.
12. 제11항에 있어서, 상기 제1 전구체는 화학식:
MaRbXc
를 갖는 유기 금속이고,
M은 Sn, Bi, Sb, In, Te, Ti, Zr, Hf, V, Co, Mo, W, Al, Ga, Si, Ge, P, As, Y, La, Ce, 및 Lu 중 적어도 하나이고,
R은, 치환 또는 비치환된 알킬기, 알케닐기, 또는 카르복실레이트기이고,
X는 할로겐화물기 또는 설포네이트기이고,
1 ≤ a ≤ 2, b ≥ 1, c ≥ 1, 및 b + c ≤ 5이고;
상기 제2 전구체는 아민, 보란(borane), 포스핀, 및 물 중 적어도 하나인, 반도체 디바이스를 제조하는 방법.
13. 제11항에 있어서, 상기 포토레지스트 물질은 원자층 퇴적(ALD, Atomic Layer Deposition) 또는 화학적 기상 퇴적(CVD, Chemical Vapor Deposition)에 의해 상기 기판 위에 퇴적되는, 반도체 디바이스를 제조하는 방법.
14. 제11항에 있어서, 상기 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계는, 상기 포토레지스트층의 표면을 친수성 표면에서 소수성 표면으로 변화시키는 단계를 포함하는, 반도체 디바이스를 제조하는 방법.
15. 제11항에 있어서, 상기 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계는, 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, SiOC, SiON, 또는 이들의 다층 조합으로 제조되는 캡층을 형성하는 단계를 포함하는, 반도체 디바이스를 제조하는 방법.
16. 반도체 디바이스를 제조하는 방법에 있어서,
포토레지스트층을 형성하도록, 원자층 퇴적(ALD) 또는 화학적 기상 퇴적(CVD)을 통해, 기판 표면 위에 1 유기 금속 화합물 및 제2 화합물을 포함하는 포토레지스트 조성물을 퇴적하는 단계;
상기 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계;
잠재 패턴을 형성하도록, 상기 포토레지스트층을 화학 방사선에 선택적으로 노광시키는 단계;
상기 기판 표면의 일부를 노출시키는 패턴을 형성하도록, 상기 선택적으로 노광된 포토레지스트층에 현상제를 도포함으로써 상기 잠재 패턴을 현상하는 단계; 및
상기 현상하는 단계에 의해 노출된 상기 기판 표면의 일부를 제거하는 단계
를 포함하는, 반도체 디바이스를 제조하는 방법.
17. 제16항에 있어서, 상기 현상하는 단계에 의해 노출된 상기 기판 표면의 일부를 제거하는 단계는, 상기 기판 표면을 에칭하는 단계를 포함하는, 반도체 디바이스를 제조하는 방법.
18. 제16항에 있어서, 잠재 패턴을 형성하도록 상기 포토레지스트층을 선택적으로 노광시키는 단계 후에, 100℃에서부터 500℃까지의 범위의 온도에서 상기 포토레지스트층을 가열하는 단계를 더 포함하는, 반도체 디바이스를 제조하는 방법.
19. 제16항에 있어서, 상기 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계는, 상기 포토레지스트층 내의 리간드들의 말단기들을 암모니아, 실란, 실릴아민, 알킬 할로겐화물, 아릴 할로겐화물, 실리콘 할로겐화물, 알킬 아민, 카르복시 알킬, 또는 카르복시 아릴과 반응시키는 단계를 포함하는, 반도체 디바이스를 제조하는 방법.
20. 제16항에 있어서, 상기 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계는, 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, SiOC, SiON, 또는 이들의 다층 조합으로 제조되는 캡층을 형성하는 단계를 포함하는, 반도체 디바이스를 제조하는 방법.

Claims (10)

  1. 포토레지스트층 내에 패턴을 형성하는 방법에 있어서,
    기판 위에 포토레지스트층을 형성하는 단계;
    상기 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계 - 상기 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계는, 상기 포토레지스트층 내의 리간드들의 표면 상의 친수성 말단기들을 소수성 말단기들로 변환하도록 상기 포토레지스트층 내의 리간드들을 개질하는 단계를 포함함 - ;
    잠재 패턴(latent pattern)을 형성하도록, 상기 포토레지스트층을 화학 방사선(actinic radiation)에 선택적으로 노광시키는 단계; 및
    패턴을 형성하도록, 상기 선택적으로 노광된 포토레지스트층에 현상제를 도포함으로써 상기 잠재 패턴을 현상하는 단계
    를 포함하는, 포토레지스트층 내에 패턴을 형성하는 방법.
  2. 제1항에 있어서, 상기 포토레지스트층은 금속-함유 포토레지스트 조성물을 포함하는, 포토레지스트층 내에 패턴을 형성하는 방법.
  3. 삭제
  4. 삭제
  5. 반도체 디바이스를 제조하는 방법에 있어서,
    기판 위에 포토레지스트층을 형성하는 단계 - 상기 기판 위에 포토레지스트층을 형성하는 단계는,
    포토레지스트 물질을 형성하도록, 증기 상태로 제1 전구체와 제2 전구체를 결합하는 단계, 및
    상기 기판 위에 상기 포토레지스트 물질을 퇴적하는 단계
    를 포함함 - ;
    상기 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계 - 상기 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계는, 상기 포토레지스트층 내의 리간드들의 표면 상의 친수성 말단기들을 소수성 말단기들로 변환하도록 상기 포토레지스트층 내의 리간드들을 개질하는 단계를 포함함 - ;
    상기 포토레지스트층 내에 잠재 패턴을 형성하도록, 상기 포토레지스트층을 화학 방사선에 선택적으로 노광시키는 단계;
    상기 포토레지스트층 내에 패턴을 형성하도록, 상기 선택적으로 노광된 포토레지스트층에 현상제를 도포함으로써 상기 잠재 패턴을 현상하는 단계; 및
    상기 포토레지스트층 내의 상기 패턴을 상기 기판 내로 연장시키는 단계
    를 포함하는, 반도체 디바이스를 제조하는 방법.
  6. 제5항에 있어서, 상기 제1 전구체는, 화학식:
    MaRbXc
    를 갖는 유기 금속이고,
    M은 Sn, Bi, Sb, In, Te, Ti, Zr, Hf, V, Co, Mo, W, Al, Ga, Si, Ge, P, As, Y, La, Ce, 및 Lu 중 적어도 하나이고,
    R은, 치환 또는 비치환된 알킬기, 알케닐기, 또는 카르복실레이트기이고,
    X는 할로겐화물기 또는 설포네이트기이고,
    1 ≤ a ≤ 2, b ≥ 1, c ≥ 1, 및 b + c ≤ 5이고;
    상기 제2 전구체는 아민, 보란, 포스핀, 및 물 중 적어도 하나인, 반도체 디바이스를 제조하는 방법.
  7. 제5항에 있어서, 상기 포토레지스트 물질은 원자층 퇴적(ALD, Atomic Layer Deposition) 또는 화학적 기상 퇴적(CVD, Chemical Vapor Deposition)에 의해 상기 기판 위에 퇴적되는, 반도체 디바이스를 제조하는 방법.
  8. 삭제
  9. 반도체 디바이스를 제조하는 방법에 있어서,
    포토레지스트층을 형성하도록, 원자층 퇴적(ALD) 또는 화학적 기상 퇴적(CVD)을 통해, 기판 표면 위에 제1 유기 금속 화합물 및 제2 화합물을 포함하는 포토레지스트 조성물을 퇴적하는 단계;
    상기 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계 - 상기 포토레지스트층의 수분 또는 산소 흡수 특성을 감소시키는 단계는, 상기 포토레지스트층 내의 리간드들의 말단기들을 암모니아, 실란, 실릴아민, 알킬 할로겐화물, 아릴 할로겐화물, 실리콘 할로겐화물, 알킬 아민, 카르복시 알킬, 또는 카르복시 아릴과 반응시키는 단계를 포함함 - ;
    잠재 패턴을 형성하도록, 상기 포토레지스트층을 화학 방사선에 선택적으로 노광시키는 단계;
    상기 기판 표면의 일부를 노출시키는 패턴을 형성하도록, 상기 선택적으로 노광된 포토레지스트층에 현상제를 도포함으로써 상기 잠재 패턴을 현상하는 단계; 및
    상기 현상하는 단계에 의해 노출된 상기 기판 표면의 일부를 제거하는 단계
    를 포함하는, 반도체 디바이스를 제조하는 방법.
  10. 삭제
KR1020210034029A 2020-03-30 2021-03-16 포토레지스트층 표면 처리, 캡층, 및 포토레지스트 패턴을 형성하는 방법 KR102571376B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202063002297P 2020-03-30 2020-03-30
US63/002,297 2020-03-30
US202063026695P 2020-05-18 2020-05-18
US63/026,695 2020-05-18
US17/150,403 2021-01-15
US17/150,403 US11705332B2 (en) 2020-03-30 2021-01-15 Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern

Publications (2)

Publication Number Publication Date
KR20210122679A KR20210122679A (ko) 2021-10-12
KR102571376B1 true KR102571376B1 (ko) 2023-08-25

Family

ID=77854716

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210034029A KR102571376B1 (ko) 2020-03-30 2021-03-16 포토레지스트층 표면 처리, 캡층, 및 포토레지스트 패턴을 형성하는 방법

Country Status (2)

Country Link
US (1) US11705332B2 (ko)
KR (1) KR102571376B1 (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102678588B1 (ko) 2018-11-14 2024-06-27 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
KR20210129739A (ko) * 2019-03-18 2021-10-28 램 리써치 코포레이션 극자외선 (Extreme Ultraviolet) 리소그래피 레지스트들의 거칠기 감소
KR20210149893A (ko) 2019-04-30 2021-12-09 램 리써치 코포레이션 극자외선 리소그래피 레지스트 개선을 위한 원자 층 에칭 및 선택적인 증착 프로세스
KR20210135004A (ko) 2020-01-15 2021-11-11 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
US20210302839A1 (en) * 2020-03-30 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
US11822237B2 (en) * 2020-03-30 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US12002675B2 (en) * 2020-06-18 2024-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist layer outgassing prevention
JP2022127486A (ja) * 2021-02-19 2022-08-31 トヨタ自動車株式会社 配線基板の製造方法
TW202340879A (zh) * 2021-12-16 2023-10-16 美商蘭姆研究公司 高吸收性含金屬光阻的顯影策略
US20240085793A1 (en) * 2022-09-08 2024-03-14 Tokyo Electron Limited Method of forming a moisture barrier on photosensitive organometallic oxides

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004241707A (ja) * 2003-02-07 2004-08-26 Mitsubishi Electric Corp 金属基板及び多層基板
JP2006276443A (ja) * 2005-03-29 2006-10-12 Fujitsu Ltd レジストカバー膜形成材料、レジストパターンの形成方法、半導体装置及びその製造方法
US20120088369A1 (en) * 2010-10-06 2012-04-12 Applied Materials, Inc. Atomic Layer Deposition Of Photoresist Materials And Hard Mask Precursors
WO2019217749A1 (en) * 2018-05-11 2019-11-14 Lam Research Corporation Methods for making euv patternable hard masks

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0476840B1 (en) * 1990-08-30 1997-06-18 AT&T Corp. Process for fabricating a device
US6107006A (en) * 1999-01-18 2000-08-22 Winbond Electronics Corp. Method for forming pattern
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method
WO2017056828A1 (ja) 2015-09-30 2017-04-06 富士フイルム株式会社 パターン形成方法、電子デバイスの製造方法、及び積層体
US9857684B2 (en) 2016-03-17 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon-containing photoresist for lithography
US10770294B2 (en) 2018-06-22 2020-09-08 Tokyo Electron Limited Selective atomic layer deposition (ALD) of protective caps to enhance extreme ultra-violet (EUV) etch resistance
US11327398B2 (en) * 2019-04-30 2022-05-10 Samsung Electronics Co., Ltd. Photoresist compositions and methods for fabricating semiconductor devices using the same
US11822237B2 (en) * 2020-03-30 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004241707A (ja) * 2003-02-07 2004-08-26 Mitsubishi Electric Corp 金属基板及び多層基板
JP2006276443A (ja) * 2005-03-29 2006-10-12 Fujitsu Ltd レジストカバー膜形成材料、レジストパターンの形成方法、半導体装置及びその製造方法
US20120088369A1 (en) * 2010-10-06 2012-04-12 Applied Materials, Inc. Atomic Layer Deposition Of Photoresist Materials And Hard Mask Precursors
WO2019217749A1 (en) * 2018-05-11 2019-11-14 Lam Research Corporation Methods for making euv patternable hard masks

Also Published As

Publication number Publication date
US11705332B2 (en) 2023-07-18
KR20210122679A (ko) 2021-10-12
US20210305040A1 (en) 2021-09-30

Similar Documents

Publication Publication Date Title
KR102571376B1 (ko) 포토레지스트층 표면 처리, 캡층, 및 포토레지스트 패턴을 형성하는 방법
KR102405489B1 (ko) 반도체 디바이스 제조 방법
CN113568271B (zh) 制造半导体器件的方法和图案形成方法
US20240282577A1 (en) Photoresist layer outgassing prevention
US12057315B2 (en) Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US20230369048A1 (en) Method of manufacturing a semiconductor device
US20230072538A1 (en) Method of manufacturing a semiconductor device and pattern formation method
KR102499934B1 (ko) 반도체 디바이스를 제조하는 방법
KR102630481B1 (ko) 반도체 디바이스 제조 방법
TWI796661B (zh) 半導體裝置之製造方法
CN113359392B (zh) 光致抗蚀剂层脱气防止
KR102710407B1 (ko) 포토레지스트층 탈기 방지
US20230375920A1 (en) Method of manufacturing a semiconductor device
US20220291587A1 (en) Method of manufacturing a semiconductor device
US20240085793A1 (en) Method of forming a moisture barrier on photosensitive organometallic oxides

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant