KR20220003967A - 반도체 장치 제조 방법 및 패턴 형성 방법 - Google Patents

반도체 장치 제조 방법 및 패턴 형성 방법 Download PDF

Info

Publication number
KR20220003967A
KR20220003967A KR1020210083013A KR20210083013A KR20220003967A KR 20220003967 A KR20220003967 A KR 20220003967A KR 1020210083013 A KR1020210083013 A KR 1020210083013A KR 20210083013 A KR20210083013 A KR 20210083013A KR 20220003967 A KR20220003967 A KR 20220003967A
Authority
KR
South Korea
Prior art keywords
group
precursor
photoresist
photoresist layer
layer
Prior art date
Application number
KR1020210083013A
Other languages
English (en)
Other versions
KR102647995B1 (ko
Inventor
치-쳉 리우
밍-후이 웽
제이알-훙 리
야루 쳉
치-밍 양
쯔-리앙 리
칭-유 창
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20220003967A publication Critical patent/KR20220003967A/ko
Application granted granted Critical
Publication of KR102647995B1 publication Critical patent/KR102647995B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Formation Of Insulating Films (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

패턴 형성 방법에서, 포토레지스트 층은 증기 상태에서 제1 전구체 및 제2 전구체를 조합함으로써 기판 위에 형성되어 포토레지스트 재료를 형성한다. 제1 전구체는 화학식 MaRbXc 를 가지는 유기 금속이고, 여기서 M은 Sn, Bi, Sb, In 및 Te로 구성된 그룹으로부터 하나 이상 선택되고, R은 상이한 EDG 및/또는 EWG에 의해 치환된 알킬기이고, X는 할로겐화물 또는 술폰산염 기이고, 1 ≤ a ≤ 2, b ≥ 1, c ≥ 1, 및 b + c ≤ 4이다. 제2 전구체는 물, 아민, 보란 및/또는 수소화인이다. 포토레지스트 재료는 기판 위에 퇴적되고, 잠복 패턴을 형성하기 위해 화학 방사선에 선택적으로 노출되며, 잠복 패턴은 패턴을 형성하기 위해 선택적으로 노출된 포토레지스트 층에 현상제를 도포함으로써 현상된다.

Description

반도체 장치 제조 방법 및 패턴 형성 방법 {METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE AND PATTERN FORMATION METHOD}
본 출원은 2020년 7월 2일에 출원된 미국 특허 가출원 제63/047,350호 및 2020년 7월 9일에 출원된 미국 특허 가출원 제63/049,956호에 대한 우선권을 주장하며, 여기에 그 전체가 참조로 포함된다.
소비자 수요에 대응하여 소비자 장치가 점점 더 작아짐에 따라, 이러한 장치의 개별 구성요소도 필연적으로 크기가 줄어들었다. 휴대폰, 컴퓨터 태블릿 등과 같은 장치의 주요 구성요소를 구성하는 반도체 장치는 점점 더 작아지도록 압력 받아왔으며, 대응하여 반도체 장치 내에서 개별장치(예를 들어, 트랜지스터, 저항, 커패시터 등) 또한 크기가 점점 더 작아지도록 압력 받아왔다.
반도체 장치의 제조 프로세스에서 사용되는 하나의 가능한 기술은 포토 리소그래픽 재료의 사용이다. 이러한 재료는 패턴화될 층의 표면에 적용되고 그 후 자체적으로 패턴화된 에너지에 노출된다. 그러한 노출은 감광성 재료의 노출된 영역의 화학적 그리고 물리적 특성을 개질한다. 이 개질은 노출되지 않은 감광성 재료의 영역의 개질 부재와 함께, 한 영역을 제거하되 다른 영역을 제거하지 않는 데 이용될 수 있다.
그러나, 개별 장치의 크기가 감소함에 따라 포토리소그래피 프로세싱을 위한 프로세스 윈도우가 점점 더 엄격해진다. 따라서, 장치를 축소하기 위한 능력을 유지하려면 포토리소그래픽 프로세싱 분야의 발전이 필요하고 점점 더 작은 구성요소를 향한 행진(march)이 유지될 수 있도록 원하는 설계 기준을 충족하기 위한 추가적인 개선이 필요하다.
본 개시는 첨부 도면과 함께 읽을 때 다음의 상세한 설명으로부터 가장 잘 이해된다. 업계의 표준 관행에 따라 다양한 특징들이 축적대로 그려지지 않고 설명 목적으로만 사용된다는 점이 강조된다. 사실, 다양한 특징부들의 치수는 설명을 명확하게 하기 위하여 임의적으로 증가되거나 감소될 수 있다.
도1은 본 개시의 실시예에 따라 반도체 장치를 제조하는 프로세스 흐름을 도시한다.
도2는 본 개시의 실시예에 따라 순차적 동작의 프로세스 단계를 보여준다.
도3a 및 도3b는 본 개시의 실시예에 따라 순차적 동작의 프로세스 단계를 보여준다.
도4a 및 4b는 본 개시의 실시예에 따라 순차적 동작의 프로세스 단계를 보여준다.
도5는 본 개시의 실시예에 따라 순차적 동작의 프로세스 단계를 보여준다.
도6는 본 개시의 실시예에 따라 순차적 동작의 프로세스 단계를 보여준다.
도7a는 본 개시의 실시예에 따라 유기금속 전구체를 보여준다.
도7b는 본 개시의 실시예에 따라 유기금속 전구체를 보여준다.
도8은 본 개시의 일부 실시예에 따라 포토레지스트 퇴적 장치를 보여준다.
도9a는 본 개시의 실시예에 따라 화학 방사선에 대한 노출 및 가열의 결과로서 포토레지스트 층이 겪는 반응을 보여준다.
도9b는 본 개시의 실시예에 따라 화학 방사선에 대한 노출 및 가열의 결과로서 포토레지스트 층이 겪는 반응을 보여준다.
도10는 본 개시의 실시예에 따라 순차적 동작의 프로세스 단계를 보여준다.
도11a 및 11b는 본 개시의 실시예에 따라 순차적 동작의 프로세스 단계를 보여준다.
도12a 및 12b는 본 개시의 실시예에 따라 순차적 동작의 프로세스 단계를 보여준다.
도13은 본 개시의 실시예에 따라 순차적 동작의 프로세스 단계를 보여준다.
도14는 본 개시의 실시예에 따라 순차적 동작의 프로세스 단계를 보여준다.
도15a, 15b, 15c, 15d, 15e 및 15f는 본 개시의 실시예에 따라 반도체 장치의 순차적 제조 동작의 다양한 단계를 보여준다.
도15g, 15h, 15i 및 15j는 본 개시의 실시예에 따라 반도체 장치의 순차적 제조 동작의 다양한 단계를 보여준다.
다음 개시는 본 개시의 다양한 특징들을 구현하기 위한 다수의 상이한 실시예 또는 예를 제공하는 것임을 이해해야 한다. 본 개시를 단순화하기 위해 구성요소들 및 배열의 구체적인 예들이 아래에 기재된다. 이들은 물론 단지 예들일 뿐이며, 제한하고자 하는 것이 아니다. 예를 들어, 엘리먼트의 치수는 개시된 범위 또는 값으로 제한되는 것이 아니라, 프로세스 조건 및/또는 원하는 장치의 속성에 따라 달라질 수 있다. 또한, 이어지는 설명에서 제1 피처를 제2피처 위의 또는 그 상에 형성하는 것은 제1 및 제2 피처들이 직접 접촉하게 형성되는 실시예들을 포함할 수 있고, 제1 및 제2 피처들이 직접 접촉할 수 없게 제1 및 제2 피처들 사이에 개재하는 부가적인 피처들이 형성될 수 있는 실시예들을 또한 포함할 수 있다. 단순성과 명료성을 위해 다양한 피처는 상이한 축적으로 임의로 그려질 수 있다.
또한, 공간적으로 상대적인 용어, 예를 들면, “아래”, “하”, “하부”, “위”, “상부” 등은 도면에 예시된 하나의 요소 또는 피처 대 다른 요소 또는 피처들 의 관계를 묘사하기 위한 설명의 용이성을 위해 여기에서 사용된다. 공간적으로 상대적인 용어들은 도면에 도시된 배향 외에 사용 또는 동작 중인 장치의 다른 배향을 포함하는 것으로 의도된다. 장치는 다른 방식으로 배향(90도 또는 다른 방위로 회전)될 수 있고 여기에서 사용하는 공간적으로 상대적인 서술자(descriptor)는 그에 따라서 동일한 방식으로 해석될 수 있다. 또한, 용어 “제조된(made of)”은 “포함하는( comprising)” 또는 “구성된(consisting of)”을 의미할 수 있다.
반도체 산업이 더 높은 장치 밀도, 더 높은 성능 및 더 낮을 비용을 추구하는 나노미터 기술 프로세스 노드로 발전함에 따라, 반도체 피처 크기를 줄이는데 어려움이 있어왔다. 극 자외선 리소그래피(EUVL: Extreme ultraviolet lithography)는 더 작은 반도체 장치 피처 크기를 형성하고 반도체 웨이퍼 상의 장치 밀도를 높이기 위해 개발되었다. EUVL을 개선하기 위해, 웨이퍼 노출 처리량을 증가시키는 것이 바람직하다. 웨이퍼 노출 처리량은 노출 파워 증가 또는 레지스트 현상속도(민감도) 증가를 통해 향상될 수 있다. 한편, 비용을 줄이기 위해 극 자외선(EUV) 조사량을 줄이는 것이 바람직하다.
금속함유 포토레지스트는 금속이 극 자외 방사선의 높은 흡수력을 가지고 있어서 레지스트 현상속도를 증가시키기 때문에 극 자외선(EUV) 리소프래피에 사용된다. 그러나 금속함유 포토레지스트 층은 프로세싱 동안 가스를 배출할 수 있어 시간이 지남에 따라 포토레지스트 층의 품질이 변하는 원인이 되고 오염을 유발하여 리소그래피 성능에 부정적인 영향을 미치고 결함을 증가시킬 수 있다.
또한, 특히 포토레지스트 층의 더 깊은 부분에서 포토레지스트의 불균일한 노출은 포토레지스트의 불균일한 가교 정도를 유발할 수 있다. 불균일한 노출은 포토레지스트 층의 하부에 도달하는 빛 에너지의 양이 적기 때문에 발생한다. 불균일한 노출은 LWR(line width roughness) 불량을 초래하여 직선 엣지 레지스트 프로파일의 형성을 방지할 수 있다.
또한, 용매 기반의 포토레지스트의 형성과 현상에 사용되는 용매는 독성이 있을 수 있다. 독성 용매를 사용하지 않고 포토레지스트 층 형성 및 후속 패턴 형성의 더 친환경적인 프로세스가 바람직하다.
더욱이, 스핀 코팅 프로세스는 기판 상에 분배된 재료의 2-5%만을 사용할 수 있는 반면, 나머지 95-98%는 스핀 코팅 동작 동안 튕겨 나간다. 재료 사용 효율이 높은 포토레지스트 퇴적 동작이 바람직하다.
또한, 스핀 코팅된 포토레지스트 필름의 밀도가 균일하지 않을 수 있다. 포토레지스트 필름의 응집이 일부 부분에서 나타날 수 있다.
또한, 금속-함유 포토레지스트에 있는 금속으로부터 프로세싱 챔버(chamber) 및 기판 조작 장비의 금속 오염을 실질적으로 감소시키거나 방지하는 포토레지스트 층 형성 및 패터닝 동작이 바람직하다.
본 개시의 실시예에서, 상기 문제는 포토레지스트 재료의 원자 층 퇴적(ALD: atomic layer deposition) 또는 화학 기상 증착(CVD: chemical vapor deposition)을 포함하는 기상 증착 동작에 의해 기판 상에 포토레지스트를 퇴적함으로써 해결된다. 본 개시의 실시예에 따른 기상 증착 동작에 의해 퇴적된 포토레지스트 층은 넓은 퇴적 영역에 걸쳐 제어 가능한 필름 두께와 높은 필름 균일성 및 밀도를 갖는 포토레지스트 층을 제공한다. 또한, 본 개시의 실시예는 무 용매(solvent free) 포토레지스트 층 형성을 포함하여 더 친환경적인 프로세스를 제공한다. 더욱이, 포토레지스트 퇴적 동작은 일부 실시예에서 원-포트(one-pot) 방법(단일 챔버에서 수행됨)이므로 제조 효율을 증가시키고 프로세싱 챔버의 금속 오염을 제한하거나 방지한다. 일부 실시예에서, BDE(bond dissociation energy) 조정은 EUV 노출 동작의 효율을 증가시키기 위해 사용된다.
도1은 본 개시의 실시예에 따라 반도체 장치를 제조하는 프로세스 흐름(100)을 도시한다. 도2에 도시된 바와 같이, 일부 실시예에서, 동작(S110)에서 패터닝될 층 또는 기판(10)의 표면상에 레지스트가 코팅되어 레지스트 층(15)을 형성한다. 일부 실시예에서, 레지스트는 CVD 또는 ALD에 의해 형성되는 금속 함유 포토레지스트이다. 일부 실시예에서, 레지스트 층(15)은 퇴적된 후에 제1 가열 동작(S120)을 겪는다. 일부 실시예에서, 레지스트 층은 약 10초 내지 약 10분 동안 약 40°C 내지 약 120°C의 온도로 가열된다.
선택적인 제1 가열 동작(S120) 또는 레지스트 퇴적 동작(S110) 후에, 포토레지스트 층(15)은 동작(S130)에서 화학 방사선(45/97)(도 3a 및 3b 참조)에 선택적으로 노출된다. 일부 실시예에서, 포토레지스트 층(15)은 선택적으로 또는 패턴적으로 자외선에 노출된다. 일부 실시예에서, 자외 방사선은 DUV(deep ultraviolet radiation)이다. 일부 실시예에서, 자외 방사선은 극 자외(EUV)방사선이다. 일부 실시예에서, 포토레지스트 층은 선택적으로 또는 패턴적으로 전자 빔에 노출된다.
도 3a에 도시된 바와 같이, 노출 방사선(45)은 일부 실시예에서 포토레지스트 층(15)을 조사(irradiating)하기 전에 포토마스크(30)를 통과한다. 일부 실시예에서, 포토마스크는 포토레지스트 층(15)에 복제될 패턴을 갖는다. 일부 실시예에서, 패턴은 포토마스크 기판(40) 상의 불투명 패턴(35)에 의해 형성된다. 불투명 패턴(35)은 크롬(chromium)과 같이 자외 방사선에 불투명한 재료에 의해 형성될 수 있는 반면, 포토마스크 기판(40)은 용융 석영(fused quartz)와 같이 자외 방사선에 투명한 재료로 형성된다.
일부 실시예에서, 노출된 영역(50) 및 노출되지 않은 영역(52)을 형성하기 위한 포토레지스트 층(15)의 선택적 또는 패턴적 노출은 극 자외선 리소그래피를 사용하여 수행된다. 도 3b에 도시된 바와 같이, 일부 실시예에서, 극 자외선 리소그래피 동작에서 반사 포토마스크(65)는 패터닝된 노출 광을 형성하기 위해 사용된다. 반사형 포토마스크(65)는 저 열 팽창 유리 기판(70)을 포함하고, 그 위에 Si 및 Mo의 반사형 다층(75)이 형성된다. 캡핑층(80) 및 흡수층(85)은 반사형 다층(75) 상에 형성된다. 후면 전도층(90)은 저 열 팽창 기판(70)의 후면측상에 형성된다. 극 자외선(95)은 약 6°의 입사각에서 반사형 포토마스크(65)를 향한다. 극 자외 방사선의 일부(97)는 Si/Mo 다층(75)에 의해 포토레지스트 코팅된 기판(10)을 향해 반사되는 반면, 흡수층(85)에 입사하는 극 자외 방사선의 일부는 포토마스크에 의해 흡수된다. 일부 실시예에서, 거울을 포함하는 추가적인 광학 기기는 반사형 포토마스크(65)와 포토레지스트 코팅된 기판 사이에 위치한다.
일부 실시예에서, 방사선에 대한 노출은 포토리소그래피 툴에 포토레지스트 코팅된 기판을 배치함으로써 수행된다. 포토리소그래피 툴은 포토마스크(30/65), 광학 기기, 노출을 위한 방사선(45/97)을 제공하는 노출 방사선 소스, 및 노출 방사선 아래에서 기판을 지지하고 이동하기 위한 이동가능한 스테이지를 포함한다.
일부 실시예에서, 광학 기기(도시되지 않음)는 방사선(45/97)이 포토마스크(30/65)에 의해 패터닝되기 전 또는 후에 방사선을 확장, 반사 또는 제어하기 위해 포토리소그래피 툴에서 사용된다. 일부 실시예에서, 광학 기기는 그 경로를 따라 방사선(45/97)을 제어하기 위해 하나 이상의 렌즈, 거울, 필터 및 이들의 조합을 포함한다.
일부 실시예에서, 방사선은 g-라인(약 436nm의 파장), i-라인(약 365nm의 파장), 자외선, 원(far) 자외 방사선, 극 자외선, 전자 빔 등과 같은 전자기 방사선이다. 일부 실시예에서, 방사선 소스는 예를 들어 수은증기등(mercury vapor lamp), 크세논 램프(xenon lamp), 탄소 아크등(carbon arc lamp), KrF 엑시머 레이저 광선(KrF excimer laser light)(248nm 파장), ArF 엑시머 레이저 광선(193nm 파장), F2 엑시머 레이저 광선(157nm 파장) 또는 CO2 레이저 여기 Sn 플라즈마(극자외선, 13.5nm 파장) 중 하나 이상이다.
전자기 방사선의 양은 노출 시간에 걸쳐 통합된 복사 플럭스(radiative flux)에 의해 얻어지는 플루언스(fluence) 또는 도우즈(dose)에 의해 특성화 될 수 있다. 적절한 방사선 플루언스는 일부 실시예에서 약 1mJ/cm2에서 약 150mJ/cm2, 다른 실시예에서 약 2mJ/cm2에서 약 100mJ/cm2, 다른 실시예에서 약 3mJ/cm2에서 약 50 mJ/cm2의 범위이다. 당업자는 상기 명시적인 범위 내의 방사선 플루언스의 추가적인 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다.
일부 실시예에서, 선택적 또는 패턴적 노출은 전자 빔을 스캐닝 함으로써 수행된다. 전자 빔 리소그래피에서, 전자 빔은 조사된(irradiated) 재료를 개질하는 2차 전자를 유도한다. 여기에 개시된 전자빔 리소그래피 및 금속 함유 레지스트를 사용하여 고해상도를 달성할 수 있다. 전자빔은 빔의 에너지에 의해 특성화될 수 있고, 적절한 에너지는 일부 실시예에서 약 5V에서 약 200kV(킬로볼트), 다른 실시예에서 약 7.5V에서 약 100kV 범위이다. 30kV에서 근접 보정된 빔 도우즈는 일부 실시예에서 약 0.1 μC/cm2에서 약 5μC/cm2, 다른 실시예에서 약 0.5μC/cm2에서 약 1μC/cm2 그리고 다른 실시예에서 약 1 μC/cm2에서 약 100μC/cm2 범위이다. 당업자는 본 명세서의 교시에 기초하여 다른 빔 에너지에서 대응하는 도우즈(dose)를 계산할 수 있고, 상기 명시적 범위 내의 전자 빔 특성의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다.
방사선에 노출된 포토레지스트의 영역(50)은 화학 반응을 거쳐 이후의 현상 동작(S150)에서 제거되는 것에 대한 그의 감수성을 변경시킨다. 일부 실시예에서, 방사선에 노출된 포토레지스트 층의 일부(50)는노출된 부분이 현상 동작(development operation)(S150)동안 더 쉽게 제거되도록 하는 반응을 겪는다. 다른 실시예에서, 방사선에 노출된 포토레지스트 층의 부분(50)은노출된 부분이 현상 동작(S150)동안 제거에 저항하도록 하는 반응을 겪는다.
다음으로, 포토레지스트 층(15)은 동작(S140)에서 2차 가열 또는 노출 후 베이크(PEB: post-exposure bake)를 겪는다. 일부 실시예에서, 포토레지스트 층(15)은 약 20초에서 약 120초 동안 약 50°C에서 약 250°C의 온도로 가열된다. 일부 실시예에서, 노출 후 베이킹은 약 100°C에서 약 230°C 범위의 온도에서 수행되고, 다른 실시예에서는 약 150°C에서 약 200°C의 범위의 온도에서 수행된다. 일부 실시예에서, 노출 후 베이킹 동작(S140)은 제1 화합물 또는 제1 전구체 및 제2 화합물 또는 제2 전구체의 반응 생성물이 가교되게 한다.
선택적으로 노출된 포토레지스트 층은 이후에 동작(S150)에서 현상된다. 일부 실시예에서, 포토레지스트 층(15)은 용매 기반의 현상제(developer)(57)를 선택적으로 노출된 포토레지스트 층에 도포함으로써 현상된다. 도4a에 도시된 바와 같이, 액체 현상제(57)가 디스펜서(62)로부터 포토레지스트 층(15)으로 공급된다. 일부 실시예에서, 도 5에 도시된 바와 같이, 포토레지스트의 노출된 부분(50)은 화학 방사선에 대한 노출 또는 노출 후 베이크의 결과로서 가교 반응을 겪고, 포토레지스트 층의 노출되지 않은 부분(52)은 현상제(57)에 의해 제거되어 포토레지스트 층(15)에서 기판(20)을 노출시키기 위한 개구부(55)의 패턴을 형성한다.
일부 실시예에서, 포토레지스트 현상제(57)는 용매 및 산 또는 염기를 포함한다. 일부 실시예에서, 용매의 농도는 포토레지스트 현상제의 총 중량을 기초로 하여 약 60wt.%에서 약99wt.%이다. 산 또는 염기 농도는 포토레지스트 현상제의 총 중량을 기초로 하여 약 0.001wt.%에서 약 20wt.%이다. 특정 실시예에서, 현상제 내에서 산 또는 염기의 농도는 포토레지스트 현상제의 총 중량을 기초로 하여 약 0.01wt.%에서 약 15wt.%이다.
일부 실시예에서, 현상제(57)는 스핀-온(spin-on) 프로세스를 사용하여 포토레지스트 층(15)에 도포된다. 도 4a에 도시된 바와 같이, 스핀-온 프로세스에서, 현상제(57)는 포토레지스트가 코팅된 기판이 회전하는 동안 포토레지스트 층(15)의 상부로부터 포토레지스트 층(15)에 도포된다. 일부 실시예에서, 현상제(57)는 약 5ml//min에서 약 800ml/min 사이의 속도로 공급되는 반면, 포토레지스트가 코팅된 기판(10)은 약 100rpm 와 약 2000rpm 사이의 속도로 회전된다. 일부 실시예에서, 현상제는 현상 동작 동안 약 10° C 와 약 80° C 사이의 온도이다. 일부 실시예에서, 현상 동작은 약 30초에서 약 10분 사이의 시간동안 계속된다.
일부 실시예에서, 현상제(57)는 유기 용매이다. 유기 용매는 임의의 적합한 용매일 수 있다. 일부 실시예에서, 용매는 PGMEA (propylene glycol methyl ether acetate), PGME (propylene glycol monomethyl ether), PGEE (1-ethoxy-2-propanol), GBL (γ-butyrolactone), CHN (cyclohexanone), EL (ethyl lactate), 메탄올, 에탄올, 프로판올, n-부탄올, 4-메틸-2-펜탄올, 아세톤, 메틸 에틸 케톤, DMF (dimethylformamide), IPA (isopropanol), THF (tetrahydrofuran), MIBC (methyl isobutyl carbinol), nBA (n-butyl acetate), 2-헵타논 (MAK), THF (tetrahydrofuran), 및 디옥산으로부터 하나 이상이 선택된다.
스핀-온 동작은 노출 후 포토레지스트 층(15)을 현상하기 위한 하나의 적절한 방법이지만, 이는 예시를 위한 것이며 실시예를 제한하려는 의도는 아니다. 오히려, 딥(dip) 프로세스, 퍼들(puddle) 프로세스 및 스프레이-온(spray-on) 방법을 포함하는 임의의 적절한 현상 동작이 대안적으로 사용될 수 있다. 이러한 모든 현상 동작은 실시예의 범위 내에 포함된다.
일부 실시예에서, 도 4b에 도시된 바와 같이, 건식 현상제(105)가 선택적으로 노출된 포토레지스트 층(15)에 적용된다. 일부 실시예에서, 건식 현상제(105)는 플라즈마 또는 화학적 증기이고, 건식 현상 동작(S150)은 플라즈마 에칭 또는 화학적 에칭 동작이다. 건식 현상은 레지스트의 원하는 부분을 선택적으로 제거하기 위해 조성(composition), 가교의 정도 및 필름 밀도와 관련된 차이를 사용한다. 일부 실시예에서, 건식 현상 프로세스는 건식 현상 화학물질, 이를테면 Cl2, CHCl3, CH2Cl2, CH4, CF4, N2, BF3, BCl3, CCl4, HCl, O2, NF3, NH3, N2H2, HBr 및 NO2 또는 증기 상태의 기타 루이스 산을 흐르게 하면서 가열된 진공 챔버에서 순한(gentle) 플라즈마(고압, 저전력) 또는 열 프로세스를 사용한다. 일부 실시예에서, BCl3는 노출되지 않은 재료를 제거하여, 플라즈마 기반 에칭 프로세스에 의해 하부 층으로 전사되는 노출된 필름의 패턴을 남긴다.
일부 실시예에서, 건식 현상은 TCP (transformer coupled plasma), ICP (inductively coupled plasma) 또는 CCP (capacitively coupled plasma)을 포함하는 플라즈마 프로세스를 포함한다. 일부 실시예에서, 플라즈마 프로세스는 약 5mTorr에서 약 20mTorr 범위의 압력, 약 250W에서 약 1000W 범위의 전력 레벨, 약 0°C에서 약 300°C 범위의 온도 및 약 100에서 약 1000 sccm의 유량에서 약 1에서 약 3000초 동안 수행된다.
현상 동작 후, 패터닝된 포토레지스트 층(50)이 제자리에 있는 동안 추가적인 프로세싱이 수행된다. 예를 들어, 건식 또는 습식 에칭을 사용하는 에칭 동작이 일부 실시예에서 수행되어, 도6에 도시된 바와 같이 포토레지스트 층(50)의 패턴을 하부 기판(10)으로 이동시켜 리세스(recess)(55')를 형성한다. 기판(10)은 포토레지스트 층(15)과는 상이한 에칭 저항을 갖는다. 일부 실시예에서, 에천트(etchant)은 포토레지스트 층(15)보다 기판(10)에 대해 더 선택적이다.
일부 실시예에서, 노출된 포토레지스트 층(15)은 일부 실시예에서 에칭 동작 동안 적어도 부분적으로 제거된다. 다른 실시예에서, 노출된 포토레지스트 층(15)은 적절한 포토레지스트 스트리퍼(stripper) 용매를 사용하는 선택적 에칭에 의해, 또는 포토레지스트 플라즈마 에싱(ashing) 동작에 의해 기판(10)을 에칭한 후에 제거된다.
일부 실시예에서, 기판(10)은 적어도 그의 표면 부분 상에 단결정 반도체 층을 포함한다. 기판(10)은 Si, Ge, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb 및 InP와 같은 단결정 반도체 재료를 포함할 수 있지만 이에 제한되지는 않는다. 일부 실시예에서, 기판(10)은 SOI(silicon-on insulator)기판의 실리콘 층이다. 특정 실시예에서, 기판(10)은 결정질 Si로 만들어진다.
기판(10)은 그 표면 영역에 하나 이상의 버퍼 층(도시되지 않음)을 포함할 수 있다. 버퍼 층은 격자 상수를 기판의 격자 상수에서 이후에 형성된 소스/드레인 영역의 격자 상수로 점진적으로 변경하는 역할을 할 수 있다. 버퍼 층은 Si, Ge, GeSn, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb, GaN, GaP, 및 InP와 같은 애피텍셜(epitaxially) 성장 단결정 반도체 재료로 형성될 수 있지만, 이에 제한되지는 않는다. 일 실시예에서, 실리콘 게르마늄(SiGe) 버퍼 층이 실리콘 기판(10)상에 애피텍셜로 성장된다. SiGe 버퍼 층의 게르마늄 농도는 최하부 버퍼층의 경우 30 atomic%에서 최상단 버퍼층의 경우 70 atomic%로 증가할 수 있다.
일부 실시예에서, 기판(10)은 적어도 하나의 금속, 금속 합금 및 화학식 MXa를 갖는 금속 질화물/황화물/산화물/규화물의 하나 이상의 층을 포함하고, 여기서 M은 금속이고 X는 N, S, Se, O, Si이며 a는 약 0.4에서 약 2.5이다. 일부 실시예에서, 기판(10)은 티타늄, 알루미늄, 코발트, 루테늄, 티타늄 질화물, 텅스텐 질화물, 탄탈륨 질화물 및 이들의 조합을 포함한다.
일부 실시예에서, 기판(10)은 적어도 실리콘, 화학식 MXb의 금속 산화물 또는 질화물을 갖는 유전체 재료를 포함하며, 여기서 M은 금속 또는 Si, X는 N 또는 O, 그리고 b는 약 0.4에서 약 2.5의 범위이다. 일부 실시예에서, 기판(10)은 실리콘 이산화물, 실리콘 질화물, 알루미늄 산화물, 하프늄 산화물, 란타넘 산화물 및 이들의 조합을 포함한다.
일부 실시예에서, 바닥 반사방지 코팅(BARC: bottom antireflective coating) 층은 기판과 금속성의 포토레지스트 층(15) 사이에 형성된다.
포토레지스트 층(15)은 화학 방사선에 노출에 의해 패터닝된 감광성 층이다. 일반적으로, 입사 방사선을 맞은 포토레지스트 영역의 화학 특성은 사용되는 포토레지스트의 유형에 의존하는 방식으로 변화된다. 포토레지스트 층(15)은 양성 톤 레지스트 또는 음성 톤 레지스트이다. 양성 톤 레지스트는 현상할 때 UV 광과 같은 화학적 방사선에 노출된 포토레지스트 층의 일부가 제거되는 반면 노출되지 않은(또는 덜 노출된) 포토레지스트의 영역은 현상 동작 후 기판상에 남아 있는 포토레지스트 재료를 말한다. 반면, 음성 톤 레지스트는 현상할 때 화학 방사선에 노출된 포토레지스트의 일부가 현상 동작 후 기판상에 남아있는 반면 노출되지 않은(또는 덜 노출된) 포토레지스트의 영역이 현상 동작 동안 제거되는 포토레지스트 재료를 말한다.
일부 실시예에서, 포토레지스트 층(15)은 증기 상태로 결합된 제1 화합물 또는 제1 전구체 및 제2 화합물 또는 제2 전구체를 포함하는 포토레지스트 조성물로 만들어진다. 제1 전구체 또는 제1 화합물은 도 7a 및 7b에 도시된 바와 같이 화학식: MaRbXc(a, b 및 c는 자연수)를 갖는 유기 금속이고, 여기서 M은 Sn, Bi, Sb, In 및 Te로 구성된 그룹으로부터 하나 이상 선택되고; R은 치환 또는 비치환된 알킬, 알케닐 또는 카르복실레이트 기이다. 일부 실시예에서, X는 제2 화합물 또는 제2 전구체와 반응하는 리간드, 이온 또는 다른 모이어티(moiety)이고; 일부 실시예에서 1≤a≤2, b≥1, c≥1 및 b+c≤4 이다. 특정 실시예에서, b+c=4이다. 일부 실시예에서, 알킬, 알케닐, 카르복실레이트 기는 하나 이상의 플루오르기로 치환된다. 일부 실시예에서, 유기 금속 전구체는 도7a에 도시된 바와 같이 2량체이고, 여기서 각 단량체 단위는 아민기에 의해 연결된다. 일부 실시예에서, b=c=2이다. 다른 실시예에서, 유기 금속 전구체는 도7b에 도시된 바와 같이 2량체이고, 여기서 각 단량체 단위는 산소에 의해 연결된다. 일부 실시예에서, b=1 및 c=3이다. 각 단량체는 위에서 정의한 바와 같이 화학식: MaRbXc를 갖는다.
일부 실시예에서, 화학식 MaRbXc의 R은 저 EUV 도우즈로 쪼개지는 결합을 제공하기 위해 결합 해리 에너지를 조정하기 위한 상이한 EDG(electron-donating groups) 및/또는 EWG(electron-withdrawing groups)에 의해 치환되는 알킬기를 포함한다. 일부 실시예에서, R은 C1-C20 알킬기의 모든 이성질체를 포함하는 치환 또는 비치환된 C1-C20 알킬기이다. EDG는 옥사이도 기(-O-), 아미노기(-NH2, -NHR, -NR2, 여기서 R=C1-C4기 및 페닐기), 수산기(hydroxyl) 및 알콕실 (alkoxyl)기(-OH, -OR, 여기서 R=C1-C4기 및 페닐기), 아실아미도(acylamido)기(-NHCOR, 여기서 R=C1-C4기 및 페닐기), 알킬티오(alkylthio) 및 술프히드릴(sulfhydryl)기(-SH, -SR, 여기서 R=C1-C4기 및 페닐기), 페닐기 및 카르복실레이트기(-(C=O)O-)를 포함한다. EWG는 할로겐화물(halide)기(-I, -Cl, -Br, -F), 암모늄기(-NR3 +, 여기서 R=C1-C4기 및 페닐기), 니트로기(-NO2), 술폰(sulfonic)산 및 술포닐(sulfonyl)기(-SO3H, -SO2R, 여기서 R=C1-C4기 및 페닐기), 시아노기(-CN), 포르밀기 및 아실기 (-CHO, -COR, 여기서 R = C1-C4 기 및 페닐기), 카르복실기 및 알콕시카르보닐(alkoxycarbonyl)기(-CO2H, -CO2R, 여기서 R=C1-C4기 및 페닐기), 및 아미노카르보닐기(-CONH2, -CONHR, -CONR, 여기서 R=C1-C4기 및 페닐기)를 포함하고 여기서 C1-C4 기는 C1-C4 기의 모든 이성질체를 포함한다.
일부 실시예에서, 화학식 MaRbXc 을 가지는 제1 전구체 또는 화합물은 페닐기, 아미노기(-NH2, -NHR, -NR2, 여기서 R-C1-C3 알킬기), 수산기 및 알콕실기(-OH, -OR, 여기서 R-C1-C3 알킬기 및 페닐기)로 치환된 C1-C4 알킬 기의 모든 구조 이성질체를 포함한다. 일부 실시예에서, 화학식 MaRbXc 를 갖는 제1 전구체 또는 화합물은 α-C 위치에서 한 개 또는 두개의 페닐기, 아미노기(-NH2, -NHR, -NR2, 여기서 R=C1, C2 또는 C3 알킬기) 및 알콕실기(-OR, 여기서 R=C1, C2 또는 C3 알킬기)에 의해 치환된 C1-C4 알킬기를 포함한다.
일부 실시예에서, X는 디알킬아미노(dialkylamino) 및 모노알킬아미노(monoalkylamino)를 포함하는 아민으로 구성된 그룹으로부터 선택된 모이어티(moiety); 알콕시; 카르복실레이트, 할로겐 및 술폰산염과 같은 M-OH 모이어티를 생성하기 위한 제2 화합물 또는 제2 전구체에 의해 손쉽게 대체된 임의의 모이어티이다. 일부 실시예에서, 술폰산염 기는 하나 이상의 아민기로 치환된다. 일부 실시예에서, 할로겐화물(halide)은 F, Cl, Br 및 I로 구성된 그룹으로부터 하나이상 선택된다. 일부 실시예에서, 술폰산염 기는 치환 또는 비 치환된 C1-C3 기를 포함한다.
일부 실시예에서, 제1 화합물 또는 제1 전구체는 기판 또는 하부층에 대한 포토레지스트 층의 부착을 향상시키기 위해 기판 또는 개재 하부층의 표면상에 하이드록실기와 같은 작용기와 배위될 수 있는 하나 이상의 불포화 결합을 포함한다.
일부 실시예에서, 제2 전구체 또는 제2 화합물은 물, 아민, 보란(borane) 및 수소화인(phosphine)으로 구성된 그룹으로부터 하나 이상 선택된다. 일부 실시예에서, 아민은 화학식 NpHnXm을 가지며, 여기서 0 ≤ n ≤ 3, 0 ≤ m ≤ 3, p가 1일 때 n + m = 3, p가 2일 때 n + m = 4 이고, 각 X는 F, Cl, Br 및 I 로 구성된 그룹으로부터 독립적으로 선택되는 할로겐이다. 일부 실시예에서, 보란은 화학식 BpHnXm을 가지며, 여기서 0 ≤ n ≤ 3, 0 ≤ m ≤ 3, p가 1일 때 n + m = 3, p가 2일 때 n + m = 4 이고, 각 X는 F, Cl, Br 및 I로 구성된 그룹으로부터 독립적으로 선택되는 할로겐이다. 일부 실시예에서, 수소화인은 화학식 PpHnXm을 가지며, 여기서 0 ≤ n ≤ 3, 0 ≤ m ≤ 3, p가 1일 때 n + m = 3, p가 2일 때 n + m = 4이고, 각 X는 F, Cl, Br 및 I로 구성된 그룹으로부터 독립적으로 선택되는 할로겐이다.
일부 실시예에서, 제2 전구체 또는 화합물은 암모니아 또는 히드라진(hydrazine)이다. 암모니아 또는 히드라진과 유기금속 전구체 또는 화합물의 반응 생성물은 반응 생성물의 끓는점을 높이고 금속 포토레지스트 재료의 방출을 방지하는 수소 결합을 형성하여 금속 오염을 방지할 수 있다. 수소 결합은 또한 포토레지스트 층 품질에 대한 수분 효과(moisture effect)를 방지하는데 도움이 될 수 있다.
일부 실시예에서, 포토레지스트 조성물을 퇴적하는 동작(S110)은 기상 퇴적 동작(vapor deposition operation)에 의해 수행된다. 일부 실시예에서, 기상 퇴적 동작은 ALD(atomic layer deposition) 또는 CVD(chemical vapor deposition)를 포함한다. 일부 실시예에서, ALD는 PE-ALD(plasma-enhanced atomic layer deposition)을 포함하고, CVD는 PE-CVD(plasma-enhanced chemical vapor deposition), MO-CVD(metal-organic chemical vapor deposition); AP-CVD(atmosphere pressure chemical vapor deposition) 및 LP-CVD(low pressure chemical vapor deposition)을 포함한다. 포토레지스트 층을 퇴적하는 것은 포토레지스트 조성물을 형성하기 위해 증기 상태에서 제1 화합물 또는 제1 전구체와 제2 화합물 또는 제2 전구체를 조합하는 것을 포함한다. 일부 실시예에서, 포토레지스트 조성물의 제1 화합물 또는 제1 전구체와 제2 화합물 또는 제2 전구체는대략 동시에 퇴적 챔버(CVD chamber)로 도입된다. 일부 실시예에서, 제1 화합물 또는 제1 전구체 및 제2 화합물 또는 제2 전구체는 교대 방식 -즉, 먼저 한 화합물 또는 전구체 다음 제2 화합물 또는 전구체, 그리고 그 뒤에 교대로 하나의 화합물 또는 전구체의 도입의 뒤를 이어 제2 화합물 또는 전구체의 도입을 반복함-으로 퇴적 챔버(ALD chamber)로 도입된다.
일부 실시예에서, 퇴적 챔버 온도는 퇴적 동작 동안 약 30°C에서 약 400°C범위이고, 다른 실시예에서는 약 50°C에서 약 250°C사이이다. 일부 실시예에서, 퇴적 챔버에서 압력은 퇴적 동작 동안 약 5mTorr에서 약 100Torr범위이고, 다른 실시예에서는 약 100mTorr에서 약 10Torr 사이이다. 일부 실시예에서, 플라즈마 전력은 약 1000W 미만이다. 일부 실시예에서, 플라즈마 전력은 약 100W에서 약 900W 범위이다. 일부 실시예에서, 제1 화합물 또는 전구체 및 제2 화합물 또는 전구체의 유량은 약 100sccm에서 약 1000sccm 범위이다. 일부 실시예에서, 유기 금속 화합물 전구체 대 제2 화합물 또는 전구체의 유동의 비율은 약 1:1에서 약 1:10의 범위이고, 다른 실시예에서는 약 1:3에서 약 1:6의 범위이다. 상기 언급된 범위를 벗어난 동작 파라미터에서, 포토레지스트 층은 만족스럽지 못한 일부 실시예를 초래한다. 일부 실시예에서, 포토레지스트 층 형성은 단일 챔버(one-pot 층 형성)에서 발생한다.
본 개시의 일부 실시예에 따른 CVD 프로세스에서, 유기 금속 전구체 및 제2 전구체의 별개의 유입경로에서 2개 이상의 가스 스트림이 CVD 장치의 퇴적 챔버에 도입되고, 여기서 반응 생성물을 형성하기 위해 기체상에서 그들은 혼합하고 반응한다. 일부 실시예에서 스트림은 별도의 주입구 또는 이중 플레넘 샤워헤드(dual-plenum showerhead)를 사용하여 도입된다. 퇴적 장치는 유기 금속 전구체 및 제2 전구체의 스트림이 챔버에서 혼합되어 유기 금속 전구체 및 제2 전구체가 반응하여 반응 생성물을 형성하도록 구성된다. 본 개시내용의 메커니즘, 기능 또는 유용성을 제한하지 않고, 기상 반응물로부터의 생성물은 분자량이 더 무거워진 다음 응축되거나 그렇지 않으면 기판 상에 퇴적되는 것으로 생각된다.
일부 실시예에서, 포토레지스트 층을 퇴적하기 위해 ALD 프로세스가 사용된다. ALD 동안, 기판의 표면을 교대 기체 화합물(또는 전구체)에 노출시킴으로써 기판상에서 층은 성장한다. CVD와는 달리, 전구체는 일련의 순차적이고 겹치지 않는 펄스로 도입된다. 이러한 각 펄스에서 전구체 분자는 자기 제한 방식으로 표면과 반응하여, 일단 표면상의 모든 반응성 부위가 소모되면 반응이 종료된다. 결과적으로, 모든 전구체(소위 ALD 사이클)에 한번 노출된 후 표면상에 퇴적된 재료의 최대 양은 전구체 표면 상호 작용의 특성에 의해 결정된다.
ALD 프로세스의 일 실시예에서, 유기 금속 전구체는 전반 반응에서 금속 함유 전구체를 기판 표면에 전달하기 위해 펄스화된다. 일부 실시예에서, 유기 금속 전구체는 새로운 자기 포화(self-saturating) 표면을 형성하기 위해 적합한 하부 종(underlying species)(예를 들어 기판의 표면상의 OH 또는 NH작용기)과 반응한다. 일부 실시예에서, 과잉의 미사용 반응물 및 반응 부산물은 배기 펌프 다운 및/또는 불활성 퍼지 가스의 유동에 의해 제거된다. 그 후, 물 또는 암모니아(NH3)와 같은 제2 전구체는 일부 실시예에서 퇴적 챔버로 펄스화된다. 물 또는 NH3는 기판 표면 상에서 반응 생성물 포토레지스트를 획득하기 위해 기판상에서 유기 금속 전구체와 반응한다. 제2 전구체 또한 다른 자기 제한 및 포화 후반 반응을 제공하기 위해 하부 반응성 종과 자기 포화 결합을 형성한다. 일부 실시예에서, 사용되지 않은 반응물 및 반응 부산물을 제거하기 위해 제2 퍼지가 수행된다. 제1 전구체 및 제2 전구체의 펄스는 포토레지스트 층(15)의 원하는 두께가 달성될 때까지 개재하는(intervening) 퍼지 동작과 교번된다.
일부 실시예에서, 포토레지스트 층(15)은 약 5nm에서 약50nm의 두께로 형성되고, 다른 실시예에서는 약 10nm에서 약 30nm의 두께로 형성된다. 당업자는 상기 명시적 범위 내의 추가적인 두께의 범위가 고려되고 본 개시내용 내에 있음을 알 것이다. 두께는 포토레지스트 층의 광학적 특성을 기반으로 하여 x선 반사율 및/또는 편광해석법(ellipsometry)의 비접촉 방법을 사용하여 평가될 수 있다. 포토레지스트 층 두께는 프로세싱을 용이하게 하기 위해 비교적 균일하다. 일부 실시예에서, 코팅 두께의 변화는 평균 코팅 두께로부터 ± 25%이하만큼 변하고, 다른 실시예에서, 포토레지스트 층 두께는 평균 포토레지스트 층 두께로부터 ± 10% 이하만큼 변한다. 일부 실시예에서, 더 큰 기판상의 높은 균일성 코팅과 같은 포토레지스트 층 균일성의 평가는 1센티미터 엣지 배제로 평가될 수 있으며, 즉, 층 균일성은 엣지의 1센치미터 내의 코팅 일부에 대해 평가되지 않는다. 당업자는 상기 명시적 범위 내의 추가적인 범위가 고려되고 본 개시내용 내에 있음을 알 것이다.
일부 실시예에서, 제1 및 제2 화합물 또는 전구체는 캐리어 가스와 함께 퇴적 챔버로 전달된다. 캐리어 가스, 퍼지 가스, 퇴적 가스 또는 기타 프로세스 가스는 질소, 수소, 아르곤, 네온, 헬륨 또는 이들의 조합을 포함할 수 있다.
본 개시내용의 일부 실시예에 따른 레지스트 층 퇴적 장치(200)는 도8에 도시된다. 일부 실시예에서, 퇴적 장치(200)는 ALD 또는 CVD 장치이다. 퇴적 장치(200)는 진공 챔버(205)를 포함한다. 진공 챔버(205)내의 기판 지지 스테이지(210)는 실리콘 웨이퍼와 같은 기판(10)을 지지한다. 일부 실시예에서, 기판 지지 스테이지(210)는 히터를 포함한다. 일부 실시예에서, 제1 전구체 또는 화합물 가스 공급부(220) 및 캐리어/퍼지 가스 공급부(225)는 가스 라인(235)를 통해 챔버 내에 주입구(230)에 연결되고, 제2 전구체 또는 화합물 가스 공급부(240) 및 캐리어/퍼지 가스 공급부(225)는 다른 가스 라인(235')을 통해 챔버 내에 다른 주입구(230')에 연결된다. 챔버는 비워지고, 과잉 반응물 및 반응 부산물은 배출구(250) 및 배기 라인(255)을 통해 진공 펌프(245)에 의해 제거된다. 일부 실시예에서, 전구체 가스 및 캐리어/퍼지 가스의 유량 또는 펄스, 과잉 반응물 및 반응 부산물의 배출, 진공 챔버(205) 내부 압력 및 진공 챔버(205) 또는 웨이퍼 지지 스테이지(210)의 온도는 이들 각각의 파라미터를 제어하도록 구성된 제어기(260)에 의해 제어된다.
일부 실시예에서, 유기 금속 화합물은 금속 성분으로서 주석(Sn), 안티몬(Sb), 비스무트(Bi), 인듐(In) 및/또는 텔루륨(Te)을 포함하지만, 본 개시는 이러한 금속에 제한되지 않는다. 다른 실시예에서, 추가적인 적합한 금속은 티타늄(Ti), 지르코늄(Zr), 하프늄(Hf), 바나듐(B), 코발트(Co), 몰리브덴(Mo), 텅스텐(W), 알루미늄(Al), 갈륨(Ga), 실리콘(Si), 게르마늄(Ge), 인 (P), 비소 (As), 이트륨 (Y), 란타넘 (La), 세륨 (Ce), 루테튬 (Lu) 또는 이들의 조합을 포함한다. 추가적인 금속은 Sn, Sb, Bi, In 및/또는 Te 에 대안적이거나 추가적일 수 있다.
사용된 특정 금속은 방사선 흡수에 상당한 영향을 미칠 수 있다. 따라서, 금속 성분은 원하는 방사선 및 흡수 단면을 기반으로 하여 선택될 수 있다. 주석, 안티몬, 비스무트, 텔루륨 및 인듐은 13.5nm에서 극 자외선 광을 강하게 흡수한다. 하프늄은 전자 빔과 극 UV 방사선을 잘 흡수한다. 티타늄, 바나듐, 몰리브덴 또는 텅스텐을 포함하는 금속 조성물은 예를들어 248nm 파장 자외선 광에 대한 민감도를 제공하기 위해 더 긴 파장에서 강한 흡수를 갖는다.
일부 실시예에서, ALD 또는 CVD 퇴적 파라미터는 밀도 경사를 갖는 포토레지스트 층을 형성하기 위해 퇴적 동작동안 변경된다. 일부 실시예에서, 밀도 경사 포토레지스트 층은 거품(scum) 및 브리징(bridging)과 같은 결함을 감소시키고; LWR(line width roughness) 및 LER(line edge roughness)를 개선한다.
도 9a는 본 개시의 실시예에 따라 화학 방사선에 대한 노출 및 가열의 결과로서 포토레지스트 조성물 성분이 겪는 반응을 도시한다. 도9a는 본 개시의 실시예에 따라 포토레지스트 패터닝 방법의 다양한 단계에서 포토레지스트 층(PR)의 예시적인 화학적 구조를 도시한다. 도 9a에 도시된 바와 같이, 포토레지스트 구조성물은 예를 들어 SnX2R2과 같은 유기 금속 화합물과 예를 들어 암모니아(NH3)와 같은 제2 화합물을 포함한다. 유기 금속 화합물과 암모니아가 조합될 때, 유기 금속 화합물은 증기상의 일부 암모니아와 반응하여 유기 금속 화합물의 금속(Sn)에 부착된 아민기와 반응 생성물을 형성한다. 퇴적된 포토레지스트 층의 아민기는 퇴적된 포토레지스트 층의 끓는점을 상당히 증가시키고 금속 함유 포토레지스트 재료의 탈가스를 방지할 수 있는 수소 결합을 가지고 있어, 금속 함유 포토레지스트에 있는 금속에 의한 퇴적 챔버 및 반도체 장치 프로세싱 장비의 오염을 방지한다. 또한, 아민기의 수소 결합은 수분이 포토레지스트 층의 품질에 미치는 영향을 제어할 수 있다.
그 후에 마스크를 사용하여 극 자외 방사선에 노출될 때, 유기 금속 화합물은 극 자외 방사선을 흡수하고 하나 이상의 유기 R기는 방사선 노출 영역에서 아미노 금속 화합물을 형성하기 위해 유기 금속 화합물로부터 절단된다. 그 다음, 노출 후 베이크(PEB)가 수행될 때, 아미노 금속 화합물은 도9a에 도시된바와 같이 일부 실시예에서 아민기를 통해 가교한다. 일부 실시예에서, 아미노 금속 화합물의 부분 가교는 극 자외 방사선에 대한 노출의 결과로서 발생한다. 이 후에 선택적으로 노출된 포토레지스트가 현상되고, 가교된 방사선 노출 패턴은 기판 위에 남아있는 반면 방사선 비노출 영역은 현상 동안 제거된다.
도 9b는 본 개시의 실시예에 따라 화학 방사선에 대한 노출 및 가열의 결과로서 포토레지스트 조성물 성분이 겪는 반응을 보여준다. 도 9b는 본 개시의 실시예에 따라 포토레지스트 패터닝 방법의 다양한 단계에서 포토레지스트 층(PR)의 예시적인 화학 구조를 보여준다. 도9b에 도시된 바와 같이, 포토레지스트 조성물은 예를 들어 SnX3R와 같은 유기 금속 화합물과 예를 들어 물(H2O)과 같은 제2 화합물을 포함한다. 유기 금속 화합물 및 물(증기)이 CVD 프로세스에서 조합될 때, 유기 금속 화합물은 증기상의 일부 물과 반응하여 X를 -OH로 치환함으로써 유기 금속 화합물의 금속(Sn)에 부착된 수산기(-OH)와 반응 생성물을 형성한다. 또한, 일부 실시예에서, 반응 생성물은 기판 상에 필름을 형성하기 위해 CVD 프로세스를 거치고, 여기서 수산기 금속 화합물은 수산기를 통해 가교된다.
퇴적된 포토레지스트 층에서 가교된 구조는 퇴적된 포토레지스트 층의 끓는 점을 실질적으로 증가시키고 금속 함유 포토레지스트 재료의 가스 방출을 방지하며, 이에 따라 금속 함유 포토레지스트에서 금속에 의해 퇴적 챔버 및 반도체 장치 프로세싱 장비의 오염을 방지할 수 있다.
후에 마스크를 사용하여 극 자외 방사선에 노출될 때, 유기 금속 화합물은 극 자외 방사선을 흡수하고 하나 이상의 유기 R기는 방사선 노출영역에서 가교된 금속 산화물 화합물을 형성하기 위해 유기 금속 화합물로부터 쪼개진다. 일부 실시예에서, 유기 R기가 쪼개질 때 수소가 남는다. 그 후, 노출 후 베이크(PEB)가 수행될 때, 가교된 금속 산화물 화합물은 도9b에 도시된 바와 같이 일부 실시예에서 수소 및 산소를 통해 추가로 가교된다. 일부 실시예에서, 부분 크로스 링크는 극 자외 방사선에 노출된 결과로서 나타난다. 이 후 선택적으로 노출된 포토레지스트가 현상되고, 가교된 방사선 노출 패턴은 기판 위에 남아있는 반면 방사선에 노출되지 않은 영역은 현상 동안 제거된다.
일부 실시예에서, 패터닝될 층(대상 층)(60)은 도10에 도시된 바와 같이 포토레지스트 층을 형성하기 전에 기판 위에 배치된다. 일부 실시예에서, 패터닝될 층(60)은 하드 마스크 층과 같은 금속화 층 또는 유전체 층, 금속화 층 위에 배치된 층간 유전체 층 또는 패시베이션(passivation) 층이다. 다른 실시예에서, 대상 층은 유기 중합체로 만들어진 BARC(bottom antireflective coating) 층이다. 패터닝될 층(60)이 금속화 층인 실시예에서, 패터닝 될 층(60)은 화학 기상 증착, 원자 층 퇴적 및 물리 기상 증착(스퍼터링)을 포함하는 금속화 프로세스 및 금속 퇴적 기술을 사용하여 전도성 재료로 형성된다. 마찬가지로, 패터닝될 층(60)이 유전체 층이면, 패터닝될 층(60)은 열 산화, 화학 기상 증착, 원자 층 퇴적 및 물리 기상 증착을 포함하는 유전체 층 형성 기술에 의해 형성된다.
그 후 포토레지스트 층(50)은 도 3a 및 3b와 관련하여 여기에 설명되고 도 11a 및 11b에 도시된 바와 같이 포토레지스트 층에 노출된 영역(50)과 비노출 영역(52)을 형성하기 위해 화학 방사선(45)에 선택적으로 노출된다. 여기에 설명된 바와 같이, 포토레지스트는 일부 실시예에서 음성 톤 포토레지스트이다.
노출되지 않은 포토레지스트 영역(52)은 도12a에 도시된 바와 같이 디스펜서(62)로부터 현상제(57)를 분배함으로써, 또는 도13에 도시된 바와 같이 포토레지스트 패턴(55)을 형성하기 위해 도12b에 도시된 바와 같이 건식 현상 동작에 의해 현상된다. 현상 동작은 도4a, 4b 및 5를 참조하여 여기에 설명된 것과 유사하다.
그 다음, 도14에 도시된 바와 같이, 포토레지스트 층(15)에서 패턴(55)은 에칭 동작을 사용하여 패터닝될 층(60)으로 옮겨지고, 패터닝될 층(60)에서 패턴(55'')을 형성하기 위해 도6을 참조하여 설명된 바와 같이 포토레지스트 층이 제거된다.
도15a 내지 15j는 본 개시의 실시예에 따라 반도체 장치의 순차적인 제조 동작의 다양한 단계를 보여준다. 추가적인 동작들이 도15a내지 15j에 의해 도시된 프로세스의 전, 도중 및 후에 제공될 수 있고, 방법의 추가적인 실시예를 위해 아래 설명된 동작의 일부가 대체되거나 제거될 수 있음이 이해된다. 동작/프로세스의 순서는 교체될 수 있다. 도 1내지 14에 설명되는 앞서 말한 실시예와 동일하거나 유사한 재료, 구성, 치수 및/또는 프로세스는 이하 실시예에서 이용될 수 있고, 그에 대한 상세한 설명은 생략될 수 있다.
도 15a 내지 15f는 양성 톤 현상제의 경우에 대한 동작을 보여준다. 도 15a에 도시된 바와 같이, 패터닝 될 대상층(12)은 기판(10)위에 형성된다. 일부 실시예에서, 대상 층(12)은 금속 또는 금속성 층(Ti, TiN, Ta, TaN, W, Cu, Al, Co, Ni, Mo, Ru 또는 이들의 합금, 또는 반도체 제작에서 사용되는 임의의 적합한 전도성 재료)과 같은 전도층이거나 반도체 층(비정질, 다결정질 또는 결정질 Si, SiGe 또는 Ge, 도핑 또는 비도핑, 또는 반도체 제작에서 사용되는 임의의 적합한 반도체 재료), 또는 실리콘 산화물, 실리콘 질화물, SiON, SiOC, SiOCN, SiCN, 하프늄 산화물, 알루미늄 산화물 또는 반도체 제작에서 사용되는 임의의 적합한 유전체 재료와 같은 유전체 층이다. 일부 실시예에서, 마스크 층(14)은 대상 층(12) 위에 형성된다. 일부 실시예에서, 마스크 층(14)은 대상 층(12)보다 에칭 저항이 충분히 더 높은 유전체 재료, 반도체 재료 또는 전도성 재료를 포함한다. 일부 실시예에서, 마스크 층(14)은 유기 BARC(bottom antireflective coating)이다. 또한, 금속 함유 레지스트 층(15) 전술한 바와 같이 마스크 층(14)위에 형성된다.
그 다음, 도 15b에 도시된 바와 같이, 회로 패턴을 갖는 포토 마스크에 의해 반사되거나 통과되는 EUV 또는 DUV 방사선에 의해 금속 함유 레지스트 층(15)에 노출 동작이 수행된다. 그 다음, 도15c에 도시된 바와 같이, 노출된 금속 함유 레지스트 층(15)은 습식 현상제 또는 건식 현상제에 의해 현상되거나 제거된다. 다음, 도 15d에 도시된 바와 같이, 마스크 층(14)은 에칭 마스크로서 패터닝된 금속성 층(15)을 사용함으로써 패터닝된다. 일부 실시예에서, 도 15e에 도시된 바와 같이 금속 함유 레지스트 층(15)은 그 후 적합한 습식 또는 건식 에천트을 사용함으로써 제거된다. 그 후, 도 15f에 도시된 바와 같이, 대상 층(12)은 에칭 마스크로서 패터닝된 마스크 층(14)을 사용함으로써 패터닝되고, 마스크 층(14)은 제거된다. 일부 실시예에서, 대상 층(12)은 금속 함유 레지스트 층(15)을 제거하지 않고 패터닝된다. 일부 실시예에서, 패터닝된 마스크 층(14)은 대상 층(12)의 패터닝 후에 제거되지 않는다.
도 15g 내지 도 15j는 음성 톤 현상제의 경우에 대한 동작을 보여준다. 도 15g에 도시된 바와 같이, 금속 함유 레지스트 층(15)의 비노출 영역은 건식 현상 또는 습식 현상제에 의해 현상되고 제거된다. 도 15h, 15i 및 15j의 동작은 도 15d, 15e 및 15f의 그것들과 동일하다.
다른 실시예는 전술한 동작 전, 도중 또는 후에 다른 동작을 포함한다. 일부 실시예에서, 개시된 방법은 핀 전계 효과 트랜지스터(FinFET: fin field effect transistor) 구조체를 형성하는 단계를 포함한다. 일부 실시예에서, 복수의 활성 핀은 반도체 기판 상에 형성된다. 이러한 실시예는 기판에서 트렌치를 형성하기 위해 패터닝된 하드 마스크의 개구부를 통해 기판을 에칭하는 단계; 유전체 재료로 상기 트렌치를 채우는 단계; 얕은 트렌치 격리(STI: shallow trench isolation) 피처를 형성하기 위해 화학적 기계적 연마(CMP) 프로세스를 수행하는 단계; 및 핀형 활성 영역을 형성하기 위해 상기 STI 피처를 에피텍시 성장 또는 리세싱하는 단계를 더 포함한다. 일부 실시예에서, 하나 이상의 게이트 전극은 기판상에 형성된다. 일부 실시예는 게이트 스페이서, 도핑된 소스/드레인 영억, 게이트/소스/드레인 피처를 위한 접촉부 등을 형성하는 것을 포함한다. 다른 실시예에서, 대상 패턴은 다층 상호접속 구조에서 금속 라인으로 형성된다. 예를 들어, 금속 라인은 복수의 트렌치를 형성하기 위해 에칭된 기판의 층간 유전체(ILD)층에 형성될 수 있다. 트렌치는 금속과 같은 전도성 재료로 채워질수 있고, 전도성 재료는 패터닝된 ILD 층을 노출시키기 위해 화학적 기계적 연마(CMP)와 같은 프로세스를 사용하여 연마되어 ILD 층에서 금속 라인을 형성할 수 있다. 상기는 여기에 설명된 방법을 사용하여 제조 및/또는 개선될 수 있는 장치/구조체의 비제한적인 예이다.
일부 실시예에서, 본 개시의 실시예에 따라 다이오드, 전계 효과 트랜지스터(FET), 금속 산화물 반도체 필드 효과 트랜지스터(MOSFET), 상보형 금속 산화물 반도체(CMOS) 트랜지스터, 바이폴라 트랜지스터, 고 전압 트랜지스터, 고주파수 트랜지스터, FinFET, 다른 3차원(3D) FET, 금속 산화물 반도체 필드 효과 트랜지스터(MOSFET), 상보형 금속 산화물 반도체(CMOS) 트랜지스터, 바이폴라 트랜지스터, 고전압 트랜지스터, 고 주파수 트랜지스터, 다른 메모리 셀 및 이들의 조합과 같은 능동 소자가 형성된다.
모든 이점이 본 명세서에 반드시 논의되는 것은 아니며, 모든 실시예 또는 예들에 대해 특별한 이점이 요구되지 않고, 다른 실시예 또는 예들이 상이한 이점을 제공할 수 있다는 것이 이해될 것이다.
본 개시 내용에 따른 반도체 형성 방법 및 레지스트 패터닝 방법은 개선된 레지스트 재료 사용 효율을 제공하고 용매 기판 레지스트 상에 잠재적으로 독성이 있는 유기 용매의 사용을 감소시킨다. 본 개시의 실시예는 더 낮은 Sn-C BDE를 갖는 유기 주석 전구체를 제공하여 더 낮은 EUV 도우즈에서 증가된 EUV 민감도를 갖는 포토레지스트 필름을 제공한다. 본 개시의 실시예는 더 낮은 비용의 EUV 패터닝 동작을 제공한다. 본 개시의 실시예는 반도체 기판의 표면상에 퇴적된 균일한 포토레지스트 필름을 추가로 제공한다. 또한, 포토레지스트가 용매 기반이 아니고, 일부 실시예에서 용매 기반의 현상제를 사용하지 않고 건식 현상이 수행되기 때문에, 독성 유기 용매가 포토레지스트 패터닝 프로세스로부터 실질적으로 제거될 수 있다. 일부 실시예에서, 금속 함유 포토레지스트에 있는 금속으로부터 퇴적 챔버 및 반도체 기판 핸들링 장비의 오염이 방지된다. 포토레지스트 수분 민감도 이슈는 본 개시의 실시예에 따른 방법에 의해 예방된다. 개선된 패턴 해상도가 본 개시의 실시예에 의해 제공된다. 개시의 실시예는 개선된 라인 폭 거칠기 및 포토레지스트의 노출된 부분과 노출되지 않은 부분 사이의 개선된 구별을 제공한다. 또한, 개선된 반도체 장치 제작 효율이 본 개시의 실시예에 따른 원-포트(one-pot) 퇴적 방법에 의해 제공된다. 금속 함유 포토레지스트는 약 5nm에서 약 40nm의 치수와 LWR(low line width roughness) 및 높은 에칭 선택성을 가지는 패턴을 형성하기 위해 사용될 수 있다. 일부 실시예에서, LWR은 중합체 기반의 포토레지스트에 비해 약 20% 개선된다.
본 개시의 일 측면에 따르면, 반도체 장치의 제조 방법에서, 포토레지스트 층은 증기 상태에서 제1 전구체 및 제2 전구체를 조합함으로써 패터닝될 대상 층 위에 형성되어 포토레지스트 재료를 형성한다. 제1 전구체는 화학식: MaRbXc 을 갖는 유기 금속이며, 여기서 M은 Sn, Bi, Sb, In 및 Te로 구성된 그룹으로부터 하나 이상 선택되고, R은 하나 이상의 EDG(electron-donating groups)또는 EWG(electron-withdrawing groups)에 의해 치환된 알킬기이며, X는 할로겐화물 또는 술폰산염 기이며, 1 ≤ a ≤ 2, b ≥ 1, c ≥ 1, 및 b + c ≤ 4이고, 제2 전구체는 물, 아민, 보란(borane) 및 수소화인으로 구성된 그룹으로부터 하나 이상 선택된다. 포토레지스트 재료는 패터닝될 대상 층 위에 퇴적된다. 포토레지스트 층은 잠복 패턴을 형성하기 위해 화학 방사선에 선택적으로 노출되고, 잠복 패턴은 선택적으로 노출된 포토레지스트 층에 패턴을 형성하기 위해 현상제를 도포함으로써 현상된다. 상기 또는 하기 실시예 중 하나 이상에서, R은 다음의 -O--NH2, -NHR1, -NR12, -OH, -OR1, -NHCOR1, -SH, -SR1, 페닐기 및 -(C=O)O- 중 하나 이상으로부터 선택된 전자 공여 기로 치환된 C1-C20 알킬기의 모든 이성질체를 포함하는 C1-C20 알킬기 이고, 여기서 R1= C1-C4 기 또는 페닐기 이다. 상기 또는 하기 실시예 중 하나 이상에서, R은 -I, -Cl, -Br, -F, -NR23 +, -NO2, -SO3H, -SO2R2, , -CN, -CHO, -COR2, -CO2H, -CO2R2, -CONH2, -CONHR2 및 -CONR22 중 하나 이상으로부터 선택된 전자 흡인 기로 치환된 C1-C20 알킬기의 모든 이성질체를 포함하는 C1-C20 알킬기이고, 여기서 R2=C1-C4 기 또는 페닐기이다. 상기 또는 하기 실시예의 하나 이상에서, R은 페닐기, -NH2, -NHR3, -NR32, -OH, -OR3으로 치환된 하나 이상의 C1-C4 알킬기이고, 여기서 R3=C1-C3 알킬기 또는 페닐기다. 상기 또는 하기 실시예의 하나 이상에서, R은 하나 또는 두개 페닐기, -NH2, -NHR4, -NR42 또는 -OR4에 의해 α-C 위치에서 치환된 하나 이상의 C1-C4 알킬기이고, 여기서 R4=C1-C3 알킬기 이다. 상기 또는 하기 실시예의 하나 이상에서, 화학 방사선은 극 자외 방사선이다. 상기 또는 하기 실시예의 하나 이상에서, 잠복 패턴을 형성하기 위해 포토레지스트 층을 화학 방사선에 선택적으로 노출시킨 후 그리고 잠복 패턴을 현상하기 전에, 노출 후 베이킹이 포토레지스트 층 상에서 수행된다. 상기 또는 하기 실시예의 하나 이상에서, 포토레지스트 재료는 ALD(atomic layer deposition) 또는 CVD(chemical vapor deposition)에 의해 패터닝될 대상 층 위에 퇴적된다. 상기 또는 하기 실시예의 하나 이상에서, 제1 전구체는 NH 또는 O에 의해 연결된 이량체이다. 상기 또는 하기 실시예의 하나 이상에서, 잠복 패턴을 형성하기 위해 포토레지스트 층을 화학 방사선에 선택적으로 노출시킨 후 그리고 잠복 패턴을 현상하기 전에, 포토레지스트 층은 150°C에서 230°C 또는 100°C에서 200°C 범위의 온도에서 가열된다. 상기 또는 하기 실시예의 하나 이상에서, 현상제는 건식 현상제이다. 상기 또는 하기 실시예의 하나 이상에서, 잠복 패턴을 형성하기 위해 포토레지스트 층을 화학 방사선에 선택적으로 노출하기 전에, 포토레지스트 층은 40°C에서 120C 범위의 온도에서 가열된다.
본 개시의 다른 측면에 따르면, 반도체 장치 제조 방법에서, 포토레지스트 층은 증기 상태에서 제1 전구체 및 제2 전구체를 조합함으로써 패터닝될 대상 층위에 형성되어 포토레지스트 재료를 형성한다. 제1 전구체는 화학식: MaRbXc 를 가지는 유기 금속이고, 여기서 M은 Sn, Bi, Sb, In 및 Te로 구성된 그룹으로부터 하나 이상 선택되고, R은 하나 이상의 EDG(electron-donating groups) 또는 EWG(electron-withdrawing groups)에 의해 치환되는 알킬기 이고, X는 할로겐화물 또는 술폰산염 그룹이며, a=1 또는 2, b =2, 및 c=2이고 ,제2 전구체는 물, 아민, 보란 및 수소화인으로 구성된 그룹으로부터 하나 이상 선택된다. 포토레지스트 재료는 패터닝될 대상 층 위에 퇴적된다. 포토레지스트 층은 잠복 패턴을 형성하기 위해 화학 방사선에 선택적으로 노출되고, 잠복 패턴은 패턴을 형성하기 위해 선택적으로 노출된 포토레지스트 층에 현상제를 도포함으로써 현상된다. 상기 또는 하기 실시예의 하나 이상에서, a=1이다. 상기 또는 하기 실시예의 하나 이상에서, a=2이고, 제1 전구체는 화학식 MR2X2-NH-MR2X2을 갖는 유기 금속이다. 상기 또는 하기 실시예의 하나 이상에서, 제2 전구체는 암모니아다.
본 개시의 다른 측면에 따르면, 반도체 장치의 제조 방법에서, 포토레지스트 층은 증기 상태에서 제1 전구체 및 제2 전구체를 조합함으로써 패터닝될 대상 층 위에 형성되어 포토레지스트 재료를 형성한다. 제1 전구체는 화학식: MaRbXc 을 갖는 유기 금속이고, 여기서 M은 Sn, Bi, Sb, In 및 Te로 구성된 그룹으로부터 하나 이상 선택되고, R은 하나 이상의 EDG(electron-donating groups) 또는 EWG(electron-withdrawing groups)에 의해 치환된 알킬기이고, X는 할로겐화물 또는 술포산염 그룹이며, a=1 또는 2, b=1 및 c=3이고, 제2 전구체는 물, 아민, 보란 및 수소화인으로 구성된 그룹으로부터 하나 이상 선택된다. 포토레지스트 재료는 패터닝될 대상 층 위에 퇴적된다. 포토레지스트 층은 잠복 패턴을 형성하기 위해 화학 방사선에 선택적으로 노출되고, 잠복 패턴은 선택적으로 노출된 포토레지스트 층에 현상액을 도포함으로써 현상되어 패턴을 형성한다. 상기 또는 하기 실시예의 하나 이상에서, a=1이다. 상기 또는 하기 실시예의 하나 이상에서, a=2이고, 제1 전구체는 화학식 MRX3-O-MRX3을 갖는 유기 금속이다. 상기 또는 하기 실시예의 하나 이상에서, 제2 전구체는 수증기이다.
전술한 내용은 당업자기 본 개시의 양태를 더 잘 이해할 수 있도록 여러 실시예 또는 예의 특징을 개략적으로 설명한다. 당업자는 본 명세서에 소개된 실시예 또는 예의 동일한 목적을 수행하고/하거나 동일한 이점을 달성하기 위한 다른 프로세스 및 구조를 설계 또는 수정하기 위한 기초로서 본 개시를 용이하게 사용할 수 있음을 인식해야 한다. 당업자는 또한 그러한 균등한 구성이 본 개시의 사상 및 범위를 벗어나지 않으며, 본 개시의 사상 및 범위로부터 벗어남이 없이 다양한 변경, 치환 및 변화를 만들 수 있음을 알아야 한다.
실시예
1. 반도체 장치를 제조하는 방법으로서,
패터닝될 대상 층 위에 포토레지스트 층을 형성하는 단계로서, 상기 포토레지스트 층을 형성하는 단계는:
포토레지스트 재료를 형성하기 위해 증기 상태에서 제1 전구체 및 제2 전구체를 조합하는 단계-상기 제1 전구체는 화학식: MaRbXc 를 가지는 유기 금속이고, 여기서 M은 Sn, Bi, Sb, In, 및 Te로 구성된 그룹으로부터 하나 이상 선택되고, R은 하나 이상의 EDG(electron-donating groups) 또는 EWG(electron-withdrawing groups)에 의해 치환된 알킬기 이며, X는 할로겐화물 또는 술폰산염 그룹이고, 1 ≤ a ≤ 2, b ≥ 1, c ≥ 1, 및 b + c ≤ 4 이고, 상기 제2 전구체는 물, 아민, 보란 및 수소화인으로 구성된 그룹으로부터 하나 이상 선택됨-; 및
패터닝될 상기 대상 층 위에 상기 포토레지스트 재료를 퇴적하는 단계를 포함하는, 상기 포토레지스트 층을 형성하는 단계; ,
잠복 패턴을 형성하기 위해 화학 방사선에 상기 포토레지스트 층을 선택적으로 노출하는 단계; 및
패턴을 형성하기 위해 상기 선택적으로 노출된 포토레지스트 층에 현상제를 도포함으로써 상기 잠복 패턴을 현상하는 단계를 포함하는, 반도체 장치를 제조하는 방법.
2. 제1항에 있어서,
상기 R은 하나 이상의 하기 -O--NH2, -NHR1, -NR12, -OH, -OR1, -NHCOR1, -SH, -SR1, 페닐기 및 -(C=O)O-로부터 선택된 전자 공여 기로 치환된, C1-C20 알킬기의 모든 이성질체를 포함하는 C1-C20 알킬기이고, 여기서 R1=C1-C4 기 또는 페닐 기인, 반도체 장치를 제조하는 방법.
3. 제1항에 있어서,
상기 R은 하나 이상의 -I, -Cl, -Br, -F, -NR23 +, -NO2, -SO3H, -SO2R2, -CN, -CHO, -COR2, -CO2H, -CO2R2, -CONH2, -CONHR2 및 -CONR22로부터 선택된 전자 흡인 기로 치환된, C1-C20 알킬기의 모든 이성질체를 포함하는 C1-C20 알킬기 이고, 여기서 R2=C1-C4 기 또는 페닐기인, 반도체 장치를 제조하는 방법.
4. 제1항에 있어서,
상기 R는 페닐기, -NH2, -NHR3, -NR32, -OH, -OR3로 치환된 하나 이상의 C1-C4 알킬기이고, 여기서 R3=C1-C3 알킬기 또는 페닐기인, 반도체 장치를 제조하는 방법.
5. 제1항에 있어서,
상기 R은 하나 또는 두개의 페닐기, -NH2, -NHR4, -NR42, 또는 -OR4에 의해 α-C위치에서 치환된 하나 이상의 C1-C4 알킬기이고, 여기서 R4=C1-C3 알킬기인, 반도체 장치를 제조하는 방법.
6. 제1항에 있어서,
상기 화학 방사선은 극 자외 방사선인, 반도체 장치를 제조하는 방법.
7. 제1항에 있어서,
잠복 패턴을 형성하기 위해 상기 포토레지스트 층을 화학 방사선에 선택적으로 노출시킨 후 그리고 상기 잠복 패턴을 현상하기 전에, 상기 포토레지스트 층을 노출 후 베이킹(post-exposure baking)하는 단계를 더 포함하는, 반도체 장치를 제조하는 방법.
8. 제1항에 있어서,
상기 포토레지스트 재료가 원자 층 퇴적(ALD) 또는 화학 증기 증착(CVD)에 의해 패터닝될 상기 대상 층 위에 퇴적되는, 반도체 장치를 제조하는 방법.
9. 제1항에 있어서,
상기 제1 전구체는 NH 또는 O에 의해 연결된 2량체인, 반도체 장치를 제조하는 방법.
10. 제1항에 있어서,
잠복 패턴을 형성하기 위해 상기 포토레지스트 층을 화학 방사선에 선택적으로 노출시킨 후 그리고 상기 잠복 패턴을 현상하기 전에, 150°C에서 230°C 범위의 온도에서 상기 포토레지스트 층을 가열하는 단계를 더 포함하는, 반도체 장치를 제조하는 방법.
11. 제1항에 있어서,
상기 현상액은 건식 현상액인, 반도체 장치를 제조하는 방법.
12. 제1항에 있어서,
잠복 패턴을 형성하기 위해 상기 포토레지스트 층을 화학 방사선에 선택적으로 노출시키기 전에, 40°C에서 120°C 범위의 온도에서 상기 포토레지스트 층을 가열하는 단계를 더 포함하는, 반도체 장치를 제조하는 방법.
13. 반도체 장치를 제조하는 방법으로서,
패터닝될 대상 층 위에 포토레지스트 층을 형성하는 단계로서, 상기 포토레지스트 층을 형성하는 단계는:
포토레지스트 재료를 형성하기 위해 증기 상태에서 제1 전구체 및 제2 전구체를 조합하는 단계- 상기 제1 전구체는 화학식: MaRbXc 를 가지는 유기 금속이고, 여기서 M은 Sn, Bi, Sb, In, 및 Te로 구성된 그룹으로부터 하나 이상 선택되고, R은 하나 이상의 EDG(electron-donating groups) 또는 EWG(electron-withdrawing groups)에 의해 치환된 알킬기이며, X는 할로겐화 물 또는 술폰산염 기이고, a=1 또는 2, b=2 및 c=2이고, 상기 제2 전구체는 물, 아민, 보란 및 수소화인으로 구성된 그룹으로부터 하나 이상 선택됨-; 및
패터닝될 상기 대상 층 위에 상기 포토레지스트 재료를 퇴적하는 단계를 포함하는, 상기 포토레지스트 층을 형성하는 단계;
잠복 패턴을 형성하기 위해 화학 방사선에 상기 포토레지스트 층을 선택적으로 노출하는 단계; 및
패턴을 형성하기 위해 상기 선택적으로 노출된 포토레지스트 층에 현상제를 도포함으로써 상기 잠복 패턴을 현상하는 단계를 포함하는, 반도체 장치를 제조하는 방법.
14. 제13항에 있어서,
상기 a=1인, 반도체 장치를 제조하는 방법.
15. 제13항에 있어서,
상기 a=2이고 상기 제1 전구체는 화학식 MR2X2-NH-MR2X2를 가지는 유기 금속인, 반도체 장치를 제조하는 방법.
16. 제13항에 있어서,
상기 제2 전구체는 암모니아인, 반도체 장치를 제조하는 방법.
17. 반도체 장치를 제조하는 방법으로서
패터닝될 대상 층 위에 포토레지스트를 형성하는 단계로서, 상기 포토레지스트 층을 형성하는 단계는:
포토레지스트 재료를 형성하기 위해 증기 상태에서 제1 전구체 및 제2 전구체를 조합하는 단계-상기 제1 전구체는 화학식: MaRbXc를 가지는 유기 금속이고, 여기서 M은 하나 이상의 Sn, Bi, Sb, In 또는 Te를 포함하며, R은 하나 이상의 EDG(electron-donating groups) 또는 EWG(electron-withdrawing groups)에 의해 치환된 알킬기이고, X는 할로겐화물 또는 술폰산염 기이며, a=1 또는 2, b=1 및 c=3이고, 상기 제2 전구체는 하나 이상의 물, 아민, 보란 또는 수소화인을 포함함-; 를 포함하는, 상기 포토레지스트 층을 형성하는 단계;
패터닝될 상기 대상 층 위에 상기 포토레지스트 재료를 퇴적하는 단계;
잠복 패턴을 형성하기 위해 화학 방사선에 상기 포토레지스트 층을 선택적으로 노출하는 단계; 및
패턴을 형성하기 위해 상기 선택적으로 노출된 포토레지스트 층에 현상액을 도포함으로써 상기 잠복 패턴을 현상하는 단계를 포함하는, 반도체 장치를 제조하는 방법.
18. 제17항에 있어서,
상기 a=1인, 반도체 장치를 제조하는 방법.
19. 제17항에 있어서,
상기 a=2이고 상기 제1 전구체는 화학식 MRX3-O-MRX3를 가지는 유기 금속인, 반도체 장치를 제조하는 방법.
20. 제17항에 있어서,
상기 제2 전구체는 수증기인, 반도체 장치를 제조하는 방법.

Claims (10)

  1. 반도체 장치를 제조하는 방법으로서,
    패터닝될 대상 층 위에 포토레지스트 층을 형성하는 단계로서, 상기 포토레지스트 층을 형성하는 단계는:
    포토레지스트 재료를 형성하기 위해 증기 상태에서 제1 전구체 및 제2 전구체를 조합하는 단계-상기 제1 전구체는 화학식: MaRbXc 를 가지는 유기 금속이고, 여기서 M은 Sn, Bi, Sb, In, 및 Te로 구성된 그룹으로부터 하나 이상 선택되고, R은 하나 이상의 EDG(electron-donating groups) 또는 EWG(electron-withdrawing groups)에 의해 치환된 알킬기 이며, X는 할로겐화물 또는 술폰산염 그룹이고, 1 ≤ a ≤ 2, b ≥ 1, c ≥ 1, 및 b + c ≤ 4 이고, 상기 제2 전구체는 물, 아민, 보란 및 수소화인으로 구성된 그룹으로부터 하나 이상 선택됨-; 및
    패터닝될 상기 대상 층 위에 상기 포토레지스트 재료를 퇴적하는 단계를 포함하는, 상기 포토레지스트 층을 형성하는 단계; ,
    잠복 패턴을 형성하기 위해 화학 방사선에 상기 포토레지스트 층을 선택적으로 노출하는 단계; 및
    패턴을 형성하기 위해 상기 선택적으로 노출된 포토레지스트 층에 현상제를 도포함으로써 상기 잠복 패턴을 현상하는 단계를 포함하는, 반도체 장치를 제조하는 방법.
  2. 제1항에 있어서,
    상기 R은 하나 이상의 하기 -O--NH2, -NHR1, -NR12, -OH, -OR1, -NHCOR1, -SH, -SR1, 페닐기 및 -(C=O)O-로부터 선택된 전자 공여 기로 치환된, C1-C20 알킬기의 모든 이성질체를 포함하는 C1-C20 알킬기이고, 여기서 R1=C1-C4 기 또는 페닐 기인, 반도체 장치를 제조하는 방법.
  3. 제1항에 있어서,
    상기 R은 하나 이상의 -I, -Cl, -Br, -F, -NR23 +, -NO2, -SO3H, -SO2R2, -CN, -CHO, -COR2, -CO2H, -CO2R2, -CONH2, -CONHR2 및 -CONR22로부터 선택된 전자 흡인 기로 치환된, C1-C20 알킬기의 모든 이성질체를 포함하는 C1-C20 알킬기 이고, 여기서 R2=C1-C4 기 또는 페닐기인, 반도체 장치를 제조하는 방법.
  4. 제1항에 있어서,
    상기 R는 페닐기, -NH2, -NHR3, -NR32, -OH, -OR3로 치환된 하나 이상의 C1-C4 알킬기이고, 여기서 R3=C1-C3 알킬기 또는 페닐기인, 반도체 장치를 제조하는 방법.
  5. 제1항에 있어서,
    상기 R은 하나 또는 두개의 페닐기, -NH2, -NHR4, -NR42, 또는 -OR4에 의해 α-C위치에서 치환된 하나 이상의 C1-C4 알킬기이고, 여기서 R4=C1-C3 알킬기인, 반도체 장치를 제조하는 방법.
  6. 제1항에 있어서,
    상기 화학 방사선은 극 자외 방사선인, 반도체 장치를 제조하는 방법.
  7. 제1항에 있어서,
    잠복 패턴을 형성하기 위해 상기 포토레지스트 층을 화학 방사선에 선택적으로 노출시킨 후 그리고 상기 잠복 패턴을 현상하기 전에, 상기 포토레지스트 층을 노출 후 베이킹(post-exposure baking)하는 단계를 더 포함하는, 반도체 장치를 제조하는 방법.
  8. 제1항에 있어서,
    상기 포토레지스트 재료가 원자 층 퇴적(ALD) 또는 화학 증기 증착(CVD)에 의해 패터닝될 상기 대상 층 위에 퇴적되는, 반도체 장치를 제조하는 방법.
  9. 반도체 장치를 제조하는 방법으로서,
    패터닝될 대상 층 위에 포토레지스트 층을 형성하는 단계로서, 상기 포토레지스트 층을 형성하는 단계는:
    포토레지스트 재료를 형성하기 위해 증기 상태에서 제1 전구체 및 제2 전구체를 조합하는 단계- 상기 제1 전구체는 화학식: MaRbXc 를 가지는 유기 금속이고, 여기서 M은 Sn, Bi, Sb, In, 및 Te로 구성된 그룹으로부터 하나 이상 선택되고, R은 하나 이상의 EDG(electron-donating groups) 또는 EWG(electron-withdrawing groups)에 의해 치환된 알킬기이며, X는 할로겐화 물 또는 술폰산염 기이고, a=1 또는 2, b=2 및 c=2이고, 상기 제2 전구체는 물, 아민, 보란 및 수소화인으로 구성된 그룹으로부터 하나 이상 선택됨-; 및
    패터닝될 상기 대상 층 위에 상기 포토레지스트 재료를 퇴적하는 단계를 포함하는, 상기 포토레지스트 층을 형성하는 단계;
    잠복 패턴을 형성하기 위해 화학 방사선에 상기 포토레지스트 층을 선택적으로 노출하는 단계; 및
    패턴을 형성하기 위해 상기 선택적으로 노출된 포토레지스트 층에 현상제를 도포함으로써 상기 잠복 패턴을 현상하는 단계를 포함하는, 반도체 장치를 제조하는 방법.
  10. 반도체 장치를 제조하는 방법으로서
    패터닝될 대상 층 위에 포토레지스트를 형성하는 단계로서, 상기 포토레지스트 층을 형성하는 단계는:
    포토레지스트 재료를 형성하기 위해 증기 상태에서 제1 전구체 및 제2 전구체를 조합하는 단계-상기 제1 전구체는 화학식: MaRbXc를 가지는 유기 금속이고, 여기서 M은 하나 이상의 Sn, Bi, Sb, In 또는 Te를 포함하며, R은 하나 이상의 EDG(electron-donating groups) 또는 EWG(electron-withdrawing groups)에 의해 치환된 알킬기이고, X는 할로겐화물 또는 술폰산염 기이며, a=1 또는 2, b=1 및 c=3이고, 상기 제2 전구체는 하나 이상의 물, 아민, 보란 또는 수소화인을 포함함-; 를 포함하는, 상기 포토레지스트 층을 형성하는 단계;
    패터닝될 상기 대상 층 위에 상기 포토레지스트 재료를 퇴적하는 단계;
    잠복 패턴을 형성하기 위해 화학 방사선에 상기 포토레지스트 층을 선택적으로 노출하는 단계; 및
    패턴을 형성하기 위해 상기 선택적으로 노출된 포토레지스트 층에 현상액을 도포함으로써 상기 잠복 패턴을 현상하는 단계를 포함하는, 반도체 장치를 제조하는 방법.
KR1020210083013A 2020-07-02 2021-06-25 반도체 장치 제조 방법 및 패턴 형성 방법 KR102647995B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202063047350P 2020-07-02 2020-07-02
US63/047,350 2020-07-02
US202063049956P 2020-07-09 2020-07-09
US63/049,956 2020-07-09
US17/316,221 2021-05-10
US17/316,221 US20220005687A1 (en) 2020-07-02 2021-05-10 Method of manufacturing a semiconductor device and pattern formation method

Publications (2)

Publication Number Publication Date
KR20220003967A true KR20220003967A (ko) 2022-01-11
KR102647995B1 KR102647995B1 (ko) 2024-03-14

Family

ID=76744729

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210083013A KR102647995B1 (ko) 2020-07-02 2021-06-25 반도체 장치 제조 방법 및 패턴 형성 방법

Country Status (7)

Country Link
US (1) US20220005687A1 (ko)
EP (1) EP3933506A1 (ko)
JP (1) JP2022013909A (ko)
KR (1) KR102647995B1 (ko)
CN (1) CN113568271B (ko)
DE (1) DE102021113271A1 (ko)
TW (1) TWI773415B (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114628620B (zh) * 2022-03-15 2024-06-14 安徽熙泰智能科技有限公司 一种用于药液耐受性差的膜层的图形化方法
TWI833258B (zh) * 2022-05-05 2024-02-21 南亞科技股份有限公司 具有長形主動區之記憶體元件的製備方法
WO2024006453A1 (en) * 2022-07-01 2024-01-04 Entegris, Inc. Compounds and processes for extreme ultraviolet lithography
WO2024070756A1 (ja) * 2022-09-27 2024-04-04 東京エレクトロン株式会社 基板処理方法及び基板処理システム
US20240174699A1 (en) * 2022-11-15 2024-05-30 Entegris, Inc. Functionalized organotin precursors and related methods

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140047120A (ko) * 2011-07-08 2014-04-21 에이에스엠엘 네델란즈 비.브이. 리소그래피 패터닝 공정 및 상기 공정에 사용하기 위한 레지스트
KR20150129781A (ko) * 2013-03-14 2015-11-20 어플라이드 머티어리얼스, 인코포레이티드 기상 증착에 의해 증착되는 포토레지스트, 및 이를 위한 제조 및 리소그래피 시스템들
WO2019217749A1 (en) * 2018-05-11 2019-11-14 Lam Research Corporation Methods for making euv patternable hard masks

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE361366B (ko) * 1968-10-03 1973-10-29 Western Electric Co
JP3100041B2 (ja) * 1997-07-04 2000-10-16 日本合成化学工業株式会社 レジストパターン形成方法
JP3238369B2 (ja) * 1998-04-10 2001-12-10 ソニーケミカル株式会社 フォトレジスト用組成物、及びフレキシブルプリント配線板の製造方法
US7175944B2 (en) * 2004-08-31 2007-02-13 Micron Technology, Inc. Prevention of photoresist scumming
BRPI0818584B1 (pt) * 2007-10-17 2019-05-28 Basf Se Uso de um composto catalisador latente, composição polimerizável, processo para polimerização de compostos, uso da composição polimerizável, substrato revestido, composição polimerizada ou reticulada, e, composto catalisador latente
JP5669386B2 (ja) * 2009-01-15 2015-02-12 富士フイルム株式会社 新規化合物、重合性組成物、カラーフィルタ、及びその製造方法、固体撮像素子、並びに、平版印刷版原版
JP5469471B2 (ja) * 2009-01-30 2014-04-16 富士フイルム株式会社 着色光重合性組成物、着色パターンの形成方法、カラーフィルタ、および液晶表示装置、
JP4656242B2 (ja) * 2009-02-19 2011-03-23 三菱電機株式会社 レジスト材およびこれを用いた半導体装置の製造方法
JP2012003225A (ja) * 2010-01-27 2012-01-05 Fujifilm Corp ソルダーレジスト用重合性組成物及びソルダーレジストパターンの形成方法
TW201200975A (en) * 2010-06-17 2012-01-01 Du Pont Process and materials for making contained layers and devices made with same
JP5377595B2 (ja) * 2011-03-25 2013-12-25 富士フイルム株式会社 着色感放射線性組成物、カラーフィルタ、着色パターンの製造方法、カラーフィルタの製造方法、固体撮像素子、及び液晶表示装置
US9310684B2 (en) * 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
TWI584061B (zh) * 2014-08-27 2017-05-21 羅門哈斯電子材料有限公司 多重圖案的形成方法
CN108351594B (zh) * 2015-10-13 2021-07-09 因普里亚公司 有机锡氧化物氢氧化物图案化组合物、前驱物及图案化
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
JP7140964B2 (ja) * 2017-06-05 2022-09-22 セントラル硝子株式会社 含フッ素単量体、含フッ素重合体およびそれを用いたパターン形成用組成物、並びにそのパターン形成方法
CA2975104A1 (en) * 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide
JP7487103B2 (ja) * 2017-11-20 2024-05-20 インプリア・コーポレイション 有機スズクラスター、有機スズクラスターの溶液、及び高解像度パターン形成への適用
TWI814552B (zh) * 2018-04-05 2023-09-01 美商英培雅股份有限公司 錫十二聚物及具有強euv吸收的輻射可圖案化塗層
KR102307981B1 (ko) * 2018-08-10 2021-09-30 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11605538B2 (en) * 2018-10-31 2023-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. Protective composition and method of forming photoresist pattern
US20230072538A1 (en) * 2020-07-02 2023-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140047120A (ko) * 2011-07-08 2014-04-21 에이에스엠엘 네델란즈 비.브이. 리소그래피 패터닝 공정 및 상기 공정에 사용하기 위한 레지스트
KR20180099913A (ko) * 2011-07-08 2018-09-05 에이에스엠엘 네델란즈 비.브이. 리소그래피 패터닝 공정 및 상기 공정에 사용하기 위한 레지스트
KR20150129781A (ko) * 2013-03-14 2015-11-20 어플라이드 머티어리얼스, 인코포레이티드 기상 증착에 의해 증착되는 포토레지스트, 및 이를 위한 제조 및 리소그래피 시스템들
WO2019217749A1 (en) * 2018-05-11 2019-11-14 Lam Research Corporation Methods for making euv patternable hard masks

Also Published As

Publication number Publication date
DE102021113271A1 (de) 2022-01-05
TW202212970A (zh) 2022-04-01
EP3933506A1 (en) 2022-01-05
TWI773415B (zh) 2022-08-01
JP2022013909A (ja) 2022-01-18
KR102647995B1 (ko) 2024-03-14
CN113568271A (zh) 2021-10-29
CN113568271B (zh) 2024-09-13
US20220005687A1 (en) 2022-01-06

Similar Documents

Publication Publication Date Title
KR102647995B1 (ko) 반도체 장치 제조 방법 및 패턴 형성 방법
US11705332B2 (en) Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US11822237B2 (en) Method of manufacturing a semiconductor device
US20230386840A1 (en) Method of manufacturing semiconductor devices and pattern formation method
US20240282577A1 (en) Photoresist layer outgassing prevention
US20230369048A1 (en) Method of manufacturing a semiconductor device
US20230072538A1 (en) Method of manufacturing a semiconductor device and pattern formation method
US12057315B2 (en) Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
KR102630481B1 (ko) 반도체 디바이스 제조 방법
KR102499934B1 (ko) 반도체 디바이스를 제조하는 방법
TWI796661B (zh) 半導體裝置之製造方法
CN113341662A (zh) 光致抗蚀剂显影剂和制造半导体器件的方法
US20230375920A1 (en) Method of manufacturing a semiconductor device
US11942322B2 (en) Method of manufacturing semiconductor devices and pattern formation method
US20220291587A1 (en) Method of manufacturing a semiconductor device
TW202416053A (zh) 半導體裝置的製造方法及半導體裝置製造工具

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant