KR20150129781A - 기상 증착에 의해 증착되는 포토레지스트, 및 이를 위한 제조 및 리소그래피 시스템들 - Google Patents

기상 증착에 의해 증착되는 포토레지스트, 및 이를 위한 제조 및 리소그래피 시스템들 Download PDF

Info

Publication number
KR20150129781A
KR20150129781A KR1020157027664A KR20157027664A KR20150129781A KR 20150129781 A KR20150129781 A KR 20150129781A KR 1020157027664 A KR1020157027664 A KR 1020157027664A KR 20157027664 A KR20157027664 A KR 20157027664A KR 20150129781 A KR20150129781 A KR 20150129781A
Authority
KR
South Korea
Prior art keywords
photoresist
vapor
deposited
semiconductor wafer
extreme ultraviolet
Prior art date
Application number
KR1020157027664A
Other languages
English (en)
Other versions
KR102207228B1 (ko
Inventor
티모시 마이클슨
티모시 더블유. 와이드먼
배리 리 친
마지드 에이. 포아드
폴 데아톤
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150129781A publication Critical patent/KR20150129781A/ko
Application granted granted Critical
Publication of KR102207228B1 publication Critical patent/KR102207228B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation

Abstract

포토레지스트 기상 증착 시스템은: 기판을 유지하기 위한 냉각되는 척 및 가열 엘리먼트를 갖는 진공 챔버 ― 진공 챔버는 가열되는 유입구를 가짐 ―; 및 냉각되는 척에 의해 냉각되는 기판 위에, 포토레지스트를 응축시키기 위해, 진공 챔버 내로 전구체를 휘발시키기 위한, 가열되는 유입구에 연결되는 기상 증착 시스템을 포함한다. 증착 시스템은 반도체 웨이퍼 시스템을 생성하며, 반도체 웨이퍼 시스템은: 반도체 웨이퍼; 및 반도체 웨이퍼 위의 기상 증착되는 포토레지스트를 포함한다. 반도체 웨이퍼 시스템을 필요로 하는 극 자외선 리소그래피 시스템은: 극 자외선 광원; 극 자외선 광원으로부터의 광을 지향시키기 위한 미러; 극 자외선 광원으로부터의 광을 이미징하기 위한 레티클 스테이지; 및 기상 증착되는 포토레지스트를 갖는 반도체 웨이퍼를 배치하기 위한 웨이퍼 스테이지를 포함한다.

Description

기상 증착에 의해 증착되는 포토레지스트, 및 이를 위한 제조 및 리소그래피 시스템들{VAPOR DEPOSITION DEPOSITED PHOTORESIST, AND MANUFACTURING AND LITHOGRAPHY SYSTEMS THEREFOR}
본 발명은 일반적으로 극 자외선 리소그래피 포토레지스트들(extreme ultraviolet lithography photoresists)에 관한 것이다.
극 자외선 리소그래피(EUV, 연질 x-레이 투사 리소그래피로 또한 공지되어 있음)는, 0.13 미크론 및 그보다 작은 최소 피쳐 크기의 반도체 디바이스들을 제조하기 위한, 심자외선(deep ultraviolet) 리소그래피를 대체하는 경쟁자(contender)이다.
그러나, 일반적으로 7 내지 40 나노미터 파장 범위인 극 자외선 광은 사실상 모든 물질들에서 강력히 흡수된다. 그러한 이유로, 극 자외선 시스템들은 광(light)의 투과(transmission)에 의해서보다 반사에 의해 작동한다(work). 일련의(a series of) 미러들, 또는 렌즈 엘리먼트들, 및 비-반사성 흡수체 마스크 패턴(non-reflective absorber mask pattern)으로 코팅되는, 반사 엘리먼트, 또는 마스크 블랭크(mask blank)의 사용을 통하여, 패터닝된 화학선광(actinic light)은 포토레지스트-코팅된 반도체 웨이퍼 상으로 반사된다.
포토레지스트에 패턴들을 전사하기 위해 이용되는 포토리소그래피 기술들의 진보들은 점점 더 작아지는 패턴들이 전사되는 것을 가능하게 하였다. 이는, 더 작은 집적 회로 피쳐들이 집적 회로들에 형성될 수 있음을 의미한다. 결과적으로, 더 많은 엘리먼트들이 반도체 집적 회로 상의 주어진 구역에 놓일(put) 수 있으며, 이는 집적 회로들의 비용을 크게 감소시키면서도, 집적 회로들이 사용되는 전자 디바이스들 내의 기능(functionality)을 증가시킬 수 있는 능력을 야기한다.
반도체 집적 회로들의 제조시, 반도체 웨이퍼 상에 포토레지스트가 증착된다. 복사선(radiation) 및 다른 프로세싱에 대한 노출 시, 포토레지스트의 노출된 구역들은, 포토레지스트의 그러한 영역들을 제거하기 더 어렵거나 더 쉽게 하는 변화들을 겪는다. 결과적으로, 후속 프로세싱은 제거하기 더 쉬운 물질을 선택적으로 제거하여, 제거하기 더 어려운 패터닝된 물질을 남겨둘 수 있다. 이러한 패턴은 그 후, 포토레지스트를 통해, 예를 들면, 반도체 웨이퍼의 하위 층들(underlying layers) 내로 희망 피쳐들을 에칭하기 위한 마스크로서, 남아 있는 포토레지스트를 사용하여, 반도체 웨이퍼에 전사될 수 있다.
점점 더 미세한(finer and finer) 마스크들을 제조할 필요성으로 인해, EUV 포토레지스트들에 대해 중점을 두고 있는(placed) 많은 요구들이 존재한다. 일반적으로, EUV 포토레지스트에 대한, 해상도, 라인 엣지 러프니스, 및 민감도(resolution, line edge roughness, and sensitivity)(RLS) 요건들을 동시에 충족시키는 공지된 물질이 존재하지 않는다. RLS 문제들(issues)에 더하여, EUV 포토레지스트들에 대한 종래의 스핀-온 기술들(spin-on techniques)은 많은 부분들(a number of areas)에서 부족하다.
첫 번째로, 스핀-온 포토레지스트들은, 환경적 문제들을 야기할 수 있는 캐스팅 용제(casting solvent)를 사용하여 코팅된다.
두 번째로, 스핀-온 증착 기술들은 양호한 두께 제어를 제공하지 않으며, 특히 필름 두께가 감소함에 따라, 수직한 Z 방향에서의 두께 편차들(variations)을 갖는다.
세 번째로, 스핀-온 포토레지스트 용액(solution)의 성분들은 표면 에너지 효과들(surface energy effects)에 기인하여, 인터페이스들에서 분리되는(segregate) 경향이 있을 수 있다.
따라서, EUV 리소그래피가 보다 필요해짐에 따라, 이러한 문제들에 대한 답들을 찾는 것이 점점 중요해진다. 부가적으로, 비용들을 감소시키고, 효율들 및 성능을 개선하고, 경쟁압박들(competitive pressures)을 충족시킬 필요성은, 이러한 문제점들에 대한 답들을 찾기 위한 중요한 필요성(critical necessity)에 훨씬 더 큰 긴급성(urgency)을 더한다.
이러한 문제점들에 대한 해법들이 오랫동안 요구되어 왔지만, 이전의 개발들(developments)은 어떠한 해법들도 교시하거나 제안하지 않았으며, 그에 따라 이러한 문제점들에 대한 해법들은 당업자들이 오랫동안 알 수 없었다(eluded).
본 발명의 실시예는 포토레지스트 증착 시스템을 제공하며, 포토레지스트 증착 시스템은: 기판을 유지하기 위한 냉각되는 척 및 가열 엘리먼트를 갖는 진공 챔버 ― 진공 챔버는 가열되는 유입구를 가짐 ―; 및 냉각되는 척에 의해 냉각되는 기판 위에, 포토레지스트를 응축시키기(condensing) 위해, 진공 챔버 내로 전구체를 휘발시키기(volatilizing) 위한, 가열되는 유입구에 연결되는 기상 증착 시스템을 포함한다.
본 발명의 실시예는 극 자외선 리소그래피(extreme ultraviolet lithography) 시스템을 제공하며, 극 자외선 리소그래피 시스템은: 극 자외선 광원; 극 자외선 광원으로부터의 광을 지향시키기 위한 미러; 극 자외선 마스크 블랭크를 배치하기 위한 레티클 스테이지(reticle stage); 및 기상 증착되는 포토레지스트로 코팅되는 웨이퍼를 배치하기 위한 웨이퍼 스테이지를 포함한다.
본 발명의 실시예는 극 자외선 리소그래피 시스템을 제공하며, 극 자외선 리소그래피 시스템은: 극 자외선 광원; 극 자외선 광원으로부터의 광을 지향시키기 위한 미러; 기상 증착된 포토레지스트를 사용하여 패터닝된 극 자외선 마스크를 배치하기 위한 레티클 스테이지; 및 웨이퍼를 배치하기 위한 웨이퍼 스테이지를 포함한다.
본 발명의 실시예는 반도체 웨이퍼 시스템을 제공하며, 반도체 웨이퍼 시스템은: 반도체 웨이퍼 및 반도체 웨이퍼 위에 기상 증착에 의해 증착되는 포토레지스트를 포함한다.
본 발명의 특정 실시예들은, 전술된 것들에 더하여 또는 전술된 것들을 대신하여, 다른 단계들 또는 엘리먼트들을 갖는다. 이 단계들 또는 엘리먼트는, 첨부 도면들을 참조하여 취해질 때, 하기의 상세한 설명을 읽는 것으로부터 당업자들에게 자명해질 것이다.
도 1은 본 발명의 실시예에 따른 기상 증착 시스템의 단면도이다.
도 2는 본 발명의 실시예에 따른 반도체 웨이퍼의 일부이다.
도 3은 본 발명의 실시예에 따른, 패터닝 이후의 도 2의 기상 증착된 포토레지스트이다.
도 4는 본 발명의 실시예에 따른 EUV 리소그래피 시스템에 대한 광학 트레인(optical train)이다.
도 5는 본 발명의 실시예에 따른 EUV 리소그래피 시스템을 도시한다.
하기의 실시예들은, 당업자들이 본 발명을 사용하고 형성하는 것을 가능하게 하도록 충분히 상세히 설명된다. 본 개시 내용에 기초하여 다른 실시예들이 명백해질 것이며, 그리고 그러한 시스템, 프로세스, 또는 기계적 변화들이 본 발명의 범위로부터 벗어나지 않고 이루어질 수 있음이 이해되어야 한다.
하기의 설명에서, 본 발명의 충분한 이해를 제공하기 위해 수많은 특정 세부사항들이 주어진다. 그러나, 본 발명은 이러한 특정 세부사항들 없이도 실시될 수 있음이 자명할 것이다. 본 발명을 모호하게 하는 것을 피하기 위해, 일부 주지된 회로들, 시스템 구성들, 및 프로세스 단계들은 상세히 개시되지 않는다.
시스템의 실시예들을 도시하는 도면들은 반-도식적이고(semi-diagrammatic), 실척대로 도시된 것은 아니며, 특히 치수들 중 일부는 표시의 명확성을 위한 것이며, 도시된 도면들에서 과장되어 도시되어 있다. 마찬가지로, 설명의 용이함을 위해 도면들의 시점(view)들이 대체로 유사한 배향들로 도시되어 있지만, 도면들의 이러한 묘사는 대부분의 경우 임의적이다. 일반적으로, 본 발명은 어떠한 배향으로라도 작동될 수 있다.
동일한 엘리먼트들에 대해 언급하기 위해, 모든 도시된 도면들에서 동일한 번호들이 사용된다.
설명적인 목적들을 위해, 본원에서 사용되는 바와 같은 "수평한"이라는 용어는, 웨이퍼의 배향과 관계없이, 웨이퍼의 표면 또는 평면에 평행한 평면으로서 정의된다. "수직한" 이라는 용어는, 그렇게 정의된 바와 같은 수평선에 대해 수직한 방향을 나타낸다. "위(above)", "아래(below)", "바닥(bottom)", "최상부(top)", "측부(side)"("측벽(sidewall)"의 경우와 같음), "보다 높은(higher)", "보다 낮은(lower)", "상부(upper)", "위에(over)", 및 "아래에(under)"와 같은 용어들은, 도면들에 도시된 바와 같은 수평면에 대하여 정의된다. "상에(on)" 라는 용어는, 엘리먼트들 사이에 직접 접촉이 존재한다는 것을 나타낸다.
본원에서 사용되는 바와 같은 "프로세싱"이라는 용어는, 설명된 구조를 형성하는데 요구되는 바와 같은, 포토레지스트 또는 물질의 증착, 패터닝, 노출, 현상(development), 에칭, 세정, 및/또는 포토레지스트 또는 물질의 제거를 포함한다.
이제 도 1을 참조하면, 도 1에는 본 발명의 실시예에 따른 기상 증착 시스템의 단면이 도시된다. 기상 증착 시스템은, 독립형 시스템, 또는 증착 시스템(100)의 일부일 수 있다. 기상 증착 시스템(100)으로서 지시되는 독립형 시스템은, 가열되는 주 유입구(104), 및 유입구(106)와 같은 하나 또는 그 초과의 가열되는 보조 유입구들을 갖는 진공 챔버(102)를 포함한다. 기상 증착 시스템(100)은 배출구(108)를 갖는다.
진공 챔버(102)는, 가열 엘리먼트(110), 및 반도체 웨이퍼(115), 극 자외선 마스크 블랭크, 또는 다른 마스크 블랭크를 유지하기 위해 냉각되는 척(112)을 포함한다.
전구체들(116)은 휘발되며, 진공 챔버(102)로 도입된다. 냉각되는 척(112)에 전구체들이 도달하면, 전구체들(116)은 반도체 웨이퍼(115)의 표면 상에 응축된다. 전구체(116)의 예들에는, 금속 알콕사이드들 또는 다른 휘발성 금속 산화물 전구체들, 이를테면 하프늄 t-부톡사이드, 티타늄 n-부톡사이드, 하프늄 보로하이드라이드(hafnium borohydride), 등이 있다.
전구체는 선택적으로, 금속 산화물 전구체를 금속 산화물 필름 또는 금속 산화물 입자들로 변환하기 위해, 물, 또는 오존 또는 과산화물(peroxide)과 같은 다른 산화제(oxidizing agent)와 반응될 수 있다. 임의의 금속 산화물이 가능하지만, 하프늄, 지르코늄, 주석, 티타늄, 철, 및 몰리브덴 산화물들(molybdenum oxides)이 잘 작용한다(work well). 반응 산화제(reaction oxidant)는 금속 산화물 전구체와 동시에 도입될 수 있거나 순차적으로(sequentially) 도입될 수 있다.
일부 실시예들에서, 전구체들은 이들 사이의 가스 상 반응(gas phase reaction)을 의도적으로 추진하도록(drive) 챔버에 도입되며, 그에 따라 반도체 웨이퍼(115) 상에 증착되는 보다 큰 분자들의 형성을 초래한다. 제 2 전구체가 또한 (다른 전구체들과의 원자 층 증착(ALD) 반응에서와 같이 순서대로(in sequence), 또는 동시에) 도입된다.
이러한 제 2 전구체는, 금속 산화물 입자들 또는 필름과 결합하거나(bond), 금속 중심(metal center) 주위에 부착된(attached) 기존의 리간드들과의 리간드 대체 반응(ligand replacement reaction)을 개시하는 리간드(ligand)이다. 임의의 금속 중심이 가능하지만, 하프늄, 지르코늄, 주석, 티타늄, 철, 및 몰리브덴 금속 중심들이 잘 작용한다. 예시들에는, 메타크릴산, 포름산, 아세트산, 등과 같은 카르복실산들(carboxylic acids)이 포함되지만, 술폰산들, 디엔들(dienes), 또는 금속 산화물 입자들 또는 필름들과 착물들(complexes)을 형성할 수 있는 다른 화학물질들(chemistries)과 같은 다른 작용기들(functionalities)이 또한 포함될 수 있다.
이제 도 2를 참조하면, 도 2에는 본 발명의 실시예에 따른 반도체 웨이퍼(115)의 일부가 도시된다. 반도체 웨이퍼(115)는 기판(200)을 가지며, 기판은, 결정질 실리콘(예를 들면, Si<100> 또는 Si<111>), 실리콘 산화물, 변형 실리콘(strained silicon), 실리콘 게르마늄, 도핑된 또는 도핑되지 않은 폴리실리콘, 도핑된 또는 도핑되지 않은 실리콘 웨이퍼들과 같은 그러한 물질들, GaAs, GaN, InP와 같은 III-V족 물질들, 등을 포함할 수 있으며, 패터닝된 또는 패터닝되지 않은 웨이퍼들일 수 있다. 기판들은 다양한 치수들, 이를테면 200 mm 또는 300 mm 직경의 웨이퍼들뿐 아니라, 직사각형 또는 정사각형 페인들(panes)을 가질 수 있다. 기판들은, 기판 표면을 폴리싱, 에칭, 환원(reduce), 산화, 수산화(hydroxylate), 어닐링 및/또는 베이크(bake)하도록 사전처리(pretreatment) 프로세스에 노출될 수 있다.
기판(200)은 기판 표면(204)을 가지며, 기판 표면은, 기판(제조 프로세스 동안 기판의 상부에서 필름 프로세싱이 수행됨) 상에 형성되는 임의의 기판 또는 물질 표면으로 이루어질 수 있다. 예를 들면, 상부에서 프로세싱이 수행될 수 있는 기판 표면(204)은 응용예에 따라서, 실리콘, 실리콘 산화물, 변형 실리콘, 실리콘 온 인슐레이터(SOI), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소(gallium arsenide), 유리, 사파이어와 같은 물질들, 및 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 물질들과 같은 임의의 다른 물질들을 포함한다. 기판 표면 상의, 배리어 층들, 금속들, 또는 금속 질화물들은, 티타늄, 티타늄 질화물, 텅스텐 질화물, 탄탈룸 및 탄탈룸 질화물, 알루미늄, 구리, 또는 임의의 다른 전도체, 또는 디바이스 제조에 유용한 전도성 또는 비전도성 배리어 층을 포함한다.
도 1의 기상 증착 시스템(100)을 사용하는 기상 증착 기술들에 의해, 기상 증착되는 포토레지스트(206)가 기판 표면(204) 상에 증착된다. 기상 증착된 포토레지스트(206)와 기판(200)의 결합(combination)은 반도체 웨이퍼 시스템(210)을 형성한다. 기상 증착된 포토레지스트(206)는, 극 자외선 또는 보다 소규모의(smaller) 리소그래피에서 특히 유용한 것으로 밝혀졌다. 기상 증착 시스템(100)은, 냉각되는 척과 결합되는(combined with) 가열되는 화학 물질 전달 라인들 및 가열되는 챔버를 포함한다(involve). 기상 증착된 포토레지스트(206)는, 기상 증착(증발, 분해, 등), 화학 기상 증착(전구체 반응), 원자 층 증착, 또는 스핀-온 증착과 다른 프로세스들에 의해 증착될 수 있다.
부가적으로, 동시에 또는 순서대로, 광활성 화합물(photoactive compound)이 또한 기상 증착 기술들에 의해 챔버 내로 선택적으로 도입될 수 있다. 이러한 광활성 화합물은 산 발생제(acid generator), 라디칼 발생제(radical generator), 리간드와 같은 활성 화학물질(active chemical)을 발생시키도록 재배열(rearrange)될 수 있는 화합물일 수 있으며, 리간드는 필름 또는 입자에 용해성 변화가 야기되도록(induced) 금속 중심 주위의 리간드들의 변화, 응축, 재배열(rearrangement), 또는 대체를 촉진하거나 대체할 수 있다.
이제 도 3을 참조하면, 도 3에는 본 발명의 실시예에 따른, 패터닝 이후의 도 2의 기상 증착된 포토레지스트(206)가 도시된다. 복사선(UV, DUV, EUV, e-빔, 가시광선, 적외선, 이온-빔, x-레이, 등)에 노출시, 광활성 화합물에서 또는 금속 산화물에서, 기상 증착된 포토레지스트(206) 내에서 화학 반응이 유도된다(induced). 이러한 반응은 궁극적으로 (직접적으로, 또는 노출-후 베이크(post-exposure bake) 또는 다른 노출 후 프로세싱 이후에), 용제 내에서의 기상 증착된 포토레지스트(206)의 용해성의 변화, 또는 플라즈마 에칭 프로세스에서의 필름의 에칭 레이트의 변화를 초래한다. 용해성 또는 에칭 레이트의 이러한 변화는 궁극적으로, 기상 증착된 포토레지스트(206)를 패터닝하여, 패터닝된 기상 증착된 포토레지스트(300)를 제공하기 위해 사용될 수 있다.
일부 실시예들에서, 프로세스 조건들은 증착 전체에 걸쳐서 일정하게 유지되며, 이에 따라 최상부로부터 바닥까지 조성이 균일한 포토레지스트를 발생시킨다. 다른 실시예들에서, 사용되는 화학물질들 또는 증착 조건들은, 포토레지스트가 증착됨에 따라 변화되며, 그에 따라 최상부로부터 바닥까지 상이한 포토레지스트 조성들을 발생시킨다.
일부 실시예들에서, 스택의 바닥에서의 포토레지스트의 특성들은 특정 목표들을 달성하기 위해 조정될(tailored) 수 있다. 예를 들면, 스택의 바닥의 물질은 EUV 광자들을 더 많이 흡수할 수 있으며, 이는 결국 과도한 2차 전자들의 발생으로 이어질 수 있으며, 2차 전자들의 일부는 결과적으로(in turn) 포토레지스트 내로 상부로 지향되어서, 부가적인 반응들을 촉진시키고 EUV 포토레지스트의 성능을 개선할 것이다. 이러한 개선점은, 민감도, 라인 엣지 러프니스, 스커밍(scumming) 또는 풋팅(footing)의 감소, 또는 다른 개선점들의 면에서 분명해질 수 있다.
다른 실시예들에서, 이전에 언급된 희망 특성들을 갖는 포토레지스트가 기판 상에 증착될 수 있는데, 대신에 이는, 포토레지스트 증착의 일부로서 증착된 것이 아니라, 그 대신에, 별도의 독립적인 프로세스에 의해 증착되었다.
또 다른 실시예들에서, 포토레지스트는 보다 통상적인 기판, 이를테면, 반도체들, 금속들, 또는 실리콘, 실리콘 산화물, 게르마늄, 실리콘 질화물, 금속 산화물들, 금속 질화물들, 바닥 반사-방지 코팅들을 포함하는 유전체들 및, 다른 기판들 상에 증착된다.
일부 실시예들에서, 전구체들은 열 증발에 의해 증기 상으로 도입되지만, 진공 스프레잉과 같은 다른 기술들이 또한 증착을 위해 사용될 수 있다.
일부 실시예들에서, 금속 원자들의 개수에 대한 리간드들의 개수의 비율 또는 입자 크기는, 감광성(photosensitivity)과 같은 포토레지스트 특성들을 제어하도록 제어된다.
일부 실시예들에서, 광활성 화합물(photoactive compound)의 확산 또는 반응을 제한하기 위해, 부가적인 전구체가 포토레지스트에 동시-증착될(co-deposited) 수 있다. 광산(photoacid) 발생기의 경우, 이러한 부가적인 전구체는 염기(base) 또는 광분해가능한 염기(photodecomposable base)일 수 있다. 포토라디칼(photoradical) 발생기의 경우, 이러한 전구체는 라디칼 스캐빈저(radical scavenger) 등일 수 있다.
일부 실시예들에서, 이러한 프로세스는, 웨이퍼에 걸쳐서 증착 두께 균일성을 개선시키기 위해, 회전 척을 사용하는 시스템에서 수행된다. 다른 실시예들에서, 반응되지 않은 전구체 물질들이 챔버를 떠나기 전에, 반응되지 않은 전구체 물질들을 포착하기(capture) 위해, 콜드 트랩(cold trap)이 사용된다.
본 발명의 실시예들은, 이러한 주요 영역들(key areas)에서의 요건들을 기존의 기술보다 더 잘 만족시킬 가능성을 갖는다. 또한, 진공 기술들에 의한 포토레지스트의 증착은, 몇몇 영역들에서 종래의 스핀-온 기술들에 비해 이점들을 갖는다. 먼저, 이는 시스템으로부터 용제를 제거하며, 이는 환경적으로 이롭다. 다음으로, 진공 증착 기술들은, 사용자가 컨포멀(conformal)로부터 평탄화(planarizing)까지 증착을 조절할 수 있게 하는 반면, 스핀-온 필름들은 단지 평탄화되는 경향만 있다. 또한, 진공 증착 기술들은 사용자에게 두께에 걸친 필름 조성에 대해 더 나은 제어를 제공하고, 사용자가 Z 방향으로 균일한 필름을 생성할 수 있게 하는 반면, 스핀 온 프로세스 동안에는 포토레지스트 용액의 성분들(components)이, 표면 에너지 효과들로 인해, 인터페이스들에서 분리되는 경향이 있을 수 있다. 진공 증착 기술들은 또한, 증착 조건들을 변화시킴으로써 필름이 증착되기 때문에, 두께에 걸쳐서 제어된 조성 변화의 생성을 허용할 것이다. 이러한 제어는 종래의 기술들로는 가능하지 않다.
본 발명의 실시예들에 대해 예상되는 주요 응용예들은, 임의의 타입의 패터닝되는 복사 기술(가시광선, 심 UV, EUV, 전자-빔, 또는 X-레이 리소그래피)을 사용하는, 마이크로전자(microelectronic) 및 광 디바이스들(photonic devices)의 패터닝에 대한 전체 분야 이내이다. 설명된 증착 방법의 고유한 양태들로 인해, 응용예들이 편평한 평면의 기판들에만 제한되지는 않을 것이다.
이제 도 4를 참조하면, 도 4에는 본 발명의 실시예에 따른 EUV 리소그래피 시스템에 대한 광학 트레인(optical train)(400)이 도시되어 있다. 광학 트레인(400)은, EUV 광을 생성하고 이를 수집기(404)에 수집하기 위해, 플라즈마 소스와 같은 극 자외선 광원(402)을 갖는다. 수집기(404)는 조사기 시스템(406)의 일부인 필드 패싯 미러(field facet mirror)(408)에 광을 제공하며, 조사기 시스템은 퓨필 패싯 미러(pupil facet mirror)(410)를 더 포함한다. 조사기 시스템(406)은 레티클(412)에 EUV 광을 제공하고, 레티클은 투사 광학기기(projection optics)(414)를 통하여, 패터닝되는 반도체 웨이퍼(416) 상으로 EUV 광을 반사시킨다.
이제 도 5를 참조하면, 도 5에는 본 발명의 실시예에 따른 EUV 리소그래피 시스템(500)이 도시된다. EUV 리소그래피 시스템(500)은, 광학 트레인(400)에 대한 부속물들로서, EUV 광원 영역(502), 레티클 스테이지(504) 및 웨이퍼 스테이지(506)를 포함한다.
결과적인 방법, 프로세스, 장치, 디바이스, 물건(product), 및/또는 시스템은, 간단하고, 비용 효과적이며, 복잡하지 않고, 매우 다기능적이며(highly versatile), 정밀하고, 민감하며, 효과적이며, 그리고 용이하고 효율적이며, 경제적인 제조, 응용, 및 활용을 위해, 공지된 컴포넌트들을 적응시킴으로써 수행될 수 있다. 도 2의 기상 증착된 포토레지스트(206)는 EUV 리소그래피 시스템(500)의 중요한 컴포넌트이며, EUV 리소그래피 시스템(500)은 기상 증착된 포토레지스트 없이 그 기능을 수행할 수 없다.
본 발명의 다른 중요한 양태는, 본 발명이, 비용을 줄이고, 시스템들을 단순화하며, 성능을 증가시키는 역사적 추세(historical trend)를 유용하게 지원하고 서비스한다는 점이다.
본 발명의 이러한 그리고 다른 유용한 양태들은 결과적으로, 기술의 상태를 적어도 다음 레벨로 진전시킨다.
본 발명은 구체적인 최상의 모드와 함께 설명되었지만, 전술된 설명을 고려하여, 많은 대안들, 변형들, 및 수정들이 당업자들에게 자명해질 것이다. 따라서, 본 발명은 포함된 청구항들의 범위 내에 속하는 그러한 모든 대안들, 변형들, 및 수정들을 포함하도록 의도된다. 본원에서 지금까지 설명되거나 첨부 도면들에 도시된 모든 내용들은 예시적이며 비-제한적인 의미로 해석되어야 한다.

Claims (20)

  1. 포토레지스트 증착 시스템으로서:
    기판을 유지하기 위한 냉각되는 척(cooled chuck) 및 가열 엘리먼트를 갖는 진공 챔버 ― 상기 진공 챔버는 가열되는 유입구(heated inlet)를 가짐 ―; 및
    상기 냉각되는 척에 의해 냉각되는 상기 기판 위에, 기상 증착되는 포토레지스트를 응축시키기(condensing) 위해, 상기 진공 챔버 내로 전구체를 휘발시키기(volatilizing) 위한, 상기 가열되는 유입구에 연결되는 기상 증착(vapor deposition) 시스템을 포함하는
    포토레지스트 증착 시스템.
  2. 제 1 항에 있어서,
    상기 기상 증착 시스템은 기상 증착 동안의 조건들 및 증착 조성(deposition composition)을 변화시키는
    포토레지스트 증착 시스템.
  3. 제 1 항에 있어서,
    상기 기상 증착 시스템은, 상기 기상 증착되는 포토레지스트를 증착하기 위해 휘발성 금속 산화물의 전구체를 제공하는
    포토레지스트 증착 시스템.
  4. 제 1 항에 있어서,
    상기 기상 증착 시스템은 상기 기상 증착되는 포토레지스트를 증착하기 위해 금속 알콕사이드(metal alkoxide)의 전구체를 제공하는
    포토레지스트 증착 시스템.
  5. 제 1 항에 있어서,
    상기 진공 챔버는, 금속 산화물 전구체를 금속 산화물 포토레지스트로 변환하기 위해, 산화제(oxidizing agent)와 상기 전구체를 반응시키기 위한 것인
    포토레지스트 증착 시스템.
  6. 제 1 항에 있어서,
    상기 진공 챔버는, 상기 기판 위에 분자 증착(molecular deposition)을 초래하는 가스 상 반응을 추진하기(driving) 위한 것인
    포토레지스트 증착 시스템.
  7. 제 1 항에 있어서,
    상기 기상 증착 시스템은, 금속 중심(metal center) 주위의 리간드 대체 반응(ligand replacement reaction)을 개시하는 리간드 전구체를 반응시키기 위한 것인
    포토레지스트 증착 시스템.
  8. 제 1 항에 있어서,
    상기 기상 증착 시스템은, 상기 진공 챔버 내에 형성되는 금속 산화물과 결합하기(bonding) 위한 리간드 전구체를 제공하기 위한 것인
    포토레지스트 증착 시스템.
  9. 극 자외선 리소그래피 시스템으로서:
    극 자외선 광원;
    상기 극 자외선 광원으로부터의 광을 지향시키기 위한 미러;
    상기 극 자외선 광원으로부터의 광을 극 자외선 마스크 상에 이미징하기 위한 레티클 스테이지(reticle stage); 및
    상기 레티클 스테이지로부터의 광을 수신하기 위해, 기상 증착되는 포토레지스트로 코팅되는 반도체 웨이퍼를 배치하기 위한 웨이퍼 스테이지를 포함하는
    극 자외선 리소그래피 시스템.
  10. 제 9 항에 있어서,
    상기 기상 증착되는 포토레지스트는 휘발성 금속 산화물인
    극 자외선 리소그래피 시스템.
  11. 제 9 항에 있어서,
    상기 기상 증착되는 포토레지스트는 상기 반도체 웨이퍼 위의 분자 증착인
    극 자외선 리소그래피 시스템.
  12. 제 9 항에 있어서,
    상기 기상 증착되는 포토레지스트는 리간드를 포함하는
    극 자외선 리소그래피 시스템.
  13. 제 9 항에 있어서,
    상기 기상 증착되는 포토레지스트는 금속 중심 주위의 리간드인
    극 자외선 리소그래피 시스템.
  14. 제 9 항에 있어서,
    상기 기상 증착되는 포토레지스트는 금속 산화물과 결합되는 리간드인
    극 자외선 리소그래피 시스템.
  15. 반도체 웨이퍼 시스템으로서:
    반도체 웨이퍼; 및
    상기 반도체 웨이퍼 위의 기상 증착되는 포토레지스트를 포함하는
    반도체 웨이퍼 시스템.
  16. 제 15 항에 있어서,
    상기 기상 증착되는 포토레지스트는 휘발성 금속 산화물인
    반도체 웨이퍼 시스템.
  17. 제 15 항에 있어서,
    상기 기상 증착되는 포토레지스트는 상기 반도체 웨이퍼 위의 분자 증착인
    반도체 웨이퍼 시스템.
  18. 제 15 항에 있어서,
    상기 기상 증착되는 포토레지스트는 리간드를 포함하는
    반도체 웨이퍼 시스템.
  19. 제 15 항에 있어서,
    상기 기상 증착되는 포토레지스트는 금속 중심 주위의 리간드인
    반도체 웨이퍼 시스템.
  20. 제 15 항에 있어서,
    상기 기상 증착되는 포토레지스트는 금속 산화물과 결합되는 리간드인
    반도체 웨이퍼 시스템.
KR1020157027664A 2013-03-14 2014-03-13 기상 증착에 의해 증착되는 포토레지스트, 및 이를 위한 제조 및 리소그래피 시스템들 KR102207228B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361786042P 2013-03-14 2013-03-14
US61/786,042 2013-03-14
US14/139,457 US9632411B2 (en) 2013-03-14 2013-12-23 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US14/139,457 2013-12-23
PCT/US2014/026826 WO2014152023A1 (en) 2013-03-14 2014-03-13 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor

Publications (2)

Publication Number Publication Date
KR20150129781A true KR20150129781A (ko) 2015-11-20
KR102207228B1 KR102207228B1 (ko) 2021-01-25

Family

ID=51525876

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157027664A KR102207228B1 (ko) 2013-03-14 2014-03-13 기상 증착에 의해 증착되는 포토레지스트, 및 이를 위한 제조 및 리소그래피 시스템들

Country Status (7)

Country Link
US (2) US9632411B2 (ko)
JP (1) JP6964979B2 (ko)
KR (1) KR102207228B1 (ko)
CN (1) CN105074572B (ko)
SG (2) SG11201506506PA (ko)
TW (1) TWI614364B (ko)
WO (1) WO2014152023A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170029596A (ko) * 2014-07-11 2017-03-15 어플라이드 머티어리얼스, 인코포레이티드 다층 스택을 갖는 극 자외선 반사 엘리먼트 및 그 제조 방법
KR20220003967A (ko) * 2020-07-02 2022-01-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 장치 제조 방법 및 패턴 형성 방법

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
JP6495025B2 (ja) * 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
KR102204773B1 (ko) * 2015-10-13 2021-01-18 인프리아 코포레이션 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US10018920B2 (en) 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
WO2019166318A1 (en) * 2018-03-02 2019-09-06 Asml Netherlands B.V. Method and apparatus for forming a patterned layer of material
WO2019217749A1 (en) * 2018-05-11 2019-11-14 Lam Research Corporation Methods for making euv patternable hard masks
US10998191B2 (en) 2018-11-13 2021-05-04 International Business Machines Corporation Graded hardmask interlayer for enhanced extreme ultraviolet performance
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
US20240027916A1 (en) * 2022-07-21 2024-01-25 Applied Materials, Inc. Fingerprinting and process control of photosensitive film deposition chamber

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040091618A1 (en) * 2002-11-08 2004-05-13 Park Han-Su Photoresist depositon apparatus and method for forming photoresist film using the same
JP2007514293A (ja) * 2003-04-21 2007-05-31 アヴィザ テクノロジー インコーポレイテッド 多成分誘電体膜を形成するためのシステム及び方法
US20070141257A1 (en) * 2004-03-31 2007-06-21 Tokyo Electron Limited Method and apparatus for forming metal silicate film, and method for manufacturing semiconductor device
KR20090103847A (ko) * 2008-03-28 2009-10-01 캐논 가부시끼가이샤 노광 장치 및 디바이스 제조 방법

Family Cites Families (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57143826A (en) * 1981-02-28 1982-09-06 Dainippon Printing Co Ltd Formation of resist pattern on gapped semiconductor substrate
JPS57157522A (en) * 1981-03-23 1982-09-29 Nec Corp Depositing method for resist film for photo-etching technique
JPS60109227A (ja) * 1983-11-18 1985-06-14 Hitachi Ltd 薄膜のパタ−ン形成方法
US4842675A (en) 1986-07-07 1989-06-27 Texas Instruments Incorporated Integrated circuit isolation process
GB8921666D0 (en) 1989-09-26 1989-11-08 Peatgrange Ivd Limited Ion vapour deposition apparatus and method
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
JPH07254556A (ja) * 1993-09-03 1995-10-03 Hitachi Ltd パターン形成方法および形成装置
JPH07106224A (ja) * 1993-10-01 1995-04-21 Hitachi Ltd パターン形成方法
JPH07106234A (ja) * 1993-10-07 1995-04-21 Mitsubishi Electric Corp レジストパターンの形成方法
JPH07235481A (ja) * 1994-02-25 1995-09-05 Toray Ind Inc 薄膜の製造方法
US5645646A (en) 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
US5792592A (en) * 1996-05-24 1998-08-11 Symetrix Corporation Photosensitive liquid precursor solutions and use thereof in making thin films
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6096100A (en) 1997-12-12 2000-08-01 Texas Instruments Incorporated Method for processing wafers and cleaning wafer-handling implements
US6010916A (en) 1997-12-05 2000-01-04 Advanced Micro Devices, Inc. Method for improving semiconductor wafer processing
US6140216A (en) 1998-04-14 2000-10-31 Advanced Micro Devices, Inc. Post etch silicide formation using dielectric etchback after global planarization
US6142641A (en) 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6174651B1 (en) * 1999-01-14 2001-01-16 Steag Rtp Systems, Inc. Method for depositing atomized materials onto a substrate utilizing light exposure for heating
US6749814B1 (en) 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
JP2000331939A (ja) * 1999-05-17 2000-11-30 Applied Materials Inc 成膜装置
TW561279B (en) 1999-07-02 2003-11-11 Asml Netherlands Bv Reflector for reflecting radiation in a desired wavelength range, lithographic projection apparatus containing the same and method for their preparation
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US20020015855A1 (en) 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
JP2002090978A (ja) 2000-09-12 2002-03-27 Hoya Corp 位相シフトマスクブランクの製造方法、及び位相シフトマスクブランクの製造装置
US6673524B2 (en) 2000-11-17 2004-01-06 Kouros Ghandehari Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
JP3939132B2 (ja) 2000-11-22 2007-07-04 Hoya株式会社 多層膜付き基板、露光用反射型マスクブランク、露光用反射型マスクおよびその製造方法、並びに半導体の製造方法
US6656643B2 (en) 2001-02-20 2003-12-02 Chartered Semiconductor Manufacturing Ltd. Method of extreme ultraviolet mask engineering
US6840616B2 (en) 2001-03-29 2005-01-11 Scott Summers Air folder adjuster apparatus and method
US20030008148A1 (en) 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6734117B2 (en) 2002-03-12 2004-05-11 Nikon Corporation Periodic clamping method and apparatus to reduce thermal stress in a wafer
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US6806006B2 (en) 2002-07-15 2004-10-19 International Business Machines Corporation Integrated cooling substrate for extreme ultraviolet reticle
US20040018733A1 (en) 2002-07-23 2004-01-29 Hak Baek Jae Method of planarizing a surface of a semiconductor wafer
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
CN1501442A (zh) * 2002-11-12 2004-06-02 阿泰技术有限公社 光刻胶沉积设备以及使用该设备形成光刻胶薄膜的方法
JP2004172272A (ja) * 2002-11-19 2004-06-17 Nikon Corp Euv露光装置及びeuv露光方法
DE10302342A1 (de) 2003-01-17 2004-08-05 Schott Glas Substrat für die EUV-Mikrolithographie und Herstellverfahren hierfür
US6908713B2 (en) 2003-02-05 2005-06-21 Intel Corporation EUV mask blank defect mitigation
US7026076B2 (en) 2003-03-03 2006-04-11 Freescale Semiconductor, Inc. Method of patterning photoresist on a wafer using a reflective mask with a multi-layer ARC
DE10317792A1 (de) 2003-04-16 2004-11-11 Schott Glas Maskenrohling zur Verwendung in der EUV-Lithographie und Verfahren zu dessen Herstellung
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
WO2004106986A2 (en) 2003-05-29 2004-12-09 Applied Materials Inc. Maskless fabrication of waveguide mirrors
KR100520222B1 (ko) 2003-06-23 2005-10-11 삼성전자주식회사 반도체 소자에서의 듀얼 게이트 산화막 구조 및 그에 따른형성방법
US7326502B2 (en) 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
KR100680405B1 (ko) 2003-11-19 2007-02-08 주식회사 하이닉스반도체 Euv용 포토레지스트 조성물 및 이를 이용한포토레지스트 패턴 형성 방법
US7005227B2 (en) 2004-01-21 2006-02-28 Intel Corporation One component EUV photoresist
US7193228B2 (en) 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
TW200535138A (en) * 2004-03-15 2005-11-01 Ube Industries Metal complex comprising β-diketonato as ligand
US7198872B2 (en) 2004-05-25 2007-04-03 International Business Machines Corporation Light scattering EUVL mask
US20060024589A1 (en) 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
EP1791168A1 (en) 2004-09-17 2007-05-30 Asahi Glass Company, Limited Reflective mask blank for euv lithography and method for producing same
US8293430B2 (en) 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7336416B2 (en) 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US20060274474A1 (en) * 2005-06-01 2006-12-07 Lee Chung J Substrate Holder
US20060275547A1 (en) 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
US7432201B2 (en) 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
DE102005052000B3 (de) 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
US7678511B2 (en) 2006-01-12 2010-03-16 Asahi Glass Company, Limited Reflective-type mask blank for EUV lithography
US7712333B2 (en) 2006-03-29 2010-05-11 Asahi Glass Company, Limited Method for smoothing a surface of a glass substrate for a reflective mask blank used in EUV lithography
US7736820B2 (en) 2006-05-05 2010-06-15 Asml Netherlands B.V. Anti-reflection coating for an EUV mask
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
JP4801550B2 (ja) * 2006-09-26 2011-10-26 富士通株式会社 レジスト組成物、レジストパターンの形成方法、及び半導体装置の製造方法
US7892719B2 (en) 2006-11-03 2011-02-22 Intel Corporation Photonic crystal EUV photoresists
JP2008135090A (ja) * 2006-11-27 2008-06-12 Canon Inc レジスト、これを用いた光ディスク用スタンパの製造方法、及び光ディスク用スタンパ
EP2087510A4 (en) 2006-11-27 2010-05-05 Nikon Corp OPTICAL ELEMENT, ASSOCIATED EXPOSURE UNIT AND METHOD FOR PRODUCING THE DEVICE
US20090278233A1 (en) 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
WO2009031232A1 (ja) 2007-09-07 2009-03-12 Canon Anelva Corporation スパッタリング方法および装置
JP5039495B2 (ja) * 2007-10-04 2012-10-03 ルネサスエレクトロニクス株式会社 マスクブランク検査方法、反射型露光マスクの製造方法、反射型露光方法および半導体集積回路の製造方法
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US20090176367A1 (en) 2008-01-08 2009-07-09 Heidi Baks OPTIMIZED SiCN CAPPING LAYER
WO2009123172A1 (ja) 2008-03-31 2009-10-08 Hoya株式会社 フォトマスクブランク、フォトマスクおよびフォトマスクブランクの製造方法
JP2009245505A (ja) * 2008-03-31 2009-10-22 Pioneer Electronic Corp 光学情報記録媒体製造用の原盤
US8105954B2 (en) * 2008-10-20 2012-01-31 aiwan Semiconductor Manufacturing Company, Ltd. System and method of vapor deposition
JP2010122305A (ja) * 2008-11-17 2010-06-03 Sony Corp 露光装置及び露光方法並びに微細加工装置及び微細加工方法
JP2010170011A (ja) 2009-01-26 2010-08-05 Hoya Corp フォトマスクの修正方法
JP2011053566A (ja) * 2009-09-03 2011-03-17 Sony Corp 現像液、エッチング液および微細加工体の製造方法
CN102019266A (zh) 2009-09-17 2011-04-20 中芯国际集成电路制造(上海)有限公司 涂层材料的涂布方法
CN102782531B (zh) 2009-12-15 2014-12-17 卡尔蔡司Smt有限责任公司 用于极紫外光刻的反射光学元件
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
EP4328647A2 (en) * 2010-04-02 2024-02-28 Nikon Corporation Light source apparatus, optical apparatus, exposure apparatus, device manufacturing method, illuminating method, exposure method, and method for manufacturing optical apparatus
WO2012009371A2 (en) 2010-07-12 2012-01-19 Applied Materials, Inc. Compartmentalized chamber
KR20130111524A (ko) 2010-07-27 2013-10-10 아사히 가라스 가부시키가이샤 Euv 리소그래피용 반사층 형성 기판, 및 euv 리소그래피용 반사형 마스크 블랭크
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR20120042169A (ko) 2010-10-22 2012-05-03 삼성모바일디스플레이주식회사 액정 표시 장치 및 그 제조 방법
JP6013720B2 (ja) 2010-11-22 2016-10-25 芝浦メカトロニクス株式会社 反射型マスクの製造方法、および反射型マスクの製造装置
US8475977B2 (en) 2010-12-02 2013-07-02 Intermolecular, Inc Protective cap for extreme ultraviolet lithography masks
US8562794B2 (en) 2010-12-14 2013-10-22 Asahi Glass Company, Limited Process for producing reflective mask blank for EUV lithography and process for producing substrate with functional film for the mask blank
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
CN103069056B (zh) 2011-03-14 2015-11-25 富士电机株式会社 氧化物基材及其制备方法
JP2012248664A (ja) * 2011-05-27 2012-12-13 Hitachi Cable Ltd 気相成長装置及び気相成長方法、並びにエピタキシャルウェハ
CN103649830B (zh) * 2011-07-08 2018-06-01 Asml荷兰有限公司 光刻图案化过程和其中使用的抗蚀剂
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
KR101840846B1 (ko) 2012-02-15 2018-03-21 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
US9051649B2 (en) * 2013-03-11 2015-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film deposition apparatus and method with improved heater cooling efficiency
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040091618A1 (en) * 2002-11-08 2004-05-13 Park Han-Su Photoresist depositon apparatus and method for forming photoresist film using the same
JP2007514293A (ja) * 2003-04-21 2007-05-31 アヴィザ テクノロジー インコーポレイテッド 多成分誘電体膜を形成するためのシステム及び方法
US20070141257A1 (en) * 2004-03-31 2007-06-21 Tokyo Electron Limited Method and apparatus for forming metal silicate film, and method for manufacturing semiconductor device
KR20090103847A (ko) * 2008-03-28 2009-10-01 캐논 가부시끼가이샤 노광 장치 및 디바이스 제조 방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170029596A (ko) * 2014-07-11 2017-03-15 어플라이드 머티어리얼스, 인코포레이티드 다층 스택을 갖는 극 자외선 반사 엘리먼트 및 그 제조 방법
KR20220003967A (ko) * 2020-07-02 2022-01-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 장치 제조 방법 및 패턴 형성 방법

Also Published As

Publication number Publication date
CN105074572B (zh) 2019-11-26
KR102207228B1 (ko) 2021-01-25
CN105074572A (zh) 2015-11-18
TW201439361A (zh) 2014-10-16
US20140268082A1 (en) 2014-09-18
US9632411B2 (en) 2017-04-25
US20170068174A1 (en) 2017-03-09
US9829805B2 (en) 2017-11-28
JP2016517633A (ja) 2016-06-16
SG10201707388RA (en) 2017-10-30
JP6964979B2 (ja) 2021-11-10
WO2014152023A1 (en) 2014-09-25
TWI614364B (zh) 2018-02-11
SG11201506506PA (en) 2015-09-29

Similar Documents

Publication Publication Date Title
KR102207228B1 (ko) 기상 증착에 의해 증착되는 포토레지스트, 및 이를 위한 제조 및 리소그래피 시스템들
KR102571376B1 (ko) 포토레지스트층 표면 처리, 캡층, 및 포토레지스트 패턴을 형성하는 방법
KR102405489B1 (ko) 반도체 디바이스 제조 방법
JP2022013909A (ja) 半導体デバイスの製造方法及びパターン形成方法
CN115699255A (zh) 用于光刻应用的光刻胶层上的碳的选择性沉积
KR102630481B1 (ko) 반도체 디바이스 제조 방법
KR102499934B1 (ko) 반도체 디바이스를 제조하는 방법
TW202205374A (zh) 在光阻層中形成圖案的方法及半導體裝置的製造方法
TW202136912A (zh) 半導體裝置之製造方法及形成圖案之方法
TW202144913A (zh) 半導體裝置之製造方法
US20240045336A1 (en) Method for forming resist pattern by using extreme ultraviolet light and method for forming pattern by using the resist pattern as mask
US11726405B2 (en) Photoresist for semiconductor fabrication
TWI790594B (zh) 半導體裝置的製造方法及防止含金屬光阻釋氣的方法
US20230375920A1 (en) Method of manufacturing a semiconductor device
KR20230170786A (ko) 저 노출 선량의 euv 방사선을 위한 고 양자 효율 건식 레지스트
CN117008432A (zh) 制造半导体器件的方法和半导体器件制造工具
KR20240012409A (ko) 극자외선 패터닝을 위한 유기금속 막

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant