US20140268082A1 - Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor - Google Patents

Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor Download PDF

Info

Publication number
US20140268082A1
US20140268082A1 US14/139,457 US201314139457A US2014268082A1 US 20140268082 A1 US20140268082 A1 US 20140268082A1 US 201314139457 A US201314139457 A US 201314139457A US 2014268082 A1 US2014268082 A1 US 2014268082A1
Authority
US
United States
Prior art keywords
semiconductor wafer
photoresist
vapor deposited
deposited photoresist
vapor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US14/139,457
Other versions
US9632411B2 (en
Inventor
Timothy Michaelson
Timothy W. Weidman
Barry Lee Chin
Majeed Foad
Paul Deaton
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US14/139,457 priority Critical patent/US9632411B2/en
Priority to US14/139,371 priority patent/US9612521B2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHIN, BARRY LEE, WEIDMAN, TIMOTHY W., DEATON, PAUL, FOAD, MAJEED, MICHAELSON, TIMOTHY
Priority to TW103106591A priority patent/TWI614364B/en
Priority to CN201480010168.9A priority patent/CN105074572B/en
Priority to SG10201707388RA priority patent/SG10201707388RA/en
Priority to JP2016502257A priority patent/JP6964979B2/en
Priority to KR1020157027664A priority patent/KR102207228B1/en
Priority to PCT/US2014/026826 priority patent/WO2014152023A1/en
Priority to SG11201506506PA priority patent/SG11201506506PA/en
Publication of US20140268082A1 publication Critical patent/US20140268082A1/en
Priority to US15/357,085 priority patent/US9829805B2/en
Priority to US15/444,864 priority patent/US20170168383A1/en
Publication of US9632411B2 publication Critical patent/US9632411B2/en
Application granted granted Critical
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation

Definitions

  • the present application contains subject matter related to a concurrently filed U.S. patent application by Cara Beasley, Ralf Hofmann, Majeed Foad, and Timothy Michaelson entitled “PLANARIZED EXTREME ULTRAVIOLET LITHOGRAPHY BLANK, AND MANUFACTURING AND LITHOGRAPHY SYSTEMS THEREFOR”.
  • the related application is assigned to Applied Materials, Inc. and is identified by docket number 017964USA/ATG/ATG/ESONG. The subject matter thereof is incorporated herein by reference thereto.
  • the present application contains subject matter related to a concurrently filed U.S. patent application by Ralf Hofmann and Kevin Moraes entitled “AMORPHOUS LAYER EXTREME ULTRAVIOLET LITHOGRAPHY BLANK, AND MANUFACTURING AND LITHOGRAPHY SYSTEMS THEREFOR”.
  • the related application is assigned to Applied Materials, Inc. and is identified by docket number 020388USA/ATG/ATG/ESONG. The subject matter thereof is incorporated herein by reference thereto.
  • the present application contains subject matter related to a concurrently filed U.S. patent application by Ralf Hofmann, Cara Beasley, and Majeed Foad entitled “EXTREME ULTRAVIOLET LITHOGRAPHY MASK BLANK MANUFACTURING SYSTEM AND METHOD OF OPERATION THEREFOR”.
  • the related application is assigned to Applied Materials, Inc. and is identified by docket number 020389USA/ATG/ATG/ESONG. The subject matter thereof is incorporated herein by reference thereto.
  • the present application contains subject matter related to a concurrently filed U.S. patent application by Soumendra N. Barman, Cara Beasley, Abhijit Basu Mallick, Ralf Hofmann, and Nitin K. Ingle entitled “ULTRA-SMOOTH LAYER ULTRAVIOLET LITHOGRAPHY MIRRORS AND BLANKS, AND MANUFACTURING AND LITHOGRAPHY SYSTEMS THEREFOR”.
  • the related application is assigned to Applied Materials, Inc. and is identified by docket number 020600USA/ATG/ATG/ESONG. The subject matter thereof is incorporated herein by reference thereto.
  • the present invention relates generally to extreme ultraviolet lithography photoresists.
  • EUV Extreme ultraviolet lithography
  • soft x-ray projection lithography is a contender to replace deep ultraviolet lithography for the manufacture of 0.13 micron, and smaller, minimum feature size semiconductor devices.
  • extreme ultraviolet light which is generally in the 7 to 40 nanometer wavelength range, is strongly absorbed in virtually all materials. For that reason, extreme ultraviolet systems work by reflection rather than by transmission of light.
  • the patterned actinic light is reflected onto a photoresist-coated semiconductor wafer.
  • a photoresist is deposited on a semiconductor wafer.
  • the exposed areas of the photoresist undergo changes that make those regions of the photoresist either harder or easier to remove.
  • subsequent processing can selectively remove the easier to remove material, leaving behind the patterned, harder to remove material.
  • This pattern can then be transferred to the semiconductor wafer via the photoresist, for example, by using the remaining photoresist as a mask for etching the desired features into the underlying layers of the semiconductor wafer.
  • spin-on photoresists are coated using a casting solvent, which can cause environmental problems.
  • spin-on deposition techniques do not provide good thickness control and have variations in thickness in the vertical Z direction, especially as film thicknesses decrease.
  • components of a spin-on photoresist solution may tend to segregate at the interfaces due to surface energy effects.
  • An embodiment of the present invention provides photoresist deposition system which includes: a vacuum chamber having a heating element and cooled chuck for holding a substrate, the vacuum chamber having a heated inlet; and a vapor deposition system connected to the heated inlet for volatilizing a precursor into the vacuum chamber for condensing a photoresist over the substrate cooled by the cooled chuck.
  • An embodiment of the present invention provides an extreme ultraviolet lithography system that includes: an extreme ultraviolet light source; a mirror for directing light from the extreme ultraviolet light source; a reticle stage for placing an extreme ultraviolet mask blank; and a wafer stage for placing a wafer coated with a vapor deposited photoresist.
  • An embodiment of the present invention provides an extreme ultraviolet lithography system that includes: an extreme ultraviolet light source; a mirror for directing light from the extreme ultraviolet light source; a reticle stage for placing an extreme ultraviolet mask that has been patterned using a vapor deposited photoresist; and a wafer stage for placing a wafer.
  • An embodiment of the present invention provides a semiconductor wafer system that includes: a semiconductor wafer and a vapor deposition deposited photoresist over the semiconductor wafer.
  • FIG. 1 is a cross-section of the vapor deposition system in accordance with an embodiment of the present invention.
  • FIG. 2 is a portion of a semiconductor wafer in accordance with an embodiment of the present invention.
  • FIG. 3 is the vapor deposited photoresist of FIG. 2 after patterning in accordance with an embodiment of the present invention.
  • FIG. 4 is an optical train for a EUV lithography system in accordance with an embodiment of the present invention.
  • FIG. 5 is shown a EUV lithography system in accordance with an embodiment of the present invention.
  • the term “horizontal” as used herein is defined as a plane parallel to the plane or surface of the wafer, regardless of its orientation.
  • the term “vertical” refers to a direction perpendicular to the horizontal as just defined. Terms, such as “above”, “below”, “bottom”, “top”, “side” (as in “sidewall”), “higher”, “lower”, “upper”, “over”, and “under”, are defined with respect to the horizontal plane, as shown in the figures.
  • the term “on” indicates that there is direct contact between elements.
  • processing includes deposition of material or photoresist, patterning, exposure, development, etching, cleaning, and/or removal of the material or photoresist as required in forming a described structure.
  • a vapor deposition system could be a standalone system or part of a deposition system 100 .
  • a standalone system, designated as a vapor deposition system 100 includes a vacuum chamber 102 having a heated primary inlet 104 and one or more heated secondary inlets, such as an inlet 106 .
  • the vapor deposition system 100 has an outlet 108 .
  • the vacuum chamber 102 contains a heating element 110 and a cooled chuck 112 for holding a semiconductor wafer 115 , an extreme ultraviolet mask blank, or other mask blank.
  • Precursors 116 are volatilized and introduced to the vacuum chamber 102 . When they reach the cooled chuck 112 , the precursors 116 condense on the surface of the semiconductor wafer 115 .
  • the precursor 116 are metal alkoxides or other volatile metal oxide precursors such as hafnium t-butoxide, titanium n-butoxide, hafnium borohydride, and others.
  • the precursor could optionally be reacted with water or another oxidizing agent like ozone or peroxide to convert the metal oxide precursor into a metal oxide film, or metal oxide particles. While any metal oxide is possible, hafnium, zirconium, tin, titanium, iron, and molybdenum oxides work well.
  • the reaction oxidant could be introduced at the same time or sequentially with the metal oxide precursor.
  • precursors are introduced to the chamber to intentionally drive a gas phase reaction between them, resulting in the formation of larger molecules that are deposited on the semiconductor wafer 115 .
  • a second precursor is also introduced (either at the same time, or in sequence as in an atomic layer deposition (ALD) reaction with the other precursors).
  • This second precursor is a ligand that bonds with metal oxide particles or film, or initiates a ligand replacement reaction with existing ligands attached around a metal center. While any metal center is possible, hafnium, zirconium, tin, titanium, iron, and molybdenum metal centers work well. Examples include carboxylic acids like methacrylic acid, formic acid, acetic acid, and others, but may also include other functionalities such as sulfonic acids, dienes, or other chemistries which can form complexes with metal oxide particles or films.
  • the semiconductor wafer 115 has a substrate 200 , which may include such materials as crystalline silicon (e.g., Si ⁇ 100> or Si ⁇ 111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers, III-V materials such as GaAs, GaN, InP, etc., and be patterned or non-patterned wafers.
  • Substrates may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as, rectangular or square panes. Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal and/or bake the substrate surface.
  • the substrate 200 has a substrate surface 204 , which may be of any substrate or material surface formed on a substrate upon which film processing is performed during a fabrication process.
  • the substrate surface 204 on which processing can be performed include materials such as silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application.
  • Barrier layers, metals or metal nitrides on a substrate surface include titanium, titanium nitride, tungsten nitride, tantalum and tantalum nitride, aluminum, copper, or any other conductor or conductive or non-conductive barrier layer useful for device fabrication.
  • a vapor deposited photoresist 206 is deposited on the substrate surface 204 by vapor deposition techniques using the vapor deposition system 100 of FIG. 1 .
  • the combination of the vapor deposited photoresist 206 and the substrate 200 form a semiconductor wafer system 210 .
  • the vapor deposited photoresist 206 has been found to be of particular use in extreme ultraviolet or smaller lithography.
  • the vapor deposition system 100 involves a heated chamber and heated chemical delivery lines combined with the cooled chuck.
  • the vapor deposited photoresist 206 may be deposited by vapor deposition (evaporation, decomposition, etc.), chemical vapor deposition (precursor reaction), atomic layer deposition, or other processes than spin-on deposition.
  • a photoactive compound may optionally be introduced into the chamber, also by vapor deposition techniques.
  • This photoactive compound may be an acid generator, a radical generator, or a compound that can rearrange to generate an active chemical such as a ligand that can replace or catalyze the replacement, rearrangement, condensation, or change of ligands around the metal center such that a solubility change is induced in the film or particle.
  • FIG. 3 therein is shown the vapor deposited photoresist 206 of FIG. 2 after patterning in accordance with an embodiment of the present invention.
  • a chemical reaction is induced in the vapor deposited photoresist 206 , either at the metal oxide or in the photoactive compound.
  • This reaction ultimately (either directly or after a post-exposure bake or other post exposure processing) results in a change in the solubility of the vapor deposited photoresist 206 in a solvent, or a change in the etch rate of the film in a plasma etch process.
  • This change in solubility or etch rate can be used to ultimately pattern the vapor deposited photoresist 206 to provide a patterned vapor deposited photoresist 300 .
  • the process conditions are held constant throughout the deposition, giving rise to a photoresist that is uniform in composition from top to bottom.
  • the deposition conditions or chemicals used are varied as the photoresist is being deposited, giving rise to different photoresist compositions from top to bottom.
  • the properties of the photoresist at the bottom of the stack may be tailored to achieve specific goals.
  • the material at the bottom of the stack may be more absorbing of EUV photons, which in turn can lead to the generation of excess secondary electrons, some of which would in turn be directed upwards into the photoresist to catalyze additional reactions and improve the performance of the EUV photoresist.
  • This improvement could be manifested in terms of sensitivity, line edge roughness, reduction in scumming or footing, or other improvements.
  • the photoresist can be deposited on a substrate with desirable properties previously mentioned that instead was not deposited as part of the photoresist deposition, but instead was deposited by a separate, independent process.
  • the photoresist is deposited on a more conventional substrate such as semiconductors, metals, or dielectrics including silicon, silicon oxide, germanium, silicon nitride, metals, metal oxides, metal nitrides, bottom anti-reflective coatings, and other substrates.
  • a more conventional substrate such as semiconductors, metals, or dielectrics including silicon, silicon oxide, germanium, silicon nitride, metals, metal oxides, metal nitrides, bottom anti-reflective coatings, and other substrates.
  • the precursors are introduced into the vapor phase by thermal evaporation, but other techniques such as vacuum spraying may also be used for deposition.
  • the ratio of the number of ligands to the number of metal atoms or particle size is controlled to control photoresist properties such as photosensitivity
  • an additional precursor may be co-deposited in the photoresist to limit the reaction or diffusion of the photoactive compound.
  • this additional precursor might be a base or photodecomposable base.
  • this precursor might be a radical scavenger, and so on.
  • this process is performed on a system that uses a rotating chuck to improve the deposition thickness uniformity across the wafer.
  • a cold trap is used to capture unreacted precursor materials before they leave the chamber.
  • Embodiments of the present invention have the potential to satisfy the requirements in these key areas better than existing technology. Furthermore, deposition of a photoresist by vacuum techniques has advantages over conventional spin-on techniques in several areas. First, it eliminates solvent from the system, which is an environmental benefit. Next, vacuum deposition techniques allow the user to tune the deposition from conformal to planarizing, whereas spin-on films tend to only be planarizing. Also, vacuum deposition techniques give the user more control over the film composition through thickness, and allow the user to create a uniform film in the Z direction, whereas during a spin on process, components of the photoresist solution may tend to segregate at the interfaces due to surface energy effects. Vacuum deposition techniques also would allow for the creation of a controlled composition change through thickness as the film is being deposited by varying the deposition conditions. This control is not possible with conventional techniques.
  • the optical train 400 has an extreme ultraviolet light source 402 , such as a plasma source, for creating the EUV light and collecting it in a collector 404 .
  • the collector 404 provides the light to a field facet mirror 408 which is part of an illuminator system 406 which further includes a pupil facet mirror 410 .
  • the illuminator system 406 provides the EUV light to a reticle 412 , which reflects the EUV light through projection optics 414 and onto a patterned semiconductor wafer 416 .
  • the EUV lithography system 500 includes a EUV light source area 502 , a reticle stage 504 and a wafer stage 506 as adjuncts to the optical train 400 .
  • the resulting method, process, apparatus, device, product, and/or system is straightforward, cost-effective, uncomplicated, highly versatile, accurate, sensitive, and effective, and can be implemented by adapting known components for ready, efficient, and economical manufacturing, application, and utilization.
  • the vapor deposited photoresist 206 of FIG. 2 is a critical component of the EUV lithography system 500 and the EUV lithography system 500 cannot perform its function without a vapor deposited photoresist.
  • Another important aspect of the present invention is that it valuably supports and services the historical trend of reducing costs, simplifying systems, and increasing performance.

Abstract

A photoresist vapor deposition system includes: a vacuum chamber having a heating element and cooled chuck for holding a substrate, the vacuum chamber having a heated inlet; and a vapor deposition system connected to the heated inlet for volatilizing a precursor into the vacuum chamber for condensing a photoresist over the substrate cooled by the cooled chuck. The deposition system creates a semiconductor wafer system that includes: a semiconductor wafer; and a vapor deposited photoresist over the semiconductor wafer. An extreme ultraviolet lithography system requiring the semiconductor wafer system includes: an extreme ultraviolet light source; a mirror for directing light from the extreme ultraviolet light source; a reticle stage for imaging the light from the extreme ultraviolet light source; and a wafer stage for placing a semiconductor wafer with a vapor deposited photoresist.

Description

    CROSS-REFERENCE TO RELATED APPLICATION(S)
  • This application claims the benefit of U.S. Provisional Patent Application Ser. No. 61/786,042 filed Mar. 14, 2013, and the subject matter thereof is incorporated herein by reference thereto.
  • The present application contains subject matter related to a concurrently filed U.S. patent application by Cara Beasley, Ralf Hofmann, Majeed Foad, and Timothy Michaelson entitled “PLANARIZED EXTREME ULTRAVIOLET LITHOGRAPHY BLANK, AND MANUFACTURING AND LITHOGRAPHY SYSTEMS THEREFOR”. The related application is assigned to Applied Materials, Inc. and is identified by docket number 017964USA/ATG/ATG/ESONG. The subject matter thereof is incorporated herein by reference thereto.
  • The present application contains subject matter related to a concurrently filed U.S. patent application by Ralf Hofmann and Kevin Moraes entitled “AMORPHOUS LAYER EXTREME ULTRAVIOLET LITHOGRAPHY BLANK, AND MANUFACTURING AND LITHOGRAPHY SYSTEMS THEREFOR”. The related application is assigned to Applied Materials, Inc. and is identified by docket number 020388USA/ATG/ATG/ESONG. The subject matter thereof is incorporated herein by reference thereto.
  • The present application contains subject matter related to a concurrently filed U.S. patent application by Ralf Hofmann, Cara Beasley, and Majeed Foad entitled “EXTREME ULTRAVIOLET LITHOGRAPHY MASK BLANK MANUFACTURING SYSTEM AND METHOD OF OPERATION THEREFOR”. The related application is assigned to Applied Materials, Inc. and is identified by docket number 020389USA/ATG/ATG/ESONG. The subject matter thereof is incorporated herein by reference thereto.
  • The present application contains subject matter related to a concurrently filed U.S. patent application by Soumendra N. Barman, Cara Beasley, Abhijit Basu Mallick, Ralf Hofmann, and Nitin K. Ingle entitled “ULTRA-SMOOTH LAYER ULTRAVIOLET LITHOGRAPHY MIRRORS AND BLANKS, AND MANUFACTURING AND LITHOGRAPHY SYSTEMS THEREFOR”. The related application is assigned to Applied Materials, Inc. and is identified by docket number 020600USA/ATG/ATG/ESONG. The subject matter thereof is incorporated herein by reference thereto.
  • TECHNICAL FIELD
  • The present invention relates generally to extreme ultraviolet lithography photoresists.
  • BACKGROUND
  • Extreme ultraviolet lithography (EUV, also known as soft x-ray projection lithography) is a contender to replace deep ultraviolet lithography for the manufacture of 0.13 micron, and smaller, minimum feature size semiconductor devices.
  • However, extreme ultraviolet light, which is generally in the 7 to 40 nanometer wavelength range, is strongly absorbed in virtually all materials. For that reason, extreme ultraviolet systems work by reflection rather than by transmission of light. Through the use of a series of mirrors, or lens elements, and a reflective element, or mask blank, coated with a non-reflective absorber mask pattern, the patterned actinic light is reflected onto a photoresist-coated semiconductor wafer.
  • Advances in photolithography techniques utilized to transfer patterns to photoresist have enabled increasingly smaller patterns to be transferred. This means that smaller integrated circuit features can be formed in integrated circuits. As a result, more elements can be put in a given area on a semiconductor integrated circuit resulting in the ability to greatly reduce the cost of integrated circuits while increasing functionality in the electronic devices in which the integrated circuits are used.
  • In the manufacture of semiconductor integrated circuits, a photoresist is deposited on a semiconductor wafer. On exposure to radiation and other processing, the exposed areas of the photoresist undergo changes that make those regions of the photoresist either harder or easier to remove. As a result, subsequent processing can selectively remove the easier to remove material, leaving behind the patterned, harder to remove material. This pattern can then be transferred to the semiconductor wafer via the photoresist, for example, by using the remaining photoresist as a mask for etching the desired features into the underlying layers of the semiconductor wafer.
  • There are many demands that are being placed on EUV photoresists because of the need to make finer and finer masks. Currently, there is no known material that simultaneously meets resolution, line edge roughness, and sensitivity (RLS) requirements for a EUV photoresist. In addition to RLS issues, conventional spin-on techniques for EUV photoresists are deficient in a number of areas.
  • First, spin-on photoresists are coated using a casting solvent, which can cause environmental problems.
  • Second, spin-on deposition techniques do not provide good thickness control and have variations in thickness in the vertical Z direction, especially as film thicknesses decrease.
  • Third, components of a spin-on photoresist solution may tend to segregate at the interfaces due to surface energy effects.
  • Thus, as EUV lithography becomes more necessary, it is increasingly critical that answers be found to these problems. Additionally, the need to reduce costs, improve efficiencies and performance, and meet competitive pressures adds an even greater urgency to the critical necessity for finding answers to these problems.
  • Solutions to these problems have been long sought but prior developments have not taught or suggested any solutions and, thus, solutions to these problems have long eluded those skilled in the art.
  • SUMMARY
  • An embodiment of the present invention provides photoresist deposition system which includes: a vacuum chamber having a heating element and cooled chuck for holding a substrate, the vacuum chamber having a heated inlet; and a vapor deposition system connected to the heated inlet for volatilizing a precursor into the vacuum chamber for condensing a photoresist over the substrate cooled by the cooled chuck.
  • An embodiment of the present invention provides an extreme ultraviolet lithography system that includes: an extreme ultraviolet light source; a mirror for directing light from the extreme ultraviolet light source; a reticle stage for placing an extreme ultraviolet mask blank; and a wafer stage for placing a wafer coated with a vapor deposited photoresist.
  • An embodiment of the present invention provides an extreme ultraviolet lithography system that includes: an extreme ultraviolet light source; a mirror for directing light from the extreme ultraviolet light source; a reticle stage for placing an extreme ultraviolet mask that has been patterned using a vapor deposited photoresist; and a wafer stage for placing a wafer.
  • An embodiment of the present invention provides a semiconductor wafer system that includes: a semiconductor wafer and a vapor deposition deposited photoresist over the semiconductor wafer.
  • Certain embodiments of the invention have other steps or elements in addition to or in place of those mentioned above. The steps or element will become apparent to those skilled in the art from a reading of the following detailed description when taken with reference to the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross-section of the vapor deposition system in accordance with an embodiment of the present invention.
  • FIG. 2 is a portion of a semiconductor wafer in accordance with an embodiment of the present invention.
  • FIG. 3 is the vapor deposited photoresist of FIG. 2 after patterning in accordance with an embodiment of the present invention.
  • FIG. 4 is an optical train for a EUV lithography system in accordance with an embodiment of the present invention.
  • FIG. 5 is shown a EUV lithography system in accordance with an embodiment of the present invention.
  • DETAILED DESCRIPTION
  • The following embodiments are described in sufficient detail to enable those skilled in the art to make and use the invention. It is to be understood that other embodiments would be evident based on the present disclosure, and that system, process, or mechanical changes may be made without departing from the scope of the present invention.
  • In the following description, numerous specific details are given to provide a thorough understanding of the invention. However, it will be apparent that the invention may be practiced without these specific details. In order to avoid obscuring the present invention, some well-known circuits, system configurations, and process steps are not disclosed in detail.
  • The drawings showing embodiments of the system are semi-diagrammatic and not to scale and, particularly, some of the dimensions are for the clarity of presentation and are shown exaggerated in the drawing FIGs. Similarly, although the views in the drawings for ease of description generally show similar orientations, this depiction in the FIGs. is arbitrary for the most part. Generally, the invention can be operated in any orientation.
  • The same numbers are used in all the drawing FIGs. to relate to the same elements.
  • For expository purposes, the term “horizontal” as used herein is defined as a plane parallel to the plane or surface of the wafer, regardless of its orientation. The term “vertical” refers to a direction perpendicular to the horizontal as just defined. Terms, such as “above”, “below”, “bottom”, “top”, “side” (as in “sidewall”), “higher”, “lower”, “upper”, “over”, and “under”, are defined with respect to the horizontal plane, as shown in the figures. The term “on” indicates that there is direct contact between elements.
  • The term “processing” as used herein includes deposition of material or photoresist, patterning, exposure, development, etching, cleaning, and/or removal of the material or photoresist as required in forming a described structure.
  • Referring now to FIG. 1, therein is shown a cross-section of a vapor deposition system in accordance with an embodiment of the present invention. A vapor deposition system could be a standalone system or part of a deposition system 100. A standalone system, designated as a vapor deposition system 100 includes a vacuum chamber 102 having a heated primary inlet 104 and one or more heated secondary inlets, such as an inlet 106. The vapor deposition system 100 has an outlet 108.
  • The vacuum chamber 102 contains a heating element 110 and a cooled chuck 112 for holding a semiconductor wafer 115, an extreme ultraviolet mask blank, or other mask blank.
  • Precursors 116 are volatilized and introduced to the vacuum chamber 102. When they reach the cooled chuck 112, the precursors 116 condense on the surface of the semiconductor wafer 115. Examples of the precursor 116 are metal alkoxides or other volatile metal oxide precursors such as hafnium t-butoxide, titanium n-butoxide, hafnium borohydride, and others.
  • The precursor could optionally be reacted with water or another oxidizing agent like ozone or peroxide to convert the metal oxide precursor into a metal oxide film, or metal oxide particles. While any metal oxide is possible, hafnium, zirconium, tin, titanium, iron, and molybdenum oxides work well. The reaction oxidant could be introduced at the same time or sequentially with the metal oxide precursor.
  • In some embodiments, precursors are introduced to the chamber to intentionally drive a gas phase reaction between them, resulting in the formation of larger molecules that are deposited on the semiconductor wafer 115. A second precursor is also introduced (either at the same time, or in sequence as in an atomic layer deposition (ALD) reaction with the other precursors).
  • This second precursor is a ligand that bonds with metal oxide particles or film, or initiates a ligand replacement reaction with existing ligands attached around a metal center. While any metal center is possible, hafnium, zirconium, tin, titanium, iron, and molybdenum metal centers work well. Examples include carboxylic acids like methacrylic acid, formic acid, acetic acid, and others, but may also include other functionalities such as sulfonic acids, dienes, or other chemistries which can form complexes with metal oxide particles or films.
  • Referring now to FIG. 2, therein is shown a portion of the semiconductor wafer 115 in accordance with an embodiment of the present invention. The semiconductor wafer 115 has a substrate 200, which may include such materials as crystalline silicon (e.g., Si<100> or Si<111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers, III-V materials such as GaAs, GaN, InP, etc., and be patterned or non-patterned wafers. Substrates may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as, rectangular or square panes. Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal and/or bake the substrate surface.
  • The substrate 200 has a substrate surface 204, which may be of any substrate or material surface formed on a substrate upon which film processing is performed during a fabrication process. For example, the substrate surface 204 on which processing can be performed include materials such as silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application. Barrier layers, metals or metal nitrides on a substrate surface include titanium, titanium nitride, tungsten nitride, tantalum and tantalum nitride, aluminum, copper, or any other conductor or conductive or non-conductive barrier layer useful for device fabrication.
  • A vapor deposited photoresist 206 is deposited on the substrate surface 204 by vapor deposition techniques using the vapor deposition system 100 of FIG. 1. The combination of the vapor deposited photoresist 206 and the substrate 200 form a semiconductor wafer system 210. The vapor deposited photoresist 206 has been found to be of particular use in extreme ultraviolet or smaller lithography. The vapor deposition system 100 involves a heated chamber and heated chemical delivery lines combined with the cooled chuck. The vapor deposited photoresist 206 may be deposited by vapor deposition (evaporation, decomposition, etc.), chemical vapor deposition (precursor reaction), atomic layer deposition, or other processes than spin-on deposition.
  • In addition, either simultaneously or in sequence, a photoactive compound may optionally be introduced into the chamber, also by vapor deposition techniques. This photoactive compound may be an acid generator, a radical generator, or a compound that can rearrange to generate an active chemical such as a ligand that can replace or catalyze the replacement, rearrangement, condensation, or change of ligands around the metal center such that a solubility change is induced in the film or particle.
  • Referring now to FIG. 3, therein is shown the vapor deposited photoresist 206 of FIG. 2 after patterning in accordance with an embodiment of the present invention. On exposure to radiation (UV, DUV, EUV, e-beam, visible, infrared, ion-beam, x-ray, and others), a chemical reaction is induced in the vapor deposited photoresist 206, either at the metal oxide or in the photoactive compound. This reaction ultimately (either directly or after a post-exposure bake or other post exposure processing) results in a change in the solubility of the vapor deposited photoresist 206 in a solvent, or a change in the etch rate of the film in a plasma etch process. This change in solubility or etch rate can be used to ultimately pattern the vapor deposited photoresist 206 to provide a patterned vapor deposited photoresist 300.
  • In some embodiments, the process conditions are held constant throughout the deposition, giving rise to a photoresist that is uniform in composition from top to bottom. In other embodiments, the deposition conditions or chemicals used are varied as the photoresist is being deposited, giving rise to different photoresist compositions from top to bottom.
  • In some embodiments, the properties of the photoresist at the bottom of the stack may be tailored to achieve specific goals. For example, the material at the bottom of the stack may be more absorbing of EUV photons, which in turn can lead to the generation of excess secondary electrons, some of which would in turn be directed upwards into the photoresist to catalyze additional reactions and improve the performance of the EUV photoresist. This improvement could be manifested in terms of sensitivity, line edge roughness, reduction in scumming or footing, or other improvements.
  • In other embodiments, the photoresist can be deposited on a substrate with desirable properties previously mentioned that instead was not deposited as part of the photoresist deposition, but instead was deposited by a separate, independent process.
  • In yet other embodiments, the photoresist is deposited on a more conventional substrate such as semiconductors, metals, or dielectrics including silicon, silicon oxide, germanium, silicon nitride, metals, metal oxides, metal nitrides, bottom anti-reflective coatings, and other substrates.
  • In some embodiments, the precursors are introduced into the vapor phase by thermal evaporation, but other techniques such as vacuum spraying may also be used for deposition.
  • In some embodiments, the ratio of the number of ligands to the number of metal atoms or particle size is controlled to control photoresist properties such as photosensitivity
  • In some embodiments, an additional precursor may be co-deposited in the photoresist to limit the reaction or diffusion of the photoactive compound. In the case of a photoacid generator, this additional precursor might be a base or photodecomposable base. In the case of a photoradical generator, this precursor might be a radical scavenger, and so on.
  • In some embodiments, this process is performed on a system that uses a rotating chuck to improve the deposition thickness uniformity across the wafer. In other embodiments, a cold trap is used to capture unreacted precursor materials before they leave the chamber.
  • Embodiments of the present invention have the potential to satisfy the requirements in these key areas better than existing technology. Furthermore, deposition of a photoresist by vacuum techniques has advantages over conventional spin-on techniques in several areas. First, it eliminates solvent from the system, which is an environmental benefit. Next, vacuum deposition techniques allow the user to tune the deposition from conformal to planarizing, whereas spin-on films tend to only be planarizing. Also, vacuum deposition techniques give the user more control over the film composition through thickness, and allow the user to create a uniform film in the Z direction, whereas during a spin on process, components of the photoresist solution may tend to segregate at the interfaces due to surface energy effects. Vacuum deposition techniques also would allow for the creation of a controlled composition change through thickness as the film is being deposited by varying the deposition conditions. This control is not possible with conventional techniques.
  • Primary applications anticipated for embodiments of the present the invention are within the overall field of patterning for microelectronic and photonic devices using any type of patterned radiation technique (visible, deep UV, EUV, electron-beam or X-ray lithography). Because of the unique aspects of the deposition method described, applications would not be restricted only to flat, planar substrates.
  • Referring now to FIG. 4, therein is shown an optical train 400 for a EUV lithography system in accordance with an embodiment of the present invention. The optical train 400 has an extreme ultraviolet light source 402, such as a plasma source, for creating the EUV light and collecting it in a collector 404. The collector 404 provides the light to a field facet mirror 408 which is part of an illuminator system 406 which further includes a pupil facet mirror 410. The illuminator system 406 provides the EUV light to a reticle 412, which reflects the EUV light through projection optics 414 and onto a patterned semiconductor wafer 416.
  • Referring now to FIG. 5, therein is shown a EUV lithography system 500 in accordance with an embodiment of the present invention. The EUV lithography system 500 includes a EUV light source area 502, a reticle stage 504 and a wafer stage 506 as adjuncts to the optical train 400.
  • The resulting method, process, apparatus, device, product, and/or system is straightforward, cost-effective, uncomplicated, highly versatile, accurate, sensitive, and effective, and can be implemented by adapting known components for ready, efficient, and economical manufacturing, application, and utilization. The vapor deposited photoresist 206 of FIG. 2 is a critical component of the EUV lithography system 500 and the EUV lithography system 500 cannot perform its function without a vapor deposited photoresist.
  • Another important aspect of the present invention is that it valuably supports and services the historical trend of reducing costs, simplifying systems, and increasing performance.
  • These and other valuable aspects of the present invention consequently further the state of the technology to at least the next level.
  • While the invention has been described in conjunction with a specific best mode, it is to be understood that many alternatives, modifications, and variations will be apparent to those skilled in the art in light of the aforegoing description. Accordingly, it is intended to embrace all such alternatives, modifications, and variations that fall within the scope of the included claims. All matters hithertofore set forth herein or shown in the accompanying drawings are to be interpreted in an illustrative and non-limiting sense.

Claims (20)

What is claimed is:
1. A photoresist deposition system comprising:
a vacuum chamber having a heating element and cooled chuck for holding a substrate, the vacuum chamber having a heated inlet; and
a vapor deposition system connected to the heated inlet for volatilizing a precursor into the vacuum chamber for condensing a vapor deposited photoresist over the substrate cooled by the cooled chuck.
2. The system as claimed in claim 1 wherein the vapor deposition system varies deposition composition and conditions during vapor deposition.
3. The system as claimed in claim 1 wherein the vapor deposition system provides the precursor of a volatile metal oxide for depositing the vapor deposited photoresist.
4. The system as claimed in claim 1 wherein the vapor deposition system provides the precursor of a metal alkoxide for depositing the vapor deposited photoresist.
5. The system as claimed in claim 1 wherein the vacuum chamber is for reacting the precursor with an oxidizing agent to convert a metal oxide precursor into a metal oxide photoresist.
6. The system as claimed in claim 1 wherein the vacuum chamber is for driving a gas phase reaction resulting in a molecular deposition over the substrate.
7. The system as claimed in claim 1 wherein the vapor deposition system is for reacting a ligand precursor that initiates a ligand replacement reaction around a metal center.
8. The system as claimed in claim 1 wherein the vapor deposition system is for providing a ligand precursor for bonding with a metal oxide formed in the vacuum chamber.
9. An extreme ultraviolet lithography system comprising:
an extreme ultraviolet light source;
a mirror for directing light from the extreme ultraviolet light source;
a reticle stage for imaging the light from the extreme ultraviolet light source on to an extreme ultraviolet mask; and
a wafer stage for placing a semiconductor wafer coated with a vapor deposited photoresist for receiving the light from the reticle stage.
10. The system as claimed in claim 9 wherein the vapor deposited photoresist is a volatile metal oxide.
11. The system as claimed in claim 9 wherein the vapor deposited photoresist is a molecular deposition over the semiconductor wafer.
12. The system as claimed in claim 9 wherein the vapor deposited photoresist contains a ligand.
13. The system as claimed in claim 9 wherein the vapor deposited photoresist is a ligand around a metal center.
14. The system as claimed in claim 9 wherein the vapor deposited photoresist is a ligand bonded with a metal oxide.
15. A semiconductor wafer system comprising:
a semiconductor wafer; and
a vapor deposited photoresist over the semiconductor wafer.
16. The semiconductor wafer system as claimed in claim 15 wherein the vapor deposited photoresist is a volatile metal oxide.
17. The semiconductor wafer system as claimed in claim 15 wherein the vapor deposited photoresist is a molecular deposition over the semiconductor wafer.
18. The semiconductor wafer system as claimed in claim 15 wherein the vapor deposited photoresist includes a ligand.
19. The semiconductor wafer system as claimed in claim 15 wherein the vapor deposited photoresist is a ligand around a metal center.
20. The semiconductor wafer system as claimed in claim 15 wherein the vapor deposited photoresist is a ligand bonded with a metal oxide.
US14/139,457 2013-03-12 2013-12-23 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor Active 2035-01-08 US9632411B2 (en)

Priority Applications (11)

Application Number Priority Date Filing Date Title
US14/139,457 US9632411B2 (en) 2013-03-14 2013-12-23 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US14/139,371 US9612521B2 (en) 2013-03-12 2013-12-23 Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
TW103106591A TWI614364B (en) 2013-03-14 2014-02-26 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
KR1020157027664A KR102207228B1 (en) 2013-03-14 2014-03-13 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
SG10201707388RA SG10201707388RA (en) 2013-03-14 2014-03-13 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
JP2016502257A JP6964979B2 (en) 2013-03-14 2014-03-13 Photoresist deposited by vapor deposition, and manufacturing and lithography systems for it
CN201480010168.9A CN105074572B (en) 2013-03-14 2014-03-13 With manufacture and the lithography system come the photoresist deposited and this photoresist of being vapor-deposited
PCT/US2014/026826 WO2014152023A1 (en) 2013-03-14 2014-03-13 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
SG11201506506PA SG11201506506PA (en) 2013-03-14 2014-03-13 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US15/357,085 US9829805B2 (en) 2013-03-14 2016-11-21 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US15/444,864 US20170168383A1 (en) 2013-03-12 2017-02-28 Amorphous Layer Extreme Ultraviolet Lithography Blank, And Manufacturing And Lithography Systems Therefor

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361786042P 2013-03-14 2013-03-14
US14/139,457 US9632411B2 (en) 2013-03-14 2013-12-23 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/357,085 Continuation US9829805B2 (en) 2013-03-14 2016-11-21 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor

Publications (2)

Publication Number Publication Date
US20140268082A1 true US20140268082A1 (en) 2014-09-18
US9632411B2 US9632411B2 (en) 2017-04-25

Family

ID=51525876

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/139,457 Active 2035-01-08 US9632411B2 (en) 2013-03-12 2013-12-23 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US15/357,085 Active US9829805B2 (en) 2013-03-14 2016-11-21 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/357,085 Active US9829805B2 (en) 2013-03-14 2016-11-21 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor

Country Status (7)

Country Link
US (2) US9632411B2 (en)
JP (1) JP6964979B2 (en)
KR (1) KR102207228B1 (en)
CN (1) CN105074572B (en)
SG (2) SG10201707388RA (en)
TW (1) TWI614364B (en)
WO (1) WO2014152023A1 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170146909A1 (en) * 2015-11-20 2017-05-25 Lam Research Corporation Euv photopatterning of vapor-deposited metal oxide-containing hardmasks
US10514598B2 (en) 2014-01-31 2019-12-24 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
US10788744B2 (en) 2013-03-12 2020-09-29 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10998191B2 (en) 2018-11-13 2021-05-04 International Business Machines Corporation Graded hardmask interlayer for enhanced extreme ultraviolet performance
EP3896520A1 (en) * 2015-10-13 2021-10-20 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US11314168B2 (en) 2020-01-15 2022-04-26 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
WO2024019866A1 (en) * 2022-07-21 2024-01-25 Applied Materials, Inc. Fingerprinting and process control of photosensitive film deposition chamber
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9581890B2 (en) * 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
US10018920B2 (en) * 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
KR102374206B1 (en) 2017-12-05 2022-03-14 삼성전자주식회사 Method of fabricating semiconductor device
EP3759550A1 (en) * 2018-03-02 2021-01-06 ASML Netherlands B.V. Method and apparatus for forming a patterned layer of material
JP2021523403A (en) * 2018-05-11 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation Methods for forming EUV patternable hardmasks
US20220005687A1 (en) * 2020-07-02 2022-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040091618A1 (en) * 2002-11-08 2004-05-13 Park Han-Su Photoresist depositon apparatus and method for forming photoresist film using the same
US20070141257A1 (en) * 2004-03-31 2007-06-21 Tokyo Electron Limited Method and apparatus for forming metal silicate film, and method for manufacturing semiconductor device
US20080076252A1 (en) * 2006-09-26 2008-03-27 Fujitsu Limited Resist composition, resist pattern forming process, and method for manufacturing semiconductor device
US20090091752A1 (en) * 2007-10-04 2009-04-09 Renesas Technology Corp. Apparatus and a method for inspection of a mask blank, a method for manufacturing a reflective exposure mask, a method for reflective exposure, and a method for manufacturing semiconductor integrated circuits
US20140256129A1 (en) * 2013-03-11 2014-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film deposition apparatus and method with improved heater cooling efficiency

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57143826A (en) * 1981-02-28 1982-09-06 Dainippon Printing Co Ltd Formation of resist pattern on gapped semiconductor substrate
JPS57157522A (en) * 1981-03-23 1982-09-29 Nec Corp Depositing method for resist film for photo-etching technique
JPS60109227A (en) * 1983-11-18 1985-06-14 Hitachi Ltd Fabrication of thin film pattern
US4842675A (en) 1986-07-07 1989-06-27 Texas Instruments Incorporated Integrated circuit isolation process
GB8921666D0 (en) 1989-09-26 1989-11-08 Peatgrange Ivd Limited Ion vapour deposition apparatus and method
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
JPH07254556A (en) * 1993-09-03 1995-10-03 Hitachi Ltd Pattern forming method and equipment therefor
JPH07106224A (en) * 1993-10-01 1995-04-21 Hitachi Ltd Pattern forming method
JPH07106234A (en) * 1993-10-07 1995-04-21 Mitsubishi Electric Corp Formation of resist pattern
US5645646A (en) 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
JPH07235481A (en) * 1994-02-25 1995-09-05 Toray Ind Inc Deposition of thin film
US5792592A (en) * 1996-05-24 1998-08-11 Symetrix Corporation Photosensitive liquid precursor solutions and use thereof in making thin films
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6096100A (en) 1997-12-12 2000-08-01 Texas Instruments Incorporated Method for processing wafers and cleaning wafer-handling implements
US6010916A (en) 1997-12-05 2000-01-04 Advanced Micro Devices, Inc. Method for improving semiconductor wafer processing
US6140216A (en) 1998-04-14 2000-10-31 Advanced Micro Devices, Inc. Post etch silicide formation using dielectric etchback after global planarization
US6142641A (en) 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6174651B1 (en) * 1999-01-14 2001-01-16 Steag Rtp Systems, Inc. Method for depositing atomized materials onto a substrate utilizing light exposure for heating
US6749814B1 (en) 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
JP2000331939A (en) * 1999-05-17 2000-11-30 Applied Materials Inc Film-forming device
TW561279B (en) 1999-07-02 2003-11-11 Asml Netherlands Bv Reflector for reflecting radiation in a desired wavelength range, lithographic projection apparatus containing the same and method for their preparation
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
WO2002020864A2 (en) 2000-06-16 2002-03-14 Applied Materials, Inc. System and method for depositing high dielectric constant materials and compatible conductive materials
JP2002090978A (en) 2000-09-12 2002-03-27 Hoya Corp Method of manufacturing phase shift mask blank and apparatus for manufacturing phase shift mask blank
US6673524B2 (en) 2000-11-17 2004-01-06 Kouros Ghandehari Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
JP3939132B2 (en) 2000-11-22 2007-07-04 Hoya株式会社 SUBSTRATE WITH MULTILAYER FILM, REFLECTIVE MASK BLANK FOR EXPOSURE, REFLECTIVE MASK FOR EXPOSURE AND ITS MANUFACTURING METHOD, AND SEMICONDUCTOR MANUFACTURING METHOD
US6656643B2 (en) 2001-02-20 2003-12-02 Chartered Semiconductor Manufacturing Ltd. Method of extreme ultraviolet mask engineering
US6840616B2 (en) 2001-03-29 2005-01-11 Scott Summers Air folder adjuster apparatus and method
US20030008148A1 (en) 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6734117B2 (en) 2002-03-12 2004-05-11 Nikon Corporation Periodic clamping method and apparatus to reduce thermal stress in a wafer
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US6806006B2 (en) 2002-07-15 2004-10-19 International Business Machines Corporation Integrated cooling substrate for extreme ultraviolet reticle
US20040018733A1 (en) 2002-07-23 2004-01-29 Hak Baek Jae Method of planarizing a surface of a semiconductor wafer
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
CN1501442A (en) * 2002-11-12 2004-06-02 阿泰技术有限公社 Photoresist deposition apparatus and method for forming photoresist film with the same
JP2004172272A (en) * 2002-11-19 2004-06-17 Nikon Corp Apparatus and method for euv exposure
DE10302342A1 (en) 2003-01-17 2004-08-05 Schott Glas Production of substrate used in the production of mask or optical component comprises preparing a base layer, applying a first covering layer on the base layer, and post-treating the covering layer
US6908713B2 (en) 2003-02-05 2005-06-21 Intel Corporation EUV mask blank defect mitigation
US7026076B2 (en) 2003-03-03 2006-04-11 Freescale Semiconductor, Inc. Method of patterning photoresist on a wafer using a reflective mask with a multi-layer ARC
DE10317792A1 (en) 2003-04-16 2004-11-11 Schott Glas Blank mask for use in EUV lithography and process for its manufacture
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
WO2004106986A2 (en) 2003-05-29 2004-12-09 Applied Materials Inc. Maskless fabrication of waveguide mirrors
KR100520222B1 (en) 2003-06-23 2005-10-11 삼성전자주식회사 Dual gate oxide structure for use in semiconductor device and method therefore
US7326502B2 (en) 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
KR100680405B1 (en) 2003-11-19 2007-02-08 주식회사 하이닉스반도체 Photoresist Composition for EUV and Method for forming Photoresist Pattern using the same
US7005227B2 (en) 2004-01-21 2006-02-28 Intel Corporation One component EUV photoresist
US7193228B2 (en) 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
CN1953953B (en) * 2004-03-15 2010-12-22 宇部兴产株式会社 Metal complex comprising beta-diketonato as ligand
US7198872B2 (en) 2004-05-25 2007-04-03 International Business Machines Corporation Light scattering EUVL mask
US20060024589A1 (en) 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
EP1791168A1 (en) 2004-09-17 2007-05-30 Asahi Glass Company, Limited Reflective mask blank for euv lithography and method for producing same
US8293430B2 (en) 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7336416B2 (en) 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US20060275547A1 (en) 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
US20060274474A1 (en) * 2005-06-01 2006-12-07 Lee Chung J Substrate Holder
US7432201B2 (en) 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
DE102005052000B3 (en) 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Semiconductor device having a contact structure based on copper and tungsten
US7678511B2 (en) 2006-01-12 2010-03-16 Asahi Glass Company, Limited Reflective-type mask blank for EUV lithography
US7712333B2 (en) 2006-03-29 2010-05-11 Asahi Glass Company, Limited Method for smoothing a surface of a glass substrate for a reflective mask blank used in EUV lithography
US7736820B2 (en) 2006-05-05 2010-06-15 Asml Netherlands B.V. Anti-reflection coating for an EUV mask
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7892719B2 (en) 2006-11-03 2011-02-22 Intel Corporation Photonic crystal EUV photoresists
WO2008065821A1 (en) 2006-11-27 2008-06-05 Nikon Corporation Optical element, exposure unit utilizing the same and process for device production
JP2008135090A (en) * 2006-11-27 2008-06-12 Canon Inc Resist, manufacturing method of stamper for optical disk using the same and stamper for optical disk
US20090278233A1 (en) 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
WO2009031232A1 (en) 2007-09-07 2009-03-12 Canon Anelva Corporation Sputtering method and system
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US20090176367A1 (en) 2008-01-08 2009-07-09 Heidi Baks OPTIMIZED SiCN CAPPING LAYER
KR20090103847A (en) * 2008-03-28 2009-10-01 캐논 가부시끼가이샤 Exposure apparatus and device manufacturing method
TWI572972B (en) 2008-03-31 2017-03-01 Hoya股份有限公司 Photo mask blank, photo mask and manufacturing method for semiconductor integrated circuit
JP2009245505A (en) * 2008-03-31 2009-10-22 Pioneer Electronic Corp Master disk for manufacturing optical information recording medium
US8105954B2 (en) * 2008-10-20 2012-01-31 aiwan Semiconductor Manufacturing Company, Ltd. System and method of vapor deposition
JP2010122305A (en) * 2008-11-17 2010-06-03 Sony Corp Exposure apparatus, exposure method, micromachining device, and micromachining method
JP2010170011A (en) 2009-01-26 2010-08-05 Hoya Corp Method of correcting photomask
JP2011053566A (en) * 2009-09-03 2011-03-17 Sony Corp Developer, etching solution, and method of manufacturing microfabricated body
CN102019266A (en) 2009-09-17 2011-04-20 中芯国际集成电路制造(上海)有限公司 Coating method for coating material
CN102782531B (en) 2009-12-15 2014-12-17 卡尔蔡司Smt有限责任公司 Reflective optical element for EUV lithography
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP5704519B2 (en) * 2010-04-02 2015-04-22 株式会社ニコン Light source apparatus, optical apparatus, exposure apparatus, device manufacturing method, illumination method, exposure method, and optical apparatus manufacturing method
US20120009765A1 (en) 2010-07-12 2012-01-12 Applied Materials, Inc. Compartmentalized chamber
EP2600388B1 (en) 2010-07-27 2014-10-08 Asahi Glass Company, Limited Substrate provided with reflecting layer for euv lithography, and reflective mask blank for euv lithography
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR20120042169A (en) 2010-10-22 2012-05-03 삼성모바일디스플레이주식회사 Liquid crystal display and manufacturing method of the same
JP6013720B2 (en) 2010-11-22 2016-10-25 芝浦メカトロニクス株式会社 Reflective mask manufacturing method and reflective mask manufacturing apparatus
US8475977B2 (en) 2010-12-02 2013-07-02 Intermolecular, Inc Protective cap for extreme ultraviolet lithography masks
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8562794B2 (en) 2010-12-14 2013-10-22 Asahi Glass Company, Limited Process for producing reflective mask blank for EUV lithography and process for producing substrate with functional film for the mask blank
DE112012001262T5 (en) 2011-03-14 2014-01-02 Fuji Electric Co., Ltd. Oxide substrate and process for its preparation
JP2012248664A (en) * 2011-05-27 2012-12-13 Hitachi Cable Ltd Device and method for vapor phase growth, and epitaxial wafer
JP6236000B2 (en) * 2011-07-08 2017-11-22 エーエスエムエル ネザーランズ ビー.ブイ. Lithographic patterning process and resist used in the process
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
KR101840846B1 (en) 2012-02-15 2018-03-21 삼성전자주식회사 Semicoductor devices having through vias and methods for fabricating the same
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040091618A1 (en) * 2002-11-08 2004-05-13 Park Han-Su Photoresist depositon apparatus and method for forming photoresist film using the same
US20070141257A1 (en) * 2004-03-31 2007-06-21 Tokyo Electron Limited Method and apparatus for forming metal silicate film, and method for manufacturing semiconductor device
US20080076252A1 (en) * 2006-09-26 2008-03-27 Fujitsu Limited Resist composition, resist pattern forming process, and method for manufacturing semiconductor device
US20090091752A1 (en) * 2007-10-04 2009-04-09 Renesas Technology Corp. Apparatus and a method for inspection of a mask blank, a method for manufacturing a reflective exposure mask, a method for reflective exposure, and a method for manufacturing semiconductor integrated circuits
US20140256129A1 (en) * 2013-03-11 2014-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film deposition apparatus and method with improved heater cooling efficiency

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10788744B2 (en) 2013-03-12 2020-09-29 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US20220075260A1 (en) * 2014-01-31 2022-03-10 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
US10514598B2 (en) 2014-01-31 2019-12-24 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
US10831096B2 (en) 2014-01-31 2020-11-10 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
US11209729B2 (en) 2014-01-31 2021-12-28 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
EP4273625A3 (en) * 2015-10-13 2024-02-28 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
EP3896520A1 (en) * 2015-10-13 2021-10-20 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US20170146909A1 (en) * 2015-11-20 2017-05-25 Lam Research Corporation Euv photopatterning of vapor-deposited metal oxide-containing hardmasks
TWI730015B (en) * 2015-11-20 2021-06-11 美商蘭姆研究公司 Euv photopatterning of vapor-deposited metal oxide-containing hardmasks
TWI787829B (en) * 2015-11-20 2022-12-21 美商蘭姆研究公司 Euv photopatterning of vapor-deposited metal oxide-containing hardmasks
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US11257674B2 (en) 2017-05-16 2022-02-22 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US11367617B2 (en) 2018-11-13 2022-06-21 International Business Machines Corporation Graded hardmask interlayer for enhanced extreme ultraviolet performance
US10998191B2 (en) 2018-11-13 2021-05-04 International Business Machines Corporation Graded hardmask interlayer for enhanced extreme ultraviolet performance
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US11314168B2 (en) 2020-01-15 2022-04-26 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
WO2024019866A1 (en) * 2022-07-21 2024-01-25 Applied Materials, Inc. Fingerprinting and process control of photosensitive film deposition chamber

Also Published As

Publication number Publication date
TW201439361A (en) 2014-10-16
US9632411B2 (en) 2017-04-25
SG10201707388RA (en) 2017-10-30
CN105074572A (en) 2015-11-18
KR20150129781A (en) 2015-11-20
TWI614364B (en) 2018-02-11
JP2016517633A (en) 2016-06-16
SG11201506506PA (en) 2015-09-29
US9829805B2 (en) 2017-11-28
US20170068174A1 (en) 2017-03-09
WO2014152023A1 (en) 2014-09-25
KR102207228B1 (en) 2021-01-25
CN105074572B (en) 2019-11-26
JP6964979B2 (en) 2021-11-10

Similar Documents

Publication Publication Date Title
US9829805B2 (en) Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
KR102571376B1 (en) Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US11822237B2 (en) Method of manufacturing a semiconductor device
KR20220003967A (en) Method of manufacturing a semiconductor device and pattern formation method
US20230326754A1 (en) Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
KR102499934B1 (en) Method of manufacturing a semiconductor device
KR102630481B1 (en) Method of manufacturing a semiconductor device
TW202144913A (en) Method of manufacturing a semiconductor device
CN113109995A (en) Method for manufacturing semiconductor device
TWI790594B (en) Method for manufacturing semiconductor device and method for preventing outgassing of metal-containing photoresist
US20240045336A1 (en) Method for forming resist pattern by using extreme ultraviolet light and method for forming pattern by using the resist pattern as mask
US20230375920A1 (en) Method of manufacturing a semiconductor device
KR20230170786A (en) High quantum efficiency dry resist for low exposure doses of EUV radiation
CN117008432A (en) Method of manufacturing semiconductor device and semiconductor device manufacturing tool
CN113341662A (en) Photoresist developer and method for manufacturing semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MICHAELSON, TIMOTHY;WEIDMAN, TIMOTHY W.;CHIN, BARRY LEE;AND OTHERS;SIGNING DATES FROM 20131105 TO 20131116;REEL/FRAME:031850/0869

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4