JP2007514293A - 多成分誘電体膜を形成するためのシステム及び方法 - Google Patents

多成分誘電体膜を形成するためのシステム及び方法 Download PDF

Info

Publication number
JP2007514293A
JP2007514293A JP2006532444A JP2006532444A JP2007514293A JP 2007514293 A JP2007514293 A JP 2007514293A JP 2006532444 A JP2006532444 A JP 2006532444A JP 2006532444 A JP2006532444 A JP 2006532444A JP 2007514293 A JP2007514293 A JP 2007514293A
Authority
JP
Japan
Prior art keywords
processing chamber
film
deposition
precursors
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006532444A
Other languages
English (en)
Inventor
佳秀 千崎
スン ジー パク
Original Assignee
アヴィザ テクノロジー インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アヴィザ テクノロジー インコーポレイテッド filed Critical アヴィザ テクノロジー インコーポレイテッド
Publication of JP2007514293A publication Critical patent/JP2007514293A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/029Graded interfaces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • H01L21/3142Deposition using atomic layer deposition techniques [ALD] of nano-laminates, e.g. alternating layers of Al203-Hf02
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2

Abstract

【課題】半導体用途における誘電体膜を形成するためのシステム及び方法、特に、混合気化前駆体を用いて基板上に多成分誘電体膜を作製するためのシステム及び方法を提供する。
【解決手段】本発明は、気化した前駆体の混合物が、原子層堆積(ALD)処理における単一パルス段階中にチャンバ内に一緒に存在して多成分膜を形成するような気化前駆体の混合をもたらすためのシステム及び方法を提供する。気化前駆体は、少なくとも1つの異なる化学成分から成り、そのような異なる成分が単層を形成して多成分膜を生成することになる。本発明の更に別の態様では、組成勾配を有する誘電体膜が提供される。
【選択図】図1

Description

関連出願への相互参照
本出願は、2003年4月21日出願の「多成分膜の製造方法」という名称の米国特許仮出願出願番号第60/464,458号、2003年11月17日出願の「制御された厚み及び組成勾配を有するHfSiONのALD」という名称の米国特許仮出願出願番号第60/520,964号、及び2004年4月9日出願の「金属又は混合金属薄膜の原子層堆積」という名称の米国特許仮出願出願番号第60/560,952号の各々の恩典及びそれに対する優先権を請求するものであり、これらの特許の各々の全開示内容は、本明細書において引用により組み込まれている。
総括的には、本発明は、半導体用途における誘電体膜を形成するためのシステム及び方法に関する。より詳細には、本発明は、混合気化前駆体を用いて基板上に多成分誘電体膜を作製するためのシステム及び方法に関する。
マイクロ電子機器の小型化に向かう高度化及び気運の高まりに伴い、集積回路当りのトランジスタの数は指数関数的に増大しており、より早く、より小さく、より強力な電子機器システムに対する要求を満足させるために更に増大することが確実である。しかし、従来的なシリコンベースのトランジスタ幾何学構成が、二酸化シリコンゲート誘電体が僅かに数個の原子層の厚みになる臨界点に達するので、漏れ電流及び電力損の増加をもたらす電子のトンネル現象がより優勢になることになる。従って、二酸化シリコンよりも大きい誘電率又は誘電係数を有し、電流トンネル現象又は漏れを防止することができる代替の誘電体が非常に望ましいであろう。二酸化シリコンに取って代わる最も期待される誘電体候補には、酸化ハフニウム、酸化ジルコニウム、及び酸化タンタルがある。
不運にも、これらの材料は、シリコン上で化学的及び熱的に不安定であり、二酸化シリコンとは違って金属誘電体とシリコン基板の間の境界面での欠陥及び電荷トラップを形成する。電荷トラップ及び欠陥は、ゲートに印加される電圧を吸収し、トランジスタの性能及び信頼性を乱すものである。境界面の電荷トラップ及び欠陥の生成を制限するために、二酸化シリコンの境界層が誘電体とシリコン基板の間に堆積される。二酸化シリコン境界面は、誘電体からの緩衝をシリコン基板にもたらすが、二酸化シリコン境界面は、誘電体の表面特性に適合しない場合がある。従って、極薄型高k誘電体を作製するために、誘電体及びシリコン基板の表面特性及び化学的性質を改善することができ、同時に同等な物理的酸化物厚みを最小化する境界面が必要である。
化学気相堆積(CVD)のような膜を作製する従来技術の堆積技術は、高度な薄膜の要件に徐々に対処できなくなっている。CVD処理は、向上した段差被覆を有する整合膜をもたらすように調整することができるが、CVD処理は、高い処理温度を必要とする場合が多い。例えば、高kゲート誘電体作製の障害の1つは、CVD処理中の境界面酸化シリコン層の形成である。CVDにおける気相反応は、粒子生成の原因となる。別の障害は、シリコン基板上の高kゲート誘電体のための超薄型膜の堆積における従来技術CVD処理の限界である。
非常に薄い膜を堆積させる従来的なCVD処理に対する代替方法は、原子層堆積法(ALD)である。ALDは、従来的CVDを超えるいくつかの利点を有する。ALDは、より低い温度に向かう業界の傾向に適合する比較的低温度で実行することができ、整合する薄膜層を形成することができるものである。ALD処理を用いて、HfxSiyO2(x+y=1)膜のような多成分膜を堆積する既存の方法は、連続的蒸着法を用いてHfO2及びSiO2膜の積層体膜を堆積させるものである。すなわち、前駆体化学物質は混合されず、その代わりに、Hf含有前駆体及びSi含有前駆体は、独立かつ連続的にチャンバ内にパルス駆動で導入され、それぞれHfO及びSiO2の積層体層を形成する。実際に、前駆体のあらゆる混合が制限され、1つの前駆体は、第2の前駆体がパルス導入される前にチャンバからパージされる。積層体膜が望ましい厚みに形成された状態で、膜は、膜全体に亘るより連続した組成に到達させるためにアニールされる。異なる積層体膜の層を蓄積させるこの手法は、複数の境界面のために膜内に多くの電子トラップをもたらし、これは、トラップを固定するのに高温の熱アニールを必要とする。高温の熱アニール段階の追加は、半導体を製造するコスト及び時間を増大させ、更に、ウェーハ上の先に形成された層からの元素の望ましくない外部移動をもたらす可能性がある。それに加えて、積層体方法において多成分膜の化学量論的組成を制御することが困難である。HfSiOx膜の誘電定数(k)、結晶化温度、及び屈折率は、従来的な1化学的連続前駆体パルス法(積層体法など)によっては容易に制御できないものである。更に、従来型の一度に1化学的前駆体の連続パルス及びパージを用いて望ましい厚みの膜を形成するのに要するサイクル時間は非実用的であり、将来的なIC製造のためには過大な時間を要する。
混合前駆体を用いて多成分膜を作製する試みは、従来的CVD法に限定されてきた。例えば、共にSenzaki他に付与された米国特許第6,537,613号及び第6,238,734号(特許’613及び’734)は、直接液体注入によって金属及びメタロイド化合物を含む組成勾配を生成するためのシステム及び方法を総括的に開示している。直接液体注入(DLI)においては、金属及びメタロイド前駆体は一緒に混合され、堆積システム内への混合物の注入よりも前に無溶媒液体混合物が形成される。
しかし、特許’613及び’734に説明した方法には、いくつかの欠点が付随している。具体的には、注入されるのが液体混合物である。従って、液体混合物が完全には混合されない時、基板上には不均一な組成及び勾配を有する膜が形成することになる。それに加えて、適正な容積のサンプルが供給された場合でも、各前駆体が固有の沸点、蒸気圧、及び揮発度を有するので、混合物が均等に気化することになるという保証はない。更に、前駆体間の沸点の差異が大きい時は、1つの前駆体が第2のものの沸点で分解して微粒子又は汚染物質を形成する場合がある。一般的に、前駆体が適度に混合されずに不均一な膜組成をもたらすか、又は2つの蒸気の混合が気相での先行反応を発生させて、ウェーハ上に堆積する粒子又は汚染物質の形成をもたらすかのいずれかである。
従って、多成分膜の作製方法の更なる発展の必要性が存在する。特に、ALD処理を用いて多成分膜を作製する方法に対する必要性が存在する。更に、方法が多成分膜の化学量論的組成又は勾配の容易な制御を提供することが望ましい。
米国特許仮出願出願番号第60/464,458号 米国特許仮出願出願番号第60/520,964号 米国特許仮出願出願番号第60/560,952号 米国特許第6,537,613号 米国特許第6,238,734号 PCT特許出願出願番号PCT/US03/21575 PCT特許出願出願番号PCT/US03/22236
総括的には、本発明人は、気化した前駆体の混合物が、原子層堆積(ALD)処理における単一パルス段階中にチャンバ内に一緒に存在して多成分膜を形成するような気化前駆体の混合をもたらす方法を発見した。気化前駆体は、少なくとも1つの異なる化学成分から成り、そのような異なる成分が単層を形成して多成分膜を生成することになる。本発明人は、この方法を「共注入ALD」と称している。そのような方法は、気化前駆体がALD処理においてチャンバ内に別々にパルス導入されて成分の1つのみを含有する別々の単層を形成する従来技術から離脱したものである。
本発明の1つの態様は、気化前駆体を一緒に混合し、次に前駆体の混合物がALDチャンバ内に存在するように気化前駆体を注入又は共注入することにより、多成分誘電体膜を作製するためのシステム及び方法を提供する。本明細書で用いられる時の用語「多成分」膜は、2以上の金属又はメタロイド元素を含有する膜を意味する。以下に限定はしないが、金属、合金、混合金属酸化物、ケイ酸塩、窒化物、酸窒化物、及びそれらの混合物を含む様々な多成分膜を本発明によって形成することができる。
本発明の一実施形態では、各々が少なくとも1つの異なる化学成分を含有する2以上の気化前駆体が処理チャンバに一緒に搬送されて基板の表面上に単層を形成し、この単層が別々の化学成分の各々を含有することを特徴とする、原子層堆積によって基板の表面上に薄膜を形成する方法が提供される。一般的に、共注入という用語は、少なくとも1つの異なる化学成分を有する2以上の前駆体が、複数の成分を有する膜が生成されるようにチャンバ内に存在することを意味するために用いられる。これは、前駆体を蒸気状態又は液体状態(エーロゾル)の何れかで処理チャンバ内に一緒に注入又は搬送することにより、又は前駆体を処理チャンバ内で混合することにより達成することができる。処理チャンバ内に導入する前の前駆体の混合は、好ましいが必要というわけではない。
別の態様において、本発明は、多成分膜を形成するためのシステムを提供する。一実施形態では、システムは、一般的に、各々がマニフォルドに連結された1以上の気化器を含む。マニフォルドは、気化器によって生成された気化前駆体を混合するように構成される。マニフォルドはまた、反応又は堆積チャンバへの入口に連結され、混合した前駆体は、入口を通じてチャンバ内に注入される。一実施形態では、入口は、シャワーヘッド・インジェクタのようなインジェクタから成る。前駆体をマニフォルドではなくインジェクタで混合することができるということも可能である。
本発明の更に別の態様においては、組成勾配を有する多成分膜を形成するためのシステム及び方法が提供される。一実施形態では、各々が少なくとも1つの異なる化学成分を含有する2以上の気化前駆体が、処理チャンバ内に一緒に注入されて基板の表面上に単層を形成し、チャンバ内に注入された気化前駆体の各々の気体流量は、1以上の異なる化学成分の望ましい組成勾配が膜に形成されるように選択的に制御されることを特徴とする、多成分膜を形成する方法が提供される。
本発明の更に別の態様においては、シリコンリッチな下層、窒素リッチな上層、及びこの上層と下層の間の少なくとも1つのハフニウムリッチな層を含む、組成勾配を有する誘電体膜が提供される。一実施形態では、ホウ素の拡散を阻止するために、窒素がシリコン基板−誘電体境界面の近く又はその上方に選択的に堆積される。更に別の実施形態では、誘電体の同等の物理的酸化物厚みとシリコン及び窒化物誘電体間の境界面の品質とに負担を掛けずにホウ素拡散を阻止し、例えばより高いトラップ密度をもたらすためのシステム及び方法を提供することが望ましい。一実施形態では、組成勾配は、誘電体と基板を「緩衝」するために使用することができる。例えば、基板がシリコンである時、第1の層は、シリコンリッチで誘電体を構成する第2の堆積金属がより少ない量で堆積される。誘電体を構成する堆積金属を主として含む第2の層は、第1の層の上に、実質的により少ない量のシリコンに加えて堆積される。一部の実施形態では、隣接層の表面特性及び化学的性質を調合するために、付加的な層を追加することができる。様々な実施形態では、各層は、酸化、還元、窒化、及びその組合せを原位置で行うことができる。
更に、本発明は、多成分酸窒化物膜を作製するためのシステム及び方法を提供し、多成分膜は、上述の方法によって形成され、次に、膜は、オゾン、酸素、過酸化物、水、空気、亜酸化窒素、一酸化窒素、N−オキシド、又はそれらの混合物から成る群から選択される酸化反応物を用いて高温で酸化される。特に有利なことには、酸化段階を原位置で実行することができる。酸化に引続き、励起された窒素源は、連続的に処理チャンバに運ばれ、高温で酸化層と反応させられて酸窒化物を形成する。この場合もまた、この段階は原位置で実行される。
好ましい実施形態では、本発明は、窒化反応物を含有する前駆体をチャンバ内に混合し、ALD処理を比較的低温で実行することにより多成分酸窒化物膜を作製するためのシステム及び方法を提供する。適切な窒化剤は、アンモニア、重水素置換アンモニア、15N−アンモニア、アミン又はアミド、ヒドラジン、アルキルヒドラジン、窒素ガス、一酸化窒素、亜酸化窒素、窒素ラジカル、N−オキシド、又はそれらの混合物から成る群から選択することができる。
本発明の他の態様、実施形態、及び利点は、以下の本発明の詳細説明及び特許請求の範囲を読み、図面を参照することによって明らかになるであろう。
総括的には、本発明人は、基板の表面上に複数の化合物を有する単層を形成する原子層堆積(ALD)処理における単一パルス段階中に気化前駆体の混合物がチャンバ内に存在するような、気化前駆体の混合をもたらす方法を発見した。気化前駆体は、異なる化学成分から成り、そのような成分は多成分膜を形成することになる。本発明人は、本方法を「共注入ALD」と称する。そのような方法は、ALD処理において気化前駆体がチャンバに別々に搬送されるか又は別々にパルス導入される従来技術から離脱したものである。以下に限定はしないが、金属、合金、混合金属酸化物、ケイ酸塩、窒化物、酸窒化物、及びそれらの混合物を含む様々な多成分膜を本発明によって形成することができる。
1つの態様において、本発明は、多成分膜の化学量論的組成を再現可能かつ実質的に均等に制御するためのシステム及び方法を提供する。
一連の実施形態では、本発明は、二酸化シリコンよりも高い誘電率又は誘電定数を有し、かつ電流のトンネル現象又は漏れを防止することができる誘電体を作製するためのシステム及び方法を提供する。他の態様において、本発明は、誘電体及びシリコン基板の表面特性及び化学的性質を改善することができ、一方で同等物理的酸化物厚みを最小化する境界面を作製するためのシステム及び方法を提供する。
従って、本発明の一部の実施形態及び態様において、本発明は、ホウ素の拡散を阻止して高k層の結晶化温度を高めるために、シリコン基板−誘電体境界面の近く又はその上方に窒素を選択的に堆積させるシステム及び方法を提供する。更に別の実施形態では、誘電体の同等物理的酸化物厚みとシリコン及び窒化物誘電体間の境界面の品質とに負担を掛けずにホウ素の拡散を阻止し、例えばより高いトラップ密度をもたらすためのシステム及び方法を提供することが望ましい。
本発明の典型的な実施形態では、膜の低温窒化を行うシステム及び方法を提供することが望ましく、本発明の別の態様では、本発明は、原位置で連続的に窒素反応物を供給するためのシステム及び方法を提供し、外部プラズマ供給源の必要性が排除され、より少ない処理段階及びより短い処理時間による恩典が得られる。
別の態様において、本発明は、多成分膜を形成するためのシステムを提供する。一実施形態では、システムは、一般的に、各々がマニフォルドに連結された1以上の気化器を含む。マニフォルドは、反応又は堆積チャンバへの入口に連結され、この入口は、シャワーヘッド・インジェクタなどのようなインジェクタから成っている。
各気化器は、少なくとも1つの堆積金属を含む単一の堆積前駆体を収容する。各気化器は、質量流コントローラ及び温度制御ユニットに接続される。質量流コントローラ及び温度ユニットは、処理チャンバ内に存在する堆積前駆体の濃度を加減するように選択的に制御することができる。一実施形態では、各質量流コントローラは、システムを通過する搬送ガスの流量を加減し、その結果、搬送ガスは、堆積前駆体を希釈してマニフォルド又は処理チャンバ内に輸送する。
一部の一連の実施形態では、気化器は、少なくとも1つの堆積金属を含む単一の堆積前駆体を気化させるバブラーである。搬送ガスを含む加圧ガスは、堆積前駆体内に気泡導入される。加圧ガスの流量は、処理チャンバ内に存在する堆積前駆体の濃度を調節するように選択的に制御することができる。
一実施形態では、処理チャンバ内への供給の前の堆積前駆体の混合をマニフォルドが促進する。一部の実施形態では、マニフォルドは、T接合空洞を含み、これは、処理チャンバ内への供給の前の堆積前駆体を収容して混合する。マニフォルドは、マニフォルド内での凝縮を防止するために加熱することができ、処理チャンバ内への堆積前駆体の流れが促進される。
堆積前駆体は、一般的にガス入口を通過して供給され、堆積前駆体の単層が、基板の表面上に化学的及び/又は物理的に取り込まれる。基板は、シリコン、金属、合金、ガラス、又は、ポリマー、プラスチック、有機又は無機加工製品とすることができる。ガス入口は、様々な形態をとることができる。一例において、ガス入口は、シャワーヘッド・インジェクタという1つのインジェクタから成る。代替的に、堆積前駆体は、複数のインジェクタによって基板表面に供給される。
一般的に、単一ウェーハチャンバが使用される時、基板は、堆積中に静電チャック又は真空チャックというウェーハ担持装置上に支持される。一実施形態では、チャックは、伝導、対流、放射、又は非放射処理、又はそれらの共用により、基板を冷却又は加熱することができる。代替的に、ウェーハ担持装置は、バッチ処理のために複数の基板を支持するボート又はカセットとすることができる。
入口ポートは、単層又は基板の表面の連続的な酸化、還元、又は窒化を容易にするために、処理チャンバ内に原位置で酸化、還元、又は窒化反応物を切替可能に供給する。
本発明の別の態様において、シリコンリッチな下層、窒素リッチな上層、及びこの上層と下層の間の少なくとも1つのハフニウムリッチな層を含む、組成勾配を有する誘電体膜が提供される。一実施形態では、ホウ素拡散を阻止するために、窒素がシリコン基板−誘電体境界面の近く又はその上方に選択的に堆積される。更に別の実施形態では、誘電体の同等物理的酸化物厚みとシリコン及び窒化物誘電体間の境界面の品質とに負担を掛けることなくホウ素の拡散を阻止し、例えばより高いトラップ密度をもたらすためのシステム及び方法を提供することが望ましい。
更に、本発明は、多成分酸窒化物膜を作製するためのシステム及び方法を提供し、多成分膜が上述の方法によって形成され、次に、この膜は、オゾン、酸素、過酸化物、水、空気、亜酸化窒素、一酸化窒素、H22、N−オキシド、又はそれらの混合物から成る群から選択される酸化反応物を用い高温で酸化される。特に有利なことには、酸化は、原位置で実行することができる。酸化に引続き、励起された窒素源が連続的に処理チャンバに送られ、酸化層と高温で反応することができ、酸窒化物が形成される。この場合もまた、この段階は原位置で実行される。
本発明は、窒化反応物を含有する前駆体をチャンバ内に混合し、ALD処理を比較的低温で実行することにより、多成分酸窒化物膜を作製するためのシステム及び方法を提供する。適切な窒化剤は、アンモニア、重水素置換アンモニア、15N−アンモニア、アミン又はアミド、ヒドラジン、アルキルヒドラジン、窒素ガス、一酸化窒素、亜酸化窒素、窒素ラジカル、N−オキシド、原子状窒素、又はそれらの混合物から成る群から選択することができる。
特に有利なことには、本発明の多成分膜は、組成勾配を有して形成される。組成勾配は、誘電体及び基板を「緩衝」するために使用することができる。例えば、基板がシリコンである時、第1の層は、シリコンリッチで誘電体を構成する第2の堆積金属がより少ない量で堆積される。誘電体を構成する堆積金属を主に含む第2の層は、第1の層の上に、実質的により少ない量のシリコンに加えて堆積される。一部の実施形態では、隣接層の表面特性及び化学的性質を調合するために、付加的な層を追加することができる。様々な実施形態では、各層に酸化、還元、窒化、及びその組合せを原位置で行うことができる。組成勾配は、膜内の屈折率勾配もまた提供し、これは、膜の独特な光学特性をもたらすものである。
図1は、本発明の一実施形態に従って多成分膜を作製するためのシステムの一実施形態を表す簡略化した概念図である。図1を参照すると、一般的に、システム100は処理チャンバ102を含み、これは、基板のウェーハ112を支持するウェーハサポート110を格納する。チャンバ102内に堆積前駆体及び他のガス103(例えば、酸化ガスなどのような反応物ガス、又は希釈ガス)を供給して基板の表面上に様々な層又は膜を形成するために、ガス入口114が備えられる。例示的な実施形態では、ガスマニフォルド104は、1以上の気化器107及び109を処理チャンバ102に相互接続させる。この例示的な実施形態は、2つの気化器を示しているが、あらゆる数の気化器を使用することができる。各気化器は、堆積前駆体又は堆積前駆体の混合物124及び126をそれぞれ保持するリザーバ116及び118及び気化器要素120及び122を含み、リザーバ116及び118内の内容物の気化を助けるようにこの要素を通過してガスが流される。気化器内への搬送ガスの流れは、質量流コントローラ(図示せず)を用いて制御することができ、気化した堆積前駆体の流量及び濃度が制御される。任意的に、各気化器は、加熱要素(図示せず)を含むことができ、レザーバ116及び118に保持された堆積前駆体124及び126の気化が容易にされる。堆積前駆体124及び126の物理特性により、リザーバ116及び118内の堆積前駆体を気化させるために搬送ガス及び加熱の組合せを必要とする場合がある。
本発明の一実施形態では、少なくとも1つの堆積金属を含む堆積前駆体が使用され、前駆体は、化学式:M(L)Xを有し、ここで、Mは、Ti、Zr、Hf、Ta、W、Mo、Ni、Si、Cr、Y、La、C、Nb、Zn、Fe、Cu、Al、Sn、Ce、Pr、Sm、Eu、Tb、Dy、Ho、Er、Tm、Yb、Lu、Ga、In、Ru、Mn、Sr、Ba、Ca、V、Co、Os、Rh、Ir、Pd、Pt、Bi、Sn、Pb、Tl、Ge、又はそれらの混合物から成る群から選択された金属であり、Lは、アミン、アミド、アルコキシド、ハロゲン、水素化物、アルキル、アジド、硝酸塩、亜硝酸塩、シクロペンタジニエル、カルボニル、カルボキシレート、ジケトネート、アルケン、アルキン、又はそれらの置換類縁体、及びその組合せから成る群から選択された配位子であり、xは、Mに対する原子価数に等しいか又はそれ以下の整数である。
配位子(L)を堆積前駆体の各々において同じであるように選択し、前駆体の各々が蒸気状形態で混合した時に、配位子交換が起こるのを回避することは有利である。配位子交換は、微粒子の生成をもたらす可能性があり、それは、堆積膜の品質に悪影響を及ぼす可能性がある。蒸気状形態において配位子交換を受けない配位子もまた適切である。
1つの好ましい実施形態では、Mがハフニウムである第1の堆積前駆体とMがシリコンである第2の堆積前駆体の2つの堆積前駆体が選択される。第1及び第2の堆積前駆体の両方は、同じ配位子(L)を有し、第1及び第2の堆積前駆体が混合される時に配位子交換が起こるのを回避する。適切な配位子には、以下に限定はしないが、ジメチルアミン、ジエチルアミン、ジエチルメチルアミン、又は第3級ブトキシドがある。
ハフニウム供給源は、ハフニウム・ジアルキルアミド、ハフニウム・アルコキシド、ハフニウム・ジケトネート、塩化ハフニウム(HfCl4)、及びテトラキス(エチルメチルアミノ)ハフニウム(TEMA−Hf)などの何れかの1つ又はその組合せを含むことができる。シリコン供給源は、アミノシラン、シリコンアルコキシド、シリコンジアルキルアミド、シラン、塩化シリコン、テトラメチルジシロキサン(TMDSO)、及びテトラキス(エチルメチルアミノ)シリコン(TEMA−Si)などの少なくとも1つ又はその組合せを含むことができる。1つの好ましい実施形態では、液体前駆体124及び126は、それぞれTEMA−Hf及びTEMASiから成る。
堆積前駆体は、一般的に、気化器を用いて気化される。各気化器は、単一の堆積前駆体だけ収容する。各気化器は、質量流コントローラ及び加熱機構に接続される。本発明の一実施形態に従って、上述のように堆積膜内の化学成分のうちの1以上の組成勾配が提供される。一例において、組成の選択的制御は、気化する前駆体の量の制御によって行われる。気化する前駆体の量は、一般的に、ガス流コントローラ及び/又は選択した前駆体の望ましい濃度を気化するために気化器を加熱する温度ユニットを調節することによって制御される。それに加えて又は代替的に、希釈ガスをインジェクタ114又はマニフォルド104内に搬送することができ(図示せず)、希釈ガスの流量は、チャンバ102に送られる堆積前駆体の量を希釈するように選択的に制御することができる。
気化器は、少なくとも1つの堆積金属を含む堆積前駆体を気化させるバブラーから成ることができる。気化器がバブラーである時、搬送ガスのような加圧ガスは、堆積前駆体リザーバ116及び118に気泡導入される。有用な搬送ガスには、窒素、アルゴン、又はヘリウムガスがある。加圧ガスは、堆積前駆体を希釈してそのそれぞれの堆積前駆体導管106及び108に送り込み、堆積前駆体の混合を容易にする。任意的に、膜内の組成勾配を提供するために、1以上の堆積前駆体の濃度は、バブラーの温度を変化させることによって制御することができ、気化する堆積前駆体の量が選択的に増加又は低減される。温度制御は、独立して行うことができ、又は、質量流コントローラの制御及び/又は搬送ガスの流量と協同して行うことができる。従って、様々な制御機構の各々は、独立して使用することができ、又は様々な組合せで使用することもできる。
他の実施形態では、堆積前駆体の性質のために、堆積前駆体は、光分解又は酵素的又は化学的触媒作用により、リザーバ107及び109内で気化することができる。
図1を再び参照すると、堆積前駆体124及び126が気化した後、堆積前駆体124及び126は、堆積前駆体導管106及び108を通過してマニフォルド104に送り込まれる。堆積前駆体導管106及び108は、どのような形状、寸法、及び長さでもよい。導管106及び108は、金属、プラスチック、ポリマー、又は合金で作製することができる。一般的に、導管は、マニフォルド104と同じ材料で作られる。マニフォルド104と同様に、導管106及び108は、気化を容易にするために断熱又は加熱することができる。任意的に、導管106及び108及びマニフォルド104は、分光分析又は分光測定によって蒸気濃度及び蒸気組成を測定するためのサンプリング領域を含む。
前駆体の混合は、重力又は加圧ガスによって促進することができる。混合はまた、前駆体124及び126を導管106及び108を通じてマニフォルド104に強制注入するプランジャーという物理手段によっても達成することができ、前駆体124及び126は、混合して均一な堆積前駆体になることができる。一部の実施形態では、導管124及び126は、合流してマニフォルド104内のT接合部130で終端し、前駆体124及び126は、処理チャンバ102内への供給の前に混合される。
代替的に、導管106及び108は、チャンバ102に近い混合領域又は空洞部、又はチャンバへの入口に合流することができ、それぞれの前駆体を直接搬送することができる。一部の実施形態では、フィルタをマニフォルド104に挿入するか又は取付けすることができ、望ましくないものを除き、特定の不純物又はガスを隔離する。
再度マニフォルド104及び導管106及び108を参照すると、任意的に、内部に埋め込むか又は外部に設置した加熱又は冷却要素を使用することができ、それによって混合が調整され、膜内の微粒子及び不純物の生成が最小化される。
マニフォルド104は、チャンバ102への前駆体の搬送に先立つ前駆体の混合に適切な多くの形態をとることができる。マニフォルド104は、T接続部130のような接続部を通じて気化器に連結された単一の導管とすることができる。マニフォルド104は、前駆体を混合するために幾らかの滞留時間を提供する空洞部又はリザーバを含むことができる。代替的実施形態では、マニフォルドは、完全に削除することができ、堆積前駆体は、それらがチャンバ102に搬送される時にガス入口114に直接送られ、ガス入口114内で混合される(例えば、ガス入口がインジェクタから成る場合)。
図1を尚も参照すると、前駆体124及び126が気化した状態で、堆積前駆体124及び126は、1以上のガス入口114を通じてチャンバ102に送られる。ガス入口は、チャンバへのガスの供給のための様々な形態をとることができる。一実施形態では、ガス入口は、シャワーヘッドのようなインジェクタから成る。例示的な実施形態は、1つのガス入口114を有する単一ウェーハチャンバを示しているが、本発明は、バッチ処理チャンバと共に用いることもでき、又はミニバッチ・チャンバと共に用いることもできる。バッチ又はミニバッチ・チャンバにおいては、複数のガス入口が使用され、ガスは、一般的に各基板の上を平行流又は横断流方式で運ばれる。ミニバッチ・チャンバの例は、本明細書においてその開示内容が引用により組み込まれている「熱処理システム及び設定可能垂直チャンバ」という名称のPCT特許出願出願番号PCT/US03/21575に説明されている。
前駆体124及び126を含む堆積混合物の層は、基板112上に堆積される。適切な基板には、金属、合金、ガラス、ポリマー、プラスチック、有機、又は無機の加工製品がある。堆積の様式により、堆積混合物の1つ又は複数の単層が基板112上に形成されることになる。好ましい堆積方法は原子層堆積である。しかし、本発明のシステム及び方法は、「化学気相堆積」のような他の堆積技術に順応する。望ましい膜を提供するように、処理チャンバ102内に複数の調節可能なインジェクタを用いるシャワーヘッドを組込むことも本発明の範囲内である。
再び図1を参照すると、堆積混合物の堆積に続いて、過剰の混合物は、システム圧力及びガス流を制御して各堆積処理後の処理チャンバ102の急速パージを保証する真空ポンプに接続した排気ポートを通じてシステムから除去される。ウェーハ担持装置110は、堆積又はアニール段階中に基板を支持して加熱するために使用される。ウェーハ担持装置は、一般的にその中に形成された加熱及び冷却要素を含む。処理チャンバの温度を制御するために、外部加熱器(図示せず)もまた使用することができる。好ましくは、ウェーハ担持装置110は、真空チャック又は静電チャックである。
処理チャンバ102は、チャンバ内での処理及び清浄化に使用される他のガスを切換えて連続的に供給することができる入口103を有する。反応物ガスは、入口103を通じてチャンバに搬送される。適切な反応物ガスには、酸化ガス、還元ガス、窒化ガス、又はそれらの混合物がある。入口103を通過して送られる可能性のある他のガスには、搬送ガス又は不活性ガス、又はそれらの混合物が含まれる。
1つの好ましい実施形態では、気化した堆積前駆体は、より均一な膜を提供して膜の組成の最大の制御を可能にするために、反応チャンバ内への導入の前にマニフォルド内で混合される。しかし、インジェクタなどのようなガス入口に各前駆体を別々に送ることも可能であり、インジェクタは、ガスがチャンバ内に注入される時にそれらを混合し、従って、独立したマニフォルドの必要がなくなる。本発明の教示の観点からは、様々な機械的実施形態が適切であり、本発明は、何れかの1つの機械的構成には限定されない。本発明の教示は、異なる化学成分を有する前駆体の混合物が反応チャンバ内に存在して1つの単層内に複数の成分を有する膜を形成するように、様々な異なる前駆体の少なくとも何らかの混合が起こるということを提供するものである。
反応物ガスは、入口103を通じて処理チャンバ102に導入され、基板112の表面上の堆積混合物を含む単層を処理し、及び/又はこれと反応する。反応物ガスは、ガス入口114内の堆積前駆体と混合して又は処理チャンバ内102に直接に順番に又は同時に供給することができる。
様々の反応物ガスを用途により使用することができる。反応物ガスが酸化ガスの場合、単層は酸化される。反応物ガスが還元がスの場合、単層は還元される。同様に、反応物ガスが窒化ガスの場合、単層は窒化される。適切な酸化ガスには、オゾン、酸素、一重項酸素、三重項酸素、水、過酸化物、空気、亜酸化窒素、一酸化窒素、H22、及びそれらの混合物がある。適切な還元ガスは水素を含む。適切な窒化ガスには、アンモニア、重水素置換アンモニア、15N−アンモニア、ヒドラジン、アルキルヒドラジン、二酸化窒素、亜酸化窒素、窒素ラジカル、一酸化窒素、N−オキシド、アミド、アミン、又はそれらの混合物がある。別の実施形態では、堆積前駆体が基板112上に堆積した後に、基板112の単層を窒化、酸化、還元、又はアニールすることができる第2の処理装置に真空中で基板112を移送することができる。
一例において、ALDによってHfSiNを含む多成分膜を形成するために、堆積前駆体TEMA−Hf及びTEMA−Siが気化され、次に、一緒に混合されて処理チャンバに搬送され(「パルスト」とも呼ばれる)、アンモニアのような窒素含有源を加えてHfSiNが形成される。
一例において、ALD処理は、約25から800℃の範囲で、より通常的には約50から600℃の範囲で、最も通常的には約100から500℃の範囲で実施される。処理チャンバ内の圧力は、約0.001mTorrから600Torrの範囲、より通常的には約0.01mTorrから100Torrの範囲、最も通常的には約0.1mTorrから10Torrの範囲である。この圧力範囲は、パルス段階及びパージ段階の両方に及ぶものである。全体の不活性ガス流量は、バブラーが使用されている時はバブラー内の搬送ガスを含めて一般的に約0から20,000sccmの範囲、より通常的には約0から5,000sccmの範囲である。
任意的に、堆積前駆体が基板112上に堆積した後に、基板112の単層を窒化、酸化、還元、又はアニールすることができる第2の処理装置に真空中で基板112を移送することができる。
図2は、本発明の多層ゲート誘電体の断面図である。第1の層200は、高移動度(より高いトランジスタ速度)及び基板112に対する安定な境界面という望ましい特性を促進するように選択される。適切には、第1の層は、高い誘電定数を有する金属ケイ酸塩又は酸化物である。好ましくは、第1の層は、シリコンに富んだ金属ケイ酸塩である。第1の層の金属ケイ酸塩内のシリコン成分は、純金属又は金属酸化物と基板112上の境界面二酸化シリコン残留物との間の不適合性を緩和することによって境界面欠陥の形成を低減させる。金属ケイ酸塩内の金属成分は、第1の層の誘電体特性を向上させるのに役立つ。本発明の適切な金属、合金、又は混合金属酸化物、窒化物、ケイ酸塩、又は酸窒化物は、以下に限定はしないが、Ti、Zr、Hf、Ta、W、Mo、Ni、Si、Cr、Y、La、C、Nb、Zn、Fe、Cu、Al、Sn、Ce、Pr、Sm、Eu、Tb、Dy、Ho、Er、Tm、Yb、Lu、Ga、In、Ru、Mn、Sr、Ba、Ca、V、Co、Os、Rh、Ir、Pd、Pt、Bi、Sn、Pb、Tl、Ge、又はそれらの混合物を含む。
本発明の一実施形態は、図3の流れ図に示されている。この例は、説明目的のためのみに示され、本発明を限定することは何ら意味しない。例示的な実施形態では、第1の前駆体気化器は、Hfを含む第1の前駆体を有して提供される(段階150)。Siを含む第2の前駆体を有する第2の前駆体気化器もまた提供される(段階152)。基板又はウェーハが、反応チャンバ内のチャック上に配置され(段階154)、処理チャンバが排気され(段階156)、基板は、所定の処理温度に加熱される(段階158)。上述のように、処理温度は、好ましくは約50から800℃、より好ましくは約100から500℃である。第1及び第2の前駆体は、リザーバを通過するガスの気泡化によって気化し、第1及び第2の気化前駆体を形成し(段階160)、混合し(段階162)、反応チャンバに流れる(164)。混合した第1及び第2の気化前駆体は、シャワーヘッド又はインジェクションノズルというガス入口を通過して基板上に導かれる(166)。
本発明は、図2に示すような組成勾配を有する多成分膜又は層を更に提供する。図1及び図2を参照すると、シリコン基板112上の第1の層200の堆積が、処理チャンバ102内で行われる。一例において、HfSiOの膜が形成され、ハフニウムは、気化器107内で気化し、シリコンは、気化器109内で気化する。ハフニウム及びシリコンの堆積前駆体蒸気は、搬送ガスによってマニフォルド104内に流し込まれる。マニフォルド内部で、堆積前駆体は混合し、ガス入口114に堆積混合物として供給される。ガス入口114は、堆積混合物を処理チャンバ102に送り、堆積混合物は、基板112の表面に接触して表面上に取り込まれ、基板112上に堆積混合物の単層を形成する。処理チャンバ112が不活性ガスでパージされるか又は真空下で排気された後に、オゾンガスが、入口103を通じて処理チャンバ102に連続的にパルス導入される。反応物ガスは、基板112上の単層を飽和してハフニウム、シリコン、及び酸素を含む原子層を形成し、その場合、シリコン含量はハフニウムよりも高い。
図4は、堆積前駆体124及び126の流量を変化させることにより、ハフニウムに対するシリコンの濃度を調整して多成分膜をもたらすことができることを示している。図5は、シリコン又はハフニウム濃度における変化が、x=0−1の場合に化学式HfxSi1-x2によって殆どの場合に支配されることを示している。
HfxSi1-x2膜に関するXPSの研究は、膜内の原子の結合配列の解明に役立った。図6aは、膜内のハフニウムのXPSスペクトルを表すものである。吸収帯の強度及び結合エネルギの大きさに基づいて、ハフニウムは、大部分がケイ酸塩の形態で見出される。ごく僅かのHfO2のような不純物がスペクトルに認められる。ここで図6bを参照すると、シリコンのXPSスペクトルは、シリコンもまた大部分がケイ酸塩として存在し、SiO2の生成は全くないか又は僅かであることを明らかにしている。XPSの結果は、本発明の利点を明確にするものである。すなわち、HfO2又はSiO2のパッチを全く有しないか又は僅かに有する均一なケイ酸ハフニウム膜の形成である。
ここで図7を参照すると、本発明の誘電体膜の屈折率は、シリコン含量の増加に伴って低下する。図7は、N2雰囲気内での膜の900℃での加熱が熱変性を引き起こさないことを示している。
図8は、堆積速度が温度依存性であることを示している。HfxSi1-x2の線形成長速度は、温度と共に増大する。しかし、400℃を超えると、原子層堆積(ALD)処理が化学気相堆積(CVD)機構を使用するので、堆積速度が実質的に増大する。様々な厚みにおける、HFラストシリコン基板上に400℃で堆積したHfxSi1-x2膜の「透過電子顕微鏡(TEM)」断面画像は、約1nmと測定された類似の境界層厚みを示している。図9a、図9b、及び図9cを比較すれば、各々は、2.3nm、4.3nm、及び6.5nmの誘電体厚みをそれぞれ有するが、境界面の厚みは誘電体厚みと無関係である。これは、ALD処理においてオゾンが酸化反応物として使用された時に、境界面の酸化が、膜作製の初期の間に生起する場合があることを示唆するものである。
高温での加熱は、誘電体のアモルファス状態を変化させないが、アニール処理は、境界面酸化物層を減少させる。図10は、アニール後のHfxSi1-x2膜のTEM画像を示している。境界面酸化層の厚みを図9と比較すると、アニール処理は、境界層を0.3nm低減するように見え、容量−電圧(CV)又は電流−電圧(IV)関係の何れも変化させない。図11は、膜がアニールに対して電気的に安定であることを示している。容量等価厚み(CET)及び低漏れ電流密度のいずれも、アニール段階によって大きく影響されなかった。
900℃までのアニール処理中の50nm厚Hf0.34Si0.662膜に関する応力ヒステリシス測定値がモニタされた。図12に見られるように、昇温の間での一定の傾斜は、堆積したHf0.34Si0.662膜とシリコン基板との間の熱膨張におけるかなり安定した違いを示している。約700℃では、応力はより張力的になり、微結晶状態における形態学的な変化を示している。300℃でTEMAHf及びO3からALDによって堆積した約450℃(図示せず)での応力増加を有するHfO2膜と比較すれば、HfxSi1-x2における膜応力転移温度の上昇は、シリコン含量の増加に起因している。従って、シリコン含量の増加は、膜が結晶化する温度を上昇させる。
ハフニウムの適切な供給源には、ハフニウム・ジアルキルアミド、ハフニウム・アルコキシド、ハフニウム・ジケトネート、又はハロゲン化ハフニウムがある。シリコンの適切な供給源には、ハロゲン化シリコン、シリコンジアルキルアミド又はアミン、シリコンアルコキシド、シラン、ジシラン、シロキサン、アミノジシラン、及びハロゲン化二シリコンがある。一般的に、ハフニウム及びシリコンの供給源は、共通の配位子を有するように選択され、配位子交換から生じる厄介な問題を回避する。本明細書において引用により組み込まれている「混合成分を有する薄膜の分子層堆積」という名称のPCT特許出願出願番号PCT/US〇3/22236に開示するように、共有結合的に架橋した混合金属、並びに非共有的に結合した混合金属は、堆積用の前駆体として使用することができる。非共有結合の様式には、水素結合、配位結合、金属−金属結合、金属−π、金属−π*、π−π結合、シグマ−シグマ結合、イオン性結合、ファン・デル・ワールス相互作用、疎水性/親水性相互作用、極性結合、又は双極子モーメント相互作用がある。不活性ガスの供給源には、アルゴン、窒素、不活性ガス、又はそれらの混合物のような搬送ガスが含まれる。
再び図2を参照すると、第2の層202が第1の層200上に堆積し、第2の層202は、シリコンよりも大きいハフニウム濃度、すなわち、ハフニウム>シリコンである濃度を有する。より高い濃度のハフニウムは、誘電体の全体的構成が高kハフニウム誘電体のように挙動することを保証するものである。第2の層202内のシリコンの存在は、個々の層の間に電気的な漏れ又は欠陥を起す可能性のある急激な組成的境界面がないように、第1の層200からの漸変的な化学量論的移行を作り出す。引続くオゾンによる酸化は、第2の層202を提供するものである。
本発明の様々な実施形態では、第3の層203は、任意的に、主にハフニウムを含んで、すなわち、ハフニウム≫シリコンであるように第2の層202の上に堆積され、組成勾配を有する誘電体層のスタックを形成することができる。酸化反応物を用いる酸化は、主として二酸化ハフニウムを生じさせる。この手法を用いれば、あらゆる勾配、厚み、及び組成の均質な膜を精度及び制御を伴って作製することができる。
別の態様においては、第3の層203は、窒化反応物を用いて窒化することができる。窒素の包含は、誘電体を通過するホウ素のような不純物の拡散を阻止し、膜の長期の性能と信頼性を高めるものである。
一部の実施形態では、第3の層203は、堆積後のアニール段階の時にアンモニアガスの存在で熱的に窒化することができる。それに対して、他の実施形態では、第3の層203は、処理チャンバ102とは離れて生成した高エネルギ窒素粒子を用いて窒化することができる。本発明の1つの態様によるアンモニアを用いる例示的なアニール後の膜のXPSスペクトルが図13で示されている。これもまた図13に示されているHfSiO基準と比較すれば、400eVの近くの窒素ピークの存在が、HfSiO層内への窒素の取り込みを示している。様々なテークオフ角度(TOA)での測定は、誘電体の表面のみでなく膜内部深くにも窒素が存在することを検出している。
任意的に、必要に応じて、窒化物層を形成してアニールするために加熱に依存する代わりに、窒化は、光により、又は、光、加熱、及び化学的開始剤のあらゆる組合せにより促進させることができる。例えば、ある一定の実施形態では、直接プラズマ、遠隔プラズマ、下流側プラズマ、紫外線光子エネルギ、又はその組合せを窒化を促進するために使用することができる。活性化エネルギ源には、プラズマ、光、レーザ、ラジカル、及びマイクロ波エネルギ源、及びそれらの混合形態がある。
別の実施形態では、上述のように、適切な窒素供給源には、アンモニア、重水素置換アンモニア、15N富化アンモニア、アミン、アミド、窒素ガス、ヒドラジン、アルキルヒドラジン、亜酸化窒素、一酸化窒素、窒素ラジカル、N−オキシド、又はそれらの混合物がある。
本発明の更に別の態様においては、膜の窒化に関するが、誘電体を窒化する環境的方法が提供される。図14は、ハフニウム・ジアルキルアミド前駆体とオゾンとの間の反応で生じるHfO2堆積の速度が、意外にも反応温度の低下と共に増大することを示している。ハフニウム・ジアルキルアミドに対するオゾンの反応性のために、HfSiOx300は、図1の気化器107及び109内でハフニウム及びシリコンをそれぞれ気化させることにより、図14に示すように基板前駆体112上に堆積した。オゾンは、基板112を格納している処理チャンバ102内に入口103を通過して供給される。酸化は、16aにおけるような比較的低い温度で急速に起こり、酸化ハフニウム302が生じる。酸窒化物層304は、層302をゲート電極からのホウ素拡散から保護するために金属酸化物302の上にあるのが望ましい。
酸窒化物層304を堆積させるのに2つの方法がある。第1の方法においては、図16aに表されるように、堆積前駆体124及び126が気化して処理チャンバ102内に注入され、基板112上に堆積混合物の単層を形成する。
ここで図16aを参照すると、酸化物302を生じる低温度にも関わらず、アンモニアを用いる800℃での次の熱的酸窒化アニールは、許容することができるが、処理の観点からは好ましくないものである。構造的には、そのような高いアニール温度は、大きい懸念を提起する。すなわち、酸化物層302の結晶化であり、酸化物302内部深く又はその粒界における内在的欠陥の可能性をもたらすものである。
本発明の好ましい実施形態では、酸窒化物を堆積する第2の方法が図16bに示されている。図16bにおける方法は、図16aにおける方法に比較すれば、酸窒化物304へのより経済的な道筋である。オゾンは、金属ジアルキルアミドと容易に反応するので、堆積混合物が最初に基板112上に堆積され、順番に原位置でアンモニアによって処理される。比較的低い温度での窒化物303の形成に引続き、オゾンを用いた酸化が反応を完了まで進め、酸窒化物304を生成する。
本発明の一部の実施形態では、重水素置換アンモニア又は15N−アンモニアが好ましい。
図17は、酸窒化物304の表面よりも下にある組成プロフィールを示している。窒素濃度は、膜の表面上で最大であるが、HfO2の表面に到達するまで表面の下で徐々に低下する。膜内に更に入ると、シリコン基板112の境界層に到達するまでHfO2302の濃度が低下してHfSiOx300に引き継がれる。
本発明によれば、異なる膜厚と窒素又は酸素濃度とを有するHfSiONの多くの層を堆積させることができる。SiO2、HfO2、HfSiOx、HfN、SiN、SiON、及びHfSiONの形成を説明する特定的な実施例が本明細書に示されているが、本発明の方法及びALDシステムが、金属、合金、又は混合金属酸化物、ケイ酸塩、窒化物、酸窒化物、又はそれらの混合物を含むあらゆる厚み、組成、又は種類の薄膜を生成するのに使用することができることは当業者には明らかであろう。
本発明の特定的な実施形態の以上の説明は、例証及び説明目的で示されたものである。それらは、網羅的であったり開示された正確な形態に本発明を限定することを意図しておらず、明らかに、上述の教示の観点から多くの修正、実施形態、及び変形が可能である。本発明の範囲は、本明細書に添付の特許請求の範囲及びその均等物により規定されるものとする。
本発明の一実施形態により多成分多層膜を作製するためのシステムの概略ブロック図である。 本発明のシステム及び方法を用いて形成された高k誘電体ゲート材料の断面図である。 本発明の一実施形態により組成勾配膜を作製する方法を示す流れ図である。 ハフニウム−シリコン膜の堆積及び組成をハフニウム及びシリコン堆積ガス流量を制御することによって変更することができる特定の例を示す、膜組成と堆積前駆体ガス流量の間の関係を示すグラフである。 本発明のシステム及び方法によって作製された様々なHfSiO膜の原子組成分析の結果を示す表である。この結果は、所定のハフニウム及びシリコン含量濃度に亘って、ハフニウム及びシリコン原子に対する酸素原子の比率が約2であることを示している。これらの結果は、本発明のシステム及び方法によって作製されたHfSiO膜が、特定の範囲に亘って、構造式Hf x Si 1-x 2 を有する膜を提供することを示している。炭素、水素、及び窒素の百分率比は、微量で見出されるのみである。 膜に見出されるハフニウムの4f領域のXPSスペクトルを強調し、スペクトルに不純物をほとんど又は全く認めることができない、本発明のシステム及び方法によって生成された化学式Hf 0.5 Si 0.5 2 を有する膜の「X線光電子分光法(XPS)」スペクトルを示すグラフである。 膜に見出されるシリコンの2p領域のXPSスペクトルを強調し、スペクトルに不純物をほとんど又は全く認めることができない、本発明のシステム及び方法によって生成された化学式Hf 0.5 Si 0.5 2 を有する膜の「X線光電子分光法(XPS)」スペクトルを示すグラフである。 堆積時の膜及び堆積後にアニールした膜に関する屈折率を比較するグラフであり、Hf/(Hf+Si)比率の関数として計測したシリコンウェーハ上の50nm厚の様々なHf x Si 1-x 2 に関する屈折率を表す図である。 オゾンによるハフニウム−シリコン膜の酸化で得られるHfxSi1-x2膜に関する堆積速度の変化を堆積温度に関して示す図である。 2.3nmの誘電体厚みを有するHf 0.58 Si 0.42 2 のTEM画像を示し、境界面の厚みが約1nmと測定される、HFラスト処理したシリコン基板上に400℃で堆積したHf 0.58 Si 0.42 2 膜のTEM断面画像を示す図である。 4.3nmの誘電体厚みを有するHf 0.58 Si 0.42 2 のTEM画像を示し、境界面の厚みが約1nmと測定される、HFラスト処理したシリコン基板上に400℃で堆積したHf 0.58 Si 0.42 2 膜のTEM断面画像を示す図である。 6.5nmの誘電体厚みを有するHf 0.58 Si 0.42 2 のTEM画像を示し、境界面の厚みが約1nmと測定される、HFラスト処理したシリコン基板上に400℃で堆積したHf 0.58 Si 0.42 2 膜のTEM断面画像を示す図である。 2 内の700℃でのアニール後のポリシリコンキャップ層を有するHf 0.58 Si 0.42 2 の断面TEM画像である。 HFラスト処理したシリコンウェーハ上の様々なHf x Si 1-x 2 について、Hf含有量の関数として容量同等厚(CET)及び漏れ電流密度を計測した図である。 50nm厚Hf 0.34 Si 0.66 2 について、温度の関数として膜引張応力を計測した図である。 HfSiOと比較して様々なテークオフ角度(TOA)でのHfSiON膜のXPSスペクトルが膜内の窒素の存在を明示している、堆積後アニール段階でアンモニアを用いて窒素化されたHfSiO膜に関して窒素1s及びハフニウム4p 3/2 領域に対する「X線光電子分光法(XPS)」スペクトルを示す図である。 ハフニウム・ジアルキルアミドのオゾンによる酸化で生成したHfO 2 堆積温度を関数とした堆積速度のグラフである。 HfSiOx、HfO2、及び、HfOxNy又はHfSiONの層を含み連続的に原位置で作製された薄膜を示す、本発明の共注入システム及び方法によって形成された組成勾配を有する薄膜の断面図である。 酸窒化物を生成する相対的に高い温度の処理を示し、酸化段階が窒化段階に先行する、本発明の金属、合金、又は混合金属酸窒化物を生成する2つの異なる方法を説明する反応体系を示す図である。 相対的に低い温度の下で膜が窒化されるまで酸化段階が待機する、本発明の金属、合金、又は混合金属酸窒化物を生成する2つの異なる方法を説明する反応体系を示す図である。 窒素濃度が膜の表面上で最大であり、HfO2層に達するまで表面の下で徐々に減少し、膜内に更に入り込むと、HfO2の濃度がシリコン基板の境界層に到達するまで低下してHfSiOxに引き継がれる、典型的な酸窒化物膜の表面の下の組成プロフィールを示す図である。
符号の説明
100 システム
102 処理チャンバ
104 ガスマニフォルド
107、109 気化器
112 ウェーハ
114 ガス入口
124、126 堆積前駆体又は堆積前駆体の混合物

Claims (25)

  1. 基板の表面上に膜を形成する方法であって、
    各々が少なくとも1つの異なる化学成分を含有する2以上の前駆体が、一緒に処理チャンバに搬送され、基板の表面上に単層を形成し、
    該単層が前記別々の化学成分の各々を含有する、
    ことを特徴とする方法。
  2. 基板の表面上に膜を形成する方法であって、
    各々が少なくとも1つの異なる化学成分を含有する2以上の前駆体が、一緒に処理チャンバに搬送され、基板の表面上に単層を形成し、
    前記処理チャンバに搬送される前記前駆体の各々の量は、前記化学成分の1以上の望ましい組成勾配が前記膜中に形成されるように選択的に制御される、
    ことを特徴とする方法。
  3. 前記前駆体は、化学式:
    M(L)x
    で表され、ここで、Mは、Ti、Zr、Hf、Ta、W、Mo、Ni、Si、Cr、Y、La、C、Nb、Zn、Fe、Cu、Al、Sn、Ce、Pr、Sm、Eu、Tb、Dy、Ho、Er、Tm、Yb、Lu、Ga、In、Ru、Mn、Sr、Ba、Ca、V、Co、Os、Rh、Ir、Pd、Pt、Bi、Sn、Pb、Tl、Ge、又はそれらの混合物の群から選択された金属であり、Lは、アミン、アミド、アルコキシド、ハロゲン、水素化物、アルキル、アジド、硝酸塩、亜硝酸塩、シクロペンタジニエル、カルボニル、カルボキシレート、ジケトネート、アルケン、アルキン、又はそれらの置換類縁体、及びそれらの組合せから成る群から選択された配位子であり、xは、Mに対する原子価数に等しいか又はそれ以下の整数であることを特徴とする請求項1又は請求項2に記載の方法。
  4. 前記単層と反応する少なくとも1つの反応物を供給する段階を更に含むことを特徴とする請求項1又は請求項2に記載の方法。
  5. 前記少なくとも1つの反応物は、前記前駆体に続いて又はそれと同時に供給されることを特徴とする請求項4に記載の方法。
  6. 前記少なくとも1つの反応物は、窒化反応物、還元反応物、酸化反応物、又はそれらの混合物であることを特徴とする請求項4に記載の方法。
  7. 前記窒化反応物は、アンモニア、重水素置換アンモニア、15N−アンモニア、アミン又はアミド、ヒドラジン、アルキルヒドラジン、窒素ガス、一酸化窒素、亜酸化窒素、窒素ラジカル、N−オキシド、又はそれらの混合物から成る群から選択されることを特徴とする請求項6に記載の方法。
  8. 前記酸化反応物は、オゾン、酸素、一重項酸素、三重項酸素、原子状酸素、水、過酸化物、空気、亜酸化窒素、一酸化窒素、H22、及びそれらの混合物から成る群から選択されることを特徴とする請求項6に記載の方法。
  9. 基板の表面上に多成分膜を形成する方法であって、
    各々が少なくとも1つの異なる化学成分を含有する2以上の前駆体を気化する段階と、
    前記2以上の前駆体を処理チャンバ内に搬送して、該前駆体を処理チャンバ中に一緒に存在させる段階と、
    前記別々の化学成分の各々を含有する単層を基板の表面上に形成する段階と、
    前記処理チャンバをパージする段階と、
    を含むことを特徴とする方法。
  10. 前記形成する段階は、約20から800℃の範囲の温度で実行されることを特徴とする請求項9に記載の方法。
  11. 前記処理チャンバは、約0.001mTorrから600Torrの範囲の圧力にあることを特徴とする請求項1に記載の方法。
  12. 前記基板表面は、シリコン、プラスチック、ポリマー、金属、合金、有機物、無機物、又はそれらの混合物から成る群から選択されることを特徴とする請求項1、請求項2、又は請求項9のいずれか1項に記載の方法。
  13. 前記搬送する段階は、前記2以上前駆体を混合して前駆体の該混合物を前記処理チャンバ内に搬送する段階を更に含むことを特徴とする請求項9に記載の方法。
  14. 前記パージする段階は、搬送ガスを導入して前記チャンバから前記前駆体を排出する段階を更に含むことを特徴とする請求項9に記載の方法。
  15. 前記基板の表面上に形成された前記単層に接触する反応物ガスを前記処理チャンバに搬送する段階を更に含むことを特徴とする請求項9に記載の方法。
  16. 前記処理チャンバへのガス流量は、約0から20,000sccmの範囲であることを特徴とする請求項13に記載の方法。
  17. 組成勾配を有する誘電体膜であって、
    シリコンリッチな下層と、
    窒素リッチな上層と、
    前記上層及び下層の間に形成されたハフニウムリッチな層と、
    を含むことを特徴とする膜。
  18. 前記シリコンリッチな下層、前記ハフニウム中間層、及び前記窒素リッチな上層の各々は、少なくとも1つの共通の組成元素を含むことを特徴とする請求項17に記載の誘電体膜。
  19. 前記少なくとも1つの共通の組成元素は、酸素、窒素、及び金属から成る群から選択されることを特徴とする請求項18に記載の誘電体膜。
  20. 前記金属は、Ti、Zr、Hf、Ta、W、Mo、Ni、Si、Cr、Y、La、C、Nb、Zn、Fe、Cu、Al、Sn、Ce、Pr、Sm、Eu、Tb、Dy、Ho、Er、Tm、Yb、Lu、Ga、In、Ru、Mn、Sr、Ba、Ca、V、Co、Os、Rh、Ir、Pd、Pt、Bi、Sn、Pb、Tl、Ge、及びそれらの混合物から成る群から選択されることを特徴とする請求項19に記載の誘電体膜。
  21. 前記金属は、金属、合金、又は混合金属酸化物、ケイ酸塩、窒化物、酸窒化物、又はそれらの混合物を更に含むことを特徴とする請求項19に記載の誘電体膜。
  22. 原子層堆積のためのシステムであって、
    堆積のための第1の堆積前駆体を収容する少なくとも第1の気化器と、
    堆積のための第2の堆積前駆体を収容する少なくとも第2の気化器と、
    原子層堆積処理を実行するようになった処理チャンバと、
    前記第1及び第2の気化器と前記処理チャンバとに連結され、前記第1及び第2の堆積前駆体を混合して該処理チャンバに搬送するようになったマニフォルドと、
    を含むことを特徴とするシステム。
  23. 前記処理チャンバは、
    前記マニフォルドに連結したガス入口と、
    前記少なくとも1つの反応物を前記処理チャンバにおいて原位置で連続的又は同時的に供給する、少なくとも1つの反応物ガスを供給するための入口と、
    を更に含むことを特徴とする請求項22に記載のシステム。
  24. 前記単層は、1つ又は複数の金属、合金、又は混合金属酸化物、ケイ酸塩、窒化物、及び酸窒化物から成る群から選択されることを特徴とする請求項1、請求項2、又は請求項9のいずれか1項に記載の方法。
  25. 前記単層の各々は、組成的に可変であり、隣接する該単層と電気的かつ物理的に適合することを特徴とする請求項1、請求項2、又は請求項9のいずれか1項に記載の方法。
JP2006532444A 2003-04-21 2004-04-21 多成分誘電体膜を形成するためのシステム及び方法 Pending JP2007514293A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US46445803P 2003-04-21 2003-04-21
US52096403P 2003-11-17 2003-11-17
US56095204P 2004-04-09 2004-04-09
PCT/US2004/012245 WO2004105083A2 (en) 2003-04-21 2004-04-21 System and method for forming multi-component dielectric films

Publications (1)

Publication Number Publication Date
JP2007514293A true JP2007514293A (ja) 2007-05-31

Family

ID=33479703

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006532444A Pending JP2007514293A (ja) 2003-04-21 2004-04-21 多成分誘電体膜を形成するためのシステム及び方法

Country Status (6)

Country Link
US (2) US7470470B2 (ja)
EP (1) EP1616042A2 (ja)
JP (1) JP2007514293A (ja)
KR (1) KR20060003895A (ja)
TW (1) TW200506093A (ja)
WO (1) WO2004105083A2 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008075179A (ja) * 2006-09-19 2008-04-03 Asm Japan Kk Uv照射チャンバーをクリーニングする方法
JP2011166160A (ja) * 2011-03-22 2011-08-25 Tokyo Electron Ltd 積層膜の形成方法
KR20150129781A (ko) * 2013-03-14 2015-11-20 어플라이드 머티어리얼스, 인코포레이티드 기상 증착에 의해 증착되는 포토레지스트, 및 이를 위한 제조 및 리소그래피 시스템들
US10209613B2 (en) 2013-03-12 2019-02-19 Applied Materials, Inc. System and method for manufacturing planarized extreme ultraviolet lithography blank
US10788744B2 (en) 2013-03-12 2020-09-29 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor

Families Citing this family (428)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6671223B2 (en) * 1996-12-20 2003-12-30 Westerngeco, L.L.C. Control devices for controlling the position of a marine seismic streamer
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
JP2005504885A (ja) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
CN1261986C (zh) * 2001-08-23 2006-06-28 日本电气株式会社 含高介电常数绝缘膜的半导体设备和该设备的制造方法
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
KR100463633B1 (ko) * 2002-11-12 2004-12-29 주식회사 아이피에스 하프늄 화합물을 이용한 박막증착방법
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
KR100589053B1 (ko) * 2003-10-15 2006-06-12 삼성전자주식회사 소스 공급 장치, 소스 공급 방법 및 이를 이용한 원자층증착 방법
US7154779B2 (en) * 2004-01-21 2006-12-26 Sandisk Corporation Non-volatile memory cell using high-k material inter-gate programming
US8339037B2 (en) * 2004-03-26 2012-12-25 Panasonic Corporation Organic light emitting device with reduced angle dependency
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
JP4455225B2 (ja) * 2004-08-25 2010-04-21 Necエレクトロニクス株式会社 半導体装置の製造方法
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
CN100554506C (zh) * 2005-03-09 2009-10-28 东京毅力科创株式会社 半导体处理用的成膜方法及装置
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US8197898B2 (en) * 2005-03-29 2012-06-12 Tokyo Electron Limited Method and system for depositing a layer from light-induced vaporization of a solid precursor
US7235502B2 (en) * 2005-03-31 2007-06-26 Freescale Semiconductor, Inc. Transitional dielectric layer to improve reliability and performance of high dielectric constant transistors
US7485338B2 (en) * 2005-03-31 2009-02-03 Tokyo Electron Limited Method for precursor delivery
US20060264066A1 (en) * 2005-04-07 2006-11-23 Aviza Technology, Inc. Multilayer multicomponent high-k films and methods for depositing the same
JP2006344837A (ja) * 2005-06-09 2006-12-21 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US20070031598A1 (en) * 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
WO2007033019A1 (en) * 2005-09-12 2007-03-22 Sandisk Corporation Creating a dielectric layer using ald to deposit multiple components
US20070059945A1 (en) * 2005-09-12 2007-03-15 Nima Mohklesi Atomic layer deposition with nitridation and oxidation
JP2007088113A (ja) 2005-09-21 2007-04-05 Sony Corp 半導体装置の製造方法
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
TW200737307A (en) 2005-11-04 2007-10-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US20070237697A1 (en) * 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US7816737B2 (en) * 2006-03-31 2010-10-19 Tokyo Electron Limited Semiconductor device with gate dielectric containing mixed rare earth elements
US8012442B2 (en) * 2006-03-31 2011-09-06 Tokyo Electron Limited Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition
US8097300B2 (en) * 2006-03-31 2012-01-17 Tokyo Electron Limited Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition
US7759746B2 (en) * 2006-03-31 2010-07-20 Tokyo Electron Limited Semiconductor device with gate dielectric containing aluminum and mixed rare earth elements
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7767262B2 (en) * 2006-09-29 2010-08-03 Tokyo Electron Limited Nitrogen profile engineering in nitrided high dielectric constant films
JP2010506408A (ja) * 2006-10-05 2010-02-25 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
KR20080051572A (ko) * 2006-12-06 2008-06-11 주성엔지니어링(주) 유기 전계 발광 소자 및 그 제조 방법
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
KR101227446B1 (ko) 2007-07-31 2013-01-29 삼성전자주식회사 강유전체막의 형성 방법 및 이를 이용한 강유전체커패시터의 제조 방법
US7790628B2 (en) * 2007-08-16 2010-09-07 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
US7964515B2 (en) * 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US8084104B2 (en) * 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8851012B2 (en) 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8770142B2 (en) 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
WO2010095901A2 (en) 2009-02-23 2010-08-26 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8076241B2 (en) * 2009-09-30 2011-12-13 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8877300B2 (en) * 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US8574983B2 (en) 2011-05-13 2013-11-05 Intermolecular, Inc. Method for fabricating a DRAM capacitor having increased thermal and chemical stability
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8569184B2 (en) * 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8633118B2 (en) * 2012-02-01 2014-01-21 Tokyo Electron Limited Method of forming thin metal and semi-metal layers by thermal remote oxygen scavenging
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI554636B (zh) 2012-04-25 2016-10-21 應用材料股份有限公司 由金屬脒鹽前驅物製造介電膜的方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR20150052283A (ko) * 2012-09-07 2015-05-13 린데 악티엔게젤샤프트 원자층 증착을 위한 용액계 전구체의 직접 액체 분사
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
TWI590329B (zh) 2014-03-02 2017-07-01 東京威力科創股份有限公司 藉由微波電漿處理以提升半導體裝置中之高介電常數膜成核速率及電移動度的方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10156786B2 (en) 2015-09-30 2018-12-18 Thomas E. Seidel Method and structure for nanoimprint lithography masks using optical film coatings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018125169A1 (en) * 2016-12-29 2018-07-05 Intel Corporation Device, system and method to provide high aspect ratio oligomer structures
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11631580B2 (en) 2017-03-15 2023-04-18 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11081337B2 (en) * 2017-03-15 2021-08-03 Versum Materials U.S., LLC Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11193206B2 (en) * 2017-03-15 2021-12-07 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US10351953B2 (en) * 2017-03-16 2019-07-16 Lam Research Corporation Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11961991B2 (en) 2017-06-20 2024-04-16 Coreshell Technologies, Incorporated Solution-phase deposition of thin films on solid-state electrolytes
KR102501600B1 (ko) 2017-06-20 2023-02-17 코어쉘 테크놀로지스 인코포레이티드 배터리 전극들의 표면 상에의 박막들의 액상 퇴적을 위한 방법들, 시스템들, 및 조성물들
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR20200091491A (ko) * 2017-12-20 2020-07-30 램 리써치 코포레이션 합금 원자 층 증착에서 전구체들의 균질 혼합을 위한 시스템들 및 방법들
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
DE102018121897A1 (de) 2018-09-07 2020-03-12 Infineon Technologies Ag Halbleitervorrichtung mit einem silizium und stickstoff enthaltenden bereich und herstellungsverfahren
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
CN115210907A (zh) * 2019-11-13 2022-10-18 核壳科技公司 用于可再充电的电池组中的热失控减轻的溶液沉积的电极涂层
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002027063A2 (en) * 2000-09-28 2002-04-04 President And Fellows Of Harward College Vapor deposition of oxides, silicates and phosphates
WO2002079211A1 (en) * 2001-03-30 2002-10-10 Advanced Technology Materials, Inc. Metalloamide and aminosilane precursors for cvd formation of dielectric thin films
JP2003008005A (ja) * 2001-06-21 2003-01-10 Matsushita Electric Ind Co Ltd 高誘電率絶縁膜を有する半導体装置
WO2003019643A1 (fr) * 2001-08-23 2003-03-06 Nec Corporation Dispositif semi-conducteur comportant un film isolant presentant une permittivite elevee et son procede de production

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3911176A (en) * 1974-01-02 1975-10-07 Rca Corp Method for vapor-phase growth of thin films of lithium niobate
JPS60159185A (ja) * 1984-01-31 1985-08-20 Permelec Electrode Ltd 電極の製造方法
US4772346A (en) * 1986-02-14 1988-09-20 International Business Machines Corporation Method of bonding inorganic particulate material
US5185317A (en) * 1988-02-19 1993-02-09 Northwestern University Method of forming superconducting Tl-Ba-Ca-Cu-O films
US5130172A (en) * 1988-10-21 1992-07-14 The Regents Of The University Of California Low temperature organometallic deposition of metals
US5688565A (en) * 1988-12-27 1997-11-18 Symetrix Corporation Misted deposition method of fabricating layered superlattice materials
US5051278A (en) * 1989-07-10 1991-09-24 Eastman Kodak Company Method of forming metal fluoride films by the decomposition of metallo-organic compounds in the presence of a fluorinating agent
US5271957A (en) * 1992-06-18 1993-12-21 Eastman Kodak Company Chemical vapor deposition of niobium and tantalum oxide films
KR0139876B1 (ko) * 1993-09-14 1998-08-17 사토 후미오 금속산화막의 형성방법
DE19528746C1 (de) * 1995-08-04 1996-10-31 Siemens Ag Verfahren zum Erzeugen einer Siliziumdioxidschicht auf Oberflächenabschnitten einer Struktur
US5843516A (en) * 1996-09-16 1998-12-01 Symetrix Corporation Liquid source formation of thin films using hexamethyl-disilazane
US5789027A (en) * 1996-11-12 1998-08-04 University Of Massachusetts Method of chemically depositing material onto a substrate
US5876503A (en) * 1996-11-27 1999-03-02 Advanced Technology Materials, Inc. Multiple vaporizer reagent supply system for chemical vapor deposition utilizing dissimilar precursor compositions
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5879209A (en) * 1997-08-13 1999-03-09 Brunswick Corporation Automatic trim control system for jet propelled watercraft
US6277436B1 (en) * 1997-11-26 2001-08-21 Advanced Technology Materials, Inc. Liquid delivery MOCVD process for deposition of high frequency dielectric materials
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
KR20010032764A (ko) * 1997-12-02 2001-04-25 베리 아이클스 아이오도사일렌 전구체로부터 형성된 실리콘계 필름과 그제조방법
US6214729B1 (en) * 1998-09-01 2001-04-10 Micron Technology, Inc. Metal complexes with chelating C-, N-donor ligands for forming metal-containing films
US6099903A (en) * 1999-05-19 2000-08-08 Research Foundation Of State University Of New York MOCVD processes using precursors based on organometalloid ligands
US6238734B1 (en) * 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6399208B1 (en) 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6537613B1 (en) 2000-04-10 2003-03-25 Air Products And Chemicals, Inc. Process for metal metalloid oxides and nitrides with compositional gradients
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
KR100467366B1 (ko) * 2000-06-30 2005-01-24 주식회사 하이닉스반도체 원자층 증착법을 이용한 지르코늄산화막 형성방법
EP1184365A3 (en) * 2000-08-26 2003-08-06 Samsung Electronics Co., Ltd. Novel group IV metal precursors and chemical vapor deposition method using thereof
KR100693781B1 (ko) 2000-10-25 2007-03-12 주식회사 하이닉스반도체 단원자층 증착법을 이용한 실리케이트 형성 방법
KR100384558B1 (ko) * 2001-02-22 2003-05-22 삼성전자주식회사 반도체 장치의 유전체층 형성방법 및 이를 이용한캐패시터 형성방법
US20020187664A1 (en) * 2001-06-06 2002-12-12 Murphy James V. Socket assembly for integrated circuit packages
US6642131B2 (en) * 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
US6669990B2 (en) * 2001-06-25 2003-12-30 Samsung Electronics Co., Ltd. Atomic layer deposition method using a novel group IV metal precursor
KR20030018134A (ko) * 2001-08-27 2003-03-06 한국전자통신연구원 조성과 도핑 농도의 제어를 위한 반도체 소자의 절연막형성 방법
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6552209B1 (en) * 2002-06-24 2003-04-22 Air Products And Chemicals, Inc. Preparation of metal imino/amino complexes for metal oxide and metal nitride thin films
EP1535321A4 (en) 2002-08-18 2009-05-27 Asml Us Inc LOW TEMPERATURE DEPOSITION OF OXIDES AND SILICON OXYNITRIDES
TW200408015A (en) * 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
TW200408323A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high k metal oxides
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002027063A2 (en) * 2000-09-28 2002-04-04 President And Fellows Of Harward College Vapor deposition of oxides, silicates and phosphates
WO2002079211A1 (en) * 2001-03-30 2002-10-10 Advanced Technology Materials, Inc. Metalloamide and aminosilane precursors for cvd formation of dielectric thin films
JP2003008005A (ja) * 2001-06-21 2003-01-10 Matsushita Electric Ind Co Ltd 高誘電率絶縁膜を有する半導体装置
WO2003019643A1 (fr) * 2001-08-23 2003-03-06 Nec Corporation Dispositif semi-conducteur comportant un film isolant presentant une permittivite elevee et son procede de production

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008075179A (ja) * 2006-09-19 2008-04-03 Asm Japan Kk Uv照射チャンバーをクリーニングする方法
JP2011166160A (ja) * 2011-03-22 2011-08-25 Tokyo Electron Ltd 積層膜の形成方法
US10209613B2 (en) 2013-03-12 2019-02-19 Applied Materials, Inc. System and method for manufacturing planarized extreme ultraviolet lithography blank
US10788744B2 (en) 2013-03-12 2020-09-29 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
KR20150129781A (ko) * 2013-03-14 2015-11-20 어플라이드 머티어리얼스, 인코포레이티드 기상 증착에 의해 증착되는 포토레지스트, 및 이를 위한 제조 및 리소그래피 시스템들
JP2016517633A (ja) * 2013-03-14 2016-06-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 蒸着で堆積されたフォトレジスト、及びそのための製造及びリソグラフィシステム
KR102207228B1 (ko) * 2013-03-14 2021-01-25 어플라이드 머티어리얼스, 인코포레이티드 기상 증착에 의해 증착되는 포토레지스트, 및 이를 위한 제조 및 리소그래피 시스템들

Also Published As

Publication number Publication date
EP1616042A2 (en) 2006-01-18
US20050233156A1 (en) 2005-10-20
WO2004105083A2 (en) 2004-12-02
WO2004105083A3 (en) 2005-02-17
US7470470B2 (en) 2008-12-30
KR20060003895A (ko) 2006-01-11
US20050064207A1 (en) 2005-03-24
TW200506093A (en) 2005-02-16

Similar Documents

Publication Publication Date Title
JP2007514293A (ja) 多成分誘電体膜を形成するためのシステム及び方法
US20060110930A1 (en) Direct liquid injection system and method for forming multi-component dielectric films
US20050255243A1 (en) System and method for forming multi-component dielectric films
JP2008502805A (ja) 多成分誘電体膜を形成するためのシステム及び方法
US7723245B2 (en) Method for manufacturing semiconductor device, and substrate processing apparatus
US7482286B2 (en) Method for forming dielectric or metallic films
US6818517B1 (en) Methods of depositing two or more layers on a substrate in situ
US7531467B2 (en) Manufacturing method of semiconductor device and substrate processing apparatus
TWI263695B (en) Atomic layer deposition of oxide film
JP5307513B2 (ja) Ald法又はcvd法による金属含有膜の調製
US20050153571A1 (en) Nitridation of high-k dielectric films
WO2007019449A1 (en) In-situ atomic layer deposition
JP2009246365A (ja) 原子層堆積(ald)法及び化学気相成長(cvd)法を用いた高誘電率膜のその場ハイブリッド堆積
WO2004010469A2 (en) Atomic layer deposition of multi-metallic precursors
EP1535320A2 (en) Atomic layer deposition of high k metal silicates
TW200422424A (en) Low temperature deposition of silicon oxides and oxynitrides
WO2005096362A1 (ja) 金属シリケート膜の成膜方法および装置、並びに半導体装置の製造方法
KR20050020759A (ko) 다중-금속성 전구체의 원자층 증착
TW202328158A (zh) 用於沉積含鉍氧化物薄膜的均配位鉍前驅物

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070418

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100415

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100419

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100927