JP2016517633A - 蒸着で堆積されたフォトレジスト、及びそのための製造及びリソグラフィシステム - Google Patents

蒸着で堆積されたフォトレジスト、及びそのための製造及びリソグラフィシステム Download PDF

Info

Publication number
JP2016517633A
JP2016517633A JP2016502257A JP2016502257A JP2016517633A JP 2016517633 A JP2016517633 A JP 2016517633A JP 2016502257 A JP2016502257 A JP 2016502257A JP 2016502257 A JP2016502257 A JP 2016502257A JP 2016517633 A JP2016517633 A JP 2016517633A
Authority
JP
Japan
Prior art keywords
photoresist
semiconductor wafer
deposition
deposited
deposited photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016502257A
Other languages
English (en)
Other versions
JP2016517633A5 (ja
JP6964979B2 (ja
Inventor
ティモシー マイケルソン
ティモシー マイケルソン
ティモシー ダブリュー ウェイドマン
ティモシー ダブリュー ウェイドマン
バリー リー チン
バリー リー チン
マジード エー フォウド
マジード エー フォウド
ポール ディートン
ポール ディートン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2016517633A publication Critical patent/JP2016517633A/ja
Publication of JP2016517633A5 publication Critical patent/JP2016517633A5/ja
Application granted granted Critical
Publication of JP6964979B2 publication Critical patent/JP6964979B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation

Abstract

フォトレジスト蒸着システムは、加熱素子と、基板を保持するための冷却されたチャックとを有する真空チャンバであって、加熱された入口を有する真空チャンバと、冷却されたチャックによって冷却された基板上でフォトレジストを液化するために真空チャンバ内に前駆体を揮発させるための加熱された入口に接続された蒸着システムを含む。堆積システムは、半導体ウェハと、半導体ウェハ上の蒸着されたフォトレジストを含む半導体ウェハシステムを作る。半導体ウェハシステムを必要とする極端紫外線リソグラフィシステムは、極端紫外線光源と、極端紫外線光源からの光を導くためのミラーと、極端紫外線光源からの光を結像するためのレチクルステージと、蒸着されたフォトレジストを有する半導体ウェハを配置するためのウェハステージを含む。

Description

関連出願への相互参照
本出願は、2013年3月14日に出願された米国仮特許出願第61/786,042号の利益を主張し、その内容は参照により本明細書内に援用される。
本出願は、2013年12月23日に出願された同時出願の米国特許出願第14/139,307号に関連し、その内容は参照により本明細書内に援用される。
本出願は、2013年12月23日に出願された同時出願の米国特許出願第14/139,371号に関連し、その内容は参照により本明細書内に援用される。
本出願は、2013年12月23日に出願された同時出願の米国特許出願第14/139,415号に関連し、その内容は参照により本明細書内に援用される。
本出願は、2013年12月23日に出願された同時出願の米国特許出願第14/139,507号に関連し、その内容は参照により本明細書内に援用される。
本発明は、概して、極端紫外線リソグラフィフォトレジストに関する。
背景
極端紫外線リソグラフィ(EUV、軟X線投影リソグラフィとしても知られている)は、0.13ミクロン及びそれよりも小さい最小フィーチャーサイズの半導体デバイスの製造のための遠紫外線リソグラフィに代わる候補である。
しかしながら、概して5〜40ナノメートルの波長範囲内にある極端紫外光は、実質的に全ての材料に強く吸収される。そのため、極端紫外線システムは、光の透過によってではなく、反射によって動作する。一連のミラー、又はレンズ要素、及び反射要素、又は非反射吸収体マスクパターンでコーティングされたマスクブランクの使用を介して、パターニングされた化学光は、レジストがコーティングされた半導体ウェハ上へ反射される。
パターンをフォトレジストに転写するために利用されるフォトリソグラフィ技術の進歩は、ますますより小さいパターンが転写されることを可能にしてきた。これは、より小さな集積回路構造が、集積回路内に形成できることを意味する。その結果、より多くの素子を半導体集積回路上の所定の領域内に配置することができ、これは集積回路のコストを大幅に減少させる能力をもたらし、同時に、集積回路が内部に使用される電子デバイス内の機能性を増加させる。
半導体集積回路の製造において、フォトレジストは、半導体ウェハ上に堆積される。放射線及び他の処理への曝露では、フォトレジストの曝露された領域は、フォトレジストのそれらの領域を除去がより困難あるいはより容易のいずれかとする変化を受ける。その結果、その後の処理は、パターニングされた除去がより困難な材料を残して、除去がより容易な材料を選択的に除去することができる。その後、このパターンは、例えば、半導体ウェハの下にある層内に所望の構造をエッチングするためのマスクとして残存するフォトレジストを使用することにより、フォトレジストを介して半導体ウェハに転写することができる。
ますますより細かいマスクを作る必要性のために、EUVフォトレジストに置かれている多くの要望がある。現在、EUVフォトレジスト向けに解像度、ライン端部粗さ、及び感度(RLS)の要件を同時に満たしている既知の材料はない。RLSの問題に加えて、EUVフォトレジストに対して従来のスピンオン技術は、多くの領域において不十分である。
第一に、スピンオンフォトレジストは、環境問題を引き起こす可能性のあるキャスティング溶媒を使用してコーティングされる。
第二に、スピンオン堆積技術は、良好な厚さ制御を提供せず、特に、膜厚が減少するのにつれて、鉛直Z方向の厚さのばらつきを有する。
第三に、スピンオンフォトレジスト溶液の成分は、表面エネルギー効果に起因して、界面に偏析する傾向がある。
こうして、EUVリソグラフィがより必要となるにつれて、これらの問題に対して答えを見つけることがますます重要である。また、コストを削減し、効率とパフォーマンスを向上させ、競争圧力を満たすための必要性は、これらの問題に対する答えを見つけるための重要な必要性に更に大きな緊急性を追加する。
これらの問題に対する解決策は、長い間求められてきたが、先行開発は、何の解決策も教示又は示唆してこなかった。したがって、これらの問題に対する解決策は、長い間、当業者には手に入らないものであった。
概要
本発明の一実施形態は、加熱素子と、基板を保持するための冷却されたチャックとを有する真空チャンバであって、加熱された入口を有する真空チャンバと、
冷却されたチャックによって冷却された基板上でフォトレジストを液化するために真空チャンバ内に前駆体を揮発させるための加熱された入口に接続された蒸着システムを含むフォトレジスト堆積システムを提供する。
本発明の一実施形態は、極端紫外線光源と、極端紫外線光源からの光を導くためのミラーと、極端紫外線マスクブランクを配置するためのレチクルステージと、蒸着されたフォトレジストでコーティングされたウェハを配置するためのウェハステージを含む極端紫外線リソグラフィシステムを提供する。
本発明の一実施形態は、極端紫外線光源と、極端紫外線光源からの光を導くためのミラーと、蒸着されたフォトレジストを用いてパターニングされた極端紫外線マスクを配置するためのレチクルステージと、ウェハを配置するためのウェハステージを含む極端紫外線リソグラフィシステムを提供する。
本発明の一実施形態は、半導体ウェハと、半導体ウェハ上の蒸着されたフォトレジストを含む半導体ウェハシステムを提供する。
本発明の特定の実施形態は、上記のものに加えて、又は上記のものの代わりに、他の工程又は要素を有する。工程又は要素は、添付の図面を参照して以下の詳細な説明を読むことにより当業者に明らかになるであろう。
本発明の一実施形態に係る蒸着システムの断面図である。 本発明の一実施形態に係る半導体ウェハの一部である。 本発明の一実施形態に係るパターニング後の図2の蒸着されたフォトレジストである。 本発明の一実施形態に係るEUVリソグラフィシステムの光学トレインである。 本発明の一実施形態に係るEUVリソグラフィシステムである。
詳細な説明
以下の実施形態は、当業者が本発明を行い、使用することを可能にするために、十分に詳細に記載されている。他の実施形態が、本開示に基づいて明らかとなり、本発明の範囲から逸脱することなく、システム、プロセス、又は機械的な変更を行うことができることを理解すべきである。
以下の説明において、多数の特定の詳細が、本発明の完全な理解を提供するために与えられる。しかしながら、本発明は、これらの特定の詳細なしに実施できることは明らかであろう。本発明を不明瞭にすることを避けるために、いくつかの周知の回路、システム構成、及びプロセスステップは、詳細には開示されない。
システムの実施形態を示す図面は、半概略であり、縮尺通りではなく、特に、寸法のいくつかは、提案説明を明確にするためのものであり、描画図内で誇張して示されている。同様に、説明を容易にするため、図面内の図は、概して、同様の方向を示すが、図面内のこの描写は、ほとんどの部分に対して任意である。一般的に、本発明は、任意の向きで動作させることができる。
同一の要素に関連して、同じ番号がすべての描画図に使用される。
解説の目的のために、本明細書で使用する用語「水平」は、ウェハの平面又は表面に対して平行な平面として定義され、その向きには関係ない。用語「垂直」は、まさに定義されたような水平に対して垂直な方向を指す。用語(例えば、「上方」、「下方」、「底部」、「最上部」、(「側壁」内のような)「側」、「より高い」、「より低い」、「上部」、「上に」、及び「下に」)は、図面内に図示されるように、水平面に対して定義される。用語「上」は、要素間の直接的な接触があることを示す。
本明細書で使用する用語「処理」は、材料又はフォトレジストの堆積、記載された構造を形成するのに必要とされる材料又はフォトレジストのパターニング、露光、現像、エッチング、洗浄、及び/又は除去を含む。
ここで図1を参照すると、本発明の一実施形態に係る蒸着システムの断面がここに図示される。蒸着システムは、堆積システム100の独立型システム又はその一部とすることができる。蒸着システム100として指定された独立型システムは、加熱された一次入口104及び1以上の加熱された二次入口(例えば、入口106)を有する真空チャンバ102を含む。蒸着システム100は、出口108を有する。
真空チャンバ102は、加熱素子110と、半導体ウェハ115、極紫外線マスクブランク、又はその他のマスクブランクを保持するための冷却チャック112とを含む。
前駆体116は、揮発され、真空チャンバ102に導入される。それらが冷却チャック112に到達すると、前駆体116は半導体ウェハ115の表面上で液化する。前駆体116の例は、金属アルコキシド又は他の揮発性金属酸化物前駆体(例えば、ハフニウムt−ブトキシド、チタンn−ブトキシド、ハフニウムホウ化水素物、及びその他)である。
前駆体は、オプションで水又は(オゾン又は過酸化物のような)他の酸化剤と反応させ、これによって金属酸化物前駆体を金属酸化物膜又は金属酸化物粒子に変換することができる。任意の金属酸化物が可能であるが、ハフニウム、ジルコニウム、スズ、チタン、鉄、モリブデンの酸化物がうまく機能する。反応の酸化剤は、金属酸化物前駆体と同時に又は連続的に導入することができる。
いくつかの実施形態では、前駆体は、それらの間の気相反応を意図的に推進させるためにチャンバに導入され、半導体ウェハ115上に堆積されるより大きな分子の形成をもたらす。第2の前駆体もまた、(同時に、あるいは他の前駆体との原子層堆積(ALD)反応のように連続的に)導入される。
この第2の前駆体は、金属酸化物の粒子又は膜と結合するリガンドである、又は金属中心の周囲に取り付けられた既存のリガンドとのリガンド置換反応を開始する。任意の金属中心が可能であるが、ハフニウム、ジルコニウム、スズ、チタン、鉄、及びモリブデンの金属中心がうまく機能する。例としては、(メタクリル酸、ギ酸、酢酸、及びその他のような)カルボン酸を含むが、他の官能基(例えば、スルホン酸、ジエン)、又は金属酸化物の粒子又は膜と複合体を形成することができる他の化学物質を含むこともできる。
ここで図2を参照すると、本発明の一実施形態に係る半導体ウェハ115の一部がここに図示される。半導体ウェハ115は、結晶シリコン(例えば、Si<100>又はSi<111>)、酸化シリコン、歪みシリコン、シリコンゲルマニウム、ドープ又は非ドープのポリシリコン、ドープ又は非ドープのシリコンウェハ、III−V族材料(例えば、GaAs、GaN、InPなど)、パターン化又は非パターン化ウェハのような材料を含むことができる基板200を有する。基板は、様々な寸法(例えば、直径200mm又は300mmのウェハ)、並びに、長方形又は正方形の枠を有することができる。基板は、基板表面を研磨、エッチング、還元、酸化、ヒドロキシル化、アニール、及び/又はベークするために前処理プロセスに曝露させることができる。
基板200は、膜処理が製造プロセスの間に実行される基板上に形成された任意の基板又は材料表面とすることができる基板表面204を有する。例えば、処理を実行することができる基板表面204は、用途に応じて、シリコン、酸化シリコン、歪みシリコン、シリコンオンインシュレータ(SOI)、炭素ドープされたシリコン酸化物、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ガリウムヒ素、ガラス、サファイア、及びその他の任意の材料(例えば、金属、金属窒化物、金属合金、及び他の導電性材料)などの材料を含む。基板表面上のバリア層、金属又は金属窒化物は、チタン、窒化チタン、窒化タングステン、タンタル及び窒化タンタル、アルミニウム、銅、又はその他の任意の導体又はデバイス製造のために有用な導電性又は非導電性バリア層を含む。
蒸着されたフォトレジスト206は、図1の蒸着装置100を用いて蒸着法により基板表面204上に堆積される。蒸着されたフォトレジスト206と基板200との組み合わせは、半導体ウェハシステム210を形成する。蒸着されたフォトレジスト206は、極端紫外線又はより微細なリソグラフィに特に有用であることが見出された。蒸着システム100は、加熱チャンバと、冷却チャックと組み合わされた加熱された化学物質供給ラインとを含む。蒸着されたフォトレジスト206は、蒸着(蒸発、分解等)、化学蒸着(前駆体反応)、原子層堆積、又はその他のスピンオン堆積以外のプロセスによって堆積させることができる。
また、同時に又は順番に、光活性化合物もまたオプションで蒸着法によってチャンバ内に導入することができる。この光活性化合物は、酸発生剤、ラジカル発生剤、又は、活性な化学物質(例えば、膜又は粒子内で溶解度の変化が誘導されるように、置換することができる、又は金属中心の周りでリガンドの置換、再配置、縮合、又は変更を触媒することができるリガンド)を生成するように再配置することができる化合物とすることができる。
ここで図3を参照すると、本発明の一実施形態に係るパターニング後の図2の蒸着されたフォトレジスト206がここに図示される。放射線(UV、DUV、EUV、電子ビーム、可視光、赤外線、イオンビーム、X線、他)への曝露時に、化学反応が、蒸着されたフォトレジスト206内(金属酸化物で、又は光活性化合物内のいずれか)で誘導される。この反応は、最終的に(直接、又は曝露後のベーク又は他の曝露後の処理の後)、溶媒中の蒸着されたフォトレジスト206の溶解性の変化、又はプラズマエッチングプロセス内で膜のエッチング速度の変化をもたらす。溶解性又はエッチング速度のこの変化は、最終的に蒸着されたフォトレジスト206をパターニングして、パターニングされた蒸着されたフォトレジスト300を提供するために使用することができる。
いくつかの実施形態では、プロセス条件は、堆積を通じて一定に保たれ、上部から底部まで組成物が均一であるフォトレジストを生じさせる。他の実施形態では、使用される堆積条件又は化学物質は、フォトレジストを堆積させながら変化させ、上部から底部まで異なるフォトレジスト組成物を生じさせる。
いくつかの実施形態では、スタックの底部におけるフォトレジストの特性は、特定の目標を達成するために調整することができる。例えば、スタックの底部の材料は、EUV光子をより吸収することができ、EUV光子は、今度は過剰な二次電子の発生につながる可能性があり、その一部は、今度はフォトレジスト内へ上へと導かれ、これによって追加の反応を触媒し、EUVフォトレジストの性能を改善する。この改善は、感度、ライン端部粗さ、汚れ又はフーチングの減少、又は他の改善点の観点から明らかにすることができる。
他の実施形態では、フォトレジストは、フォトレジスト堆積の一部として堆積されずに、代わりに別の独立したプロセスによって堆積されたという前述の所望の特性を有する基板上に堆積させることができる。
更に他の実施形態では、フォトレジストは、より従来の基板(例えば、シリコン、酸化シリコン、ゲルマニウム、窒化ケイ素、金属、金属酸化物、金属窒化物、底部反射防止コーティングを含む半導体、金属、又は誘電体)及びその他の基板上に堆積される。
いくつかの実施形態では、前駆体は、熱蒸発によって気相中に導入されるが、他の技術(例えば、真空蒸着)もまた、堆積用に使用することができる。
いくつかの実施形態では、金属原子又は粒子サイズの数に対するリガンドの数の比は、フォトレジストの特性(例えば、感光性)を制御するために制御される。
いくつかの実施形態では、光活性化合物の反応又は拡散を制限するために、追加の前駆体をフォトレジスト中で共堆積させることができる。光酸発生剤の場合には、この追加の前駆体は、塩基又は光分解性塩基とすることができる。光ラジカル発生剤の場合には、この前駆体は、ラジカルスカベンジャー等とすることができる。
いくつかの実施形態では、このプロセスは、回転チャックを使用するシステム上で実行され、これによってウェハ全域に亘って堆積厚さの均一性を向上させる。他の実施形態では、コールドトラップが用いられ、これによって未反応の前駆物質がチャンバを出る前に、未反応の前駆物質を捕捉する。
本発明の実施形態は、既存の技術よりも良好に、これらの主要な領域内の要件を満たしている可能性がある。更に、真空技術によるフォトレジストの堆積は、いくつかの領域において従来のスピンオン技術に対して利点を有する。まず、システムから溶媒を除去し、これは環境的な利点である。次に、真空蒸着技術は、ユーザがコンフォーマルから平坦化まで堆積を調整することを可能にし、一方、スピンオン膜は、平坦化のみの傾向がある。また、真空蒸着技術は、厚さを介して膜組成のより多くの制御をユーザに与え、ユーザがZ方向に均一の膜を作ることを可能にする。一方、スピンオンプロセスの間、フォトレジスト溶液の成分は、表面エネルギー効果に起因して、界面に偏析する傾向がある。真空蒸着技術はまた、堆積条件を変化させることによって、膜を堆積させながら、厚さを介して制御された組成の変化を作ることを可能にする。この制御は、従来の技術では不可能である。
本発明の実施形態に対して予想される主な用途は、パターニングされた放射線技術(可視、ディープUV、EUV、電子線、又はX線リソグラフィ)のいずれかのタイプを用いたマイクロエレクトロニクス及びフォトニックデバイス用のパターニングの全領域内である。記載した成膜方法の特有の側面のために、用途は、平坦な平面基板のみには限定されない。
ここで、図4を参照すると、本発明の一実施形態に係るEUVリソグラフィシステム用の光学トレイン400がここに図示される。光学トレイン400は、EUV光を生成し、それをコレクタ404内に収集するための極端紫外線光源(例えば、プラズマ源)を有する。コレクタ404は、照明システム406の一部であるフィールドファセットミラー408に光を提供し、照明システム406は、瞳ファセットミラー410を更に含む。照明システム406は、レチクル412にEUV光を提供し、レチクル412は、投影光学系414を介してパターニングされた半導体ウェハ416上にEUV光を反射する。
ここで、図5を参照すると、本発明の一実施形態に係るEUVリソグラフィシステム500がここに図示される。EUVリソグラフィシステム500は、光学トレイン400の付属物として、EUV光源領域502、レチクルステージ504、及びウェハステージ506を含む。
得られた方法、プロセス、装置、デバイス、製品、及び/又はシステムは、直接的で、費用対効果が高く、複雑でなく、汎用性が高く、正確で、敏感で、かつ効果的であり、準備のできた、効率的で、経済的な、製造、応用、及び使用に対して公知の構成要素を適合させることによって実施することができる。図2の蒸着されたフォトレジスト206は、EUVリソグラフィシステム500の重要なコンポーネントであり、EUVリソグラフィシステム500は、蒸着されたフォトレジストなしにその機能を実行することはできない。
本発明のもう一つの重要な側面は、コストを削減し、システムを簡素化し、パフォーマンスを向上させるという歴史的傾向を有益に支持し、提供することである。
本発明のこれらの及び他の有益な側面は、その結果、技術の状態を少なくとも次のレベルに更に進める。
本発明は、特定の最良の態様に関連して説明されてきたが、多くの代替、修正、及び変形が前述の説明に照らして当業者には明らかとなるであろうことが理解されるべきである。したがって、付属の特許請求の範囲内に入るそのような代替、修正、及び変形のすべてを包含することが意図される。本明細書に記載又は添付の図面に図示されるすべての事項は、例示的かつ非限定的な意味で解釈されるべきである。

Claims (20)

  1. 加熱素子と、基板を保持するための冷却されたチャックとを有する真空チャンバであって、加熱された入口を有する真空チャンバと、
    冷却されたチャックによって冷却された基板上で蒸着されたフォトレジストを液化するために真空チャンバ内に前駆体を揮発させるための加熱された入口に接続された蒸着システムを含むフォトレジスト堆積システム。
  2. 蒸着システムは、蒸着の間、堆積組成及び条件を変化させる、請求項1記載のシステム。
  3. 蒸着システムは、蒸着されるフォトレジストを堆積させるための揮発性金属酸化物の前駆体を提供する、請求項1記載のシステム。
  4. 蒸着システムは、蒸着されるフォトレジストを堆積させるための金属アルコキシドの前駆体を提供する、請求項1記載のシステム。
  5. 真空チャンバは、金属酸化物前駆体を金属酸化物フォトレジストに変換するために、前駆体を酸化剤と反応させるためのものである、請求項1記載のシステム。
  6. 真空チャンバは、基板上に分子の堆積をもたらす気相反応を推進させるためのものである、請求項1記載のシステム。
  7. 蒸着システムは、金属中心の周りでリガンド置換反応を開始するリガンド前駆体を反応させるためのものである、請求項1記載のシステム。
  8. 蒸着システムは、真空チャンバ内に形成された金属酸化物と結合するためのリガンド前駆体を提供するためのものである、請求項1記載のシステム。
  9. 極端紫外線光源と、
    極端紫外線光源からの光を導くためのミラーと、
    極端紫外線光源からの光を極端紫外線マスク上に結像するためのレチクルステージと、
    レチクルステージから光を受けるための蒸着されたフォトレジストでコーティングされた半導体ウェハを配置するためのウェハステージを含む極端紫外線リソグラフィシステム。
  10. 蒸着されたフォトレジストは、揮発性金属酸化物である、請求項9記載のシステム。
  11. 蒸着されたフォトレジストは、半導体ウェハ上の分子の堆積物である、請求項9記載のシステム。
  12. 蒸着されたフォトレジストは、リガンドを含有する、請求項9記載のシステム。
  13. 蒸着されたフォトレジストは、金属中心の周りのリガンドである、請求項9記載のシステム。
  14. 蒸着されたフォトレジストは、金属酸化物と結合したリガンドである、請求項9記載のシステム。
  15. 半導体ウェハと、
    半導体ウェハ上の蒸着されたフォトレジストを含む半導体ウェハシステム。
  16. 蒸着されたフォトレジストは、揮発性金属酸化物である、請求項15記載の半導体ウェハシステム。
  17. 蒸着されたフォトレジストは、半導体ウェハ上の分子の堆積物である、請求項15記載の半導体ウェハのシステム。
  18. 蒸着されたフォトレジストは、リガンドを含む、請求項15記載の半導体ウェハシステム。
  19. 蒸着されたフォトレジストは、金属中心の周りのリガンドである、請求項15記載の半導体ウェハのシステム。
  20. 蒸着されたフォトレジストは、金属酸化物と結合したリガンドである、請求項15記載の半導体ウェハシステム。
JP2016502257A 2013-03-14 2014-03-13 蒸着で堆積されたフォトレジスト、及びそのための製造及びリソグラフィシステム Active JP6964979B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361786042P 2013-03-14 2013-03-14
US61/786,042 2013-03-14
US14/139,457 US9632411B2 (en) 2013-03-14 2013-12-23 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US14/139,457 2013-12-23
PCT/US2014/026826 WO2014152023A1 (en) 2013-03-14 2014-03-13 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor

Publications (3)

Publication Number Publication Date
JP2016517633A true JP2016517633A (ja) 2016-06-16
JP2016517633A5 JP2016517633A5 (ja) 2021-09-24
JP6964979B2 JP6964979B2 (ja) 2021-11-10

Family

ID=51525876

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016502257A Active JP6964979B2 (ja) 2013-03-14 2014-03-13 蒸着で堆積されたフォトレジスト、及びそのための製造及びリソグラフィシステム

Country Status (7)

Country Link
US (2) US9632411B2 (ja)
JP (1) JP6964979B2 (ja)
KR (1) KR102207228B1 (ja)
CN (1) CN105074572B (ja)
SG (2) SG11201506506PA (ja)
TW (1) TWI614364B (ja)
WO (1) WO2014152023A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111837074A (zh) * 2018-03-02 2020-10-27 Asml荷兰有限公司 形成图案化的材料层的方法和设备
JP2021523403A (ja) * 2018-05-11 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation Euvパターン化可能ハードマスクを形成するための方法
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
JP6495025B2 (ja) * 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
US9581890B2 (en) * 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
KR102204773B1 (ko) * 2015-10-13 2021-01-18 인프리아 코포레이션 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US10018920B2 (en) 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
US10998191B2 (en) 2018-11-13 2021-05-04 International Business Machines Corporation Graded hardmask interlayer for enhanced extreme ultraviolet performance
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
US20220005687A1 (en) * 2020-07-02 2022-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method
US20240027916A1 (en) * 2022-07-21 2024-01-25 Applied Materials, Inc. Fingerprinting and process control of photosensitive film deposition chamber

Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57143826A (en) * 1981-02-28 1982-09-06 Dainippon Printing Co Ltd Formation of resist pattern on gapped semiconductor substrate
JPS57157522A (en) * 1981-03-23 1982-09-29 Nec Corp Depositing method for resist film for photo-etching technique
JPS60109227A (ja) * 1983-11-18 1985-06-14 Hitachi Ltd 薄膜のパタ−ン形成方法
JPH07106224A (ja) * 1993-10-01 1995-04-21 Hitachi Ltd パターン形成方法
JPH07106234A (ja) * 1993-10-07 1995-04-21 Mitsubishi Electric Corp レジストパターンの形成方法
JPH07235481A (ja) * 1994-02-25 1995-09-05 Toray Ind Inc 薄膜の製造方法
JPH07254556A (ja) * 1993-09-03 1995-10-03 Hitachi Ltd パターン形成方法および形成装置
JPH1097069A (ja) * 1996-05-24 1998-04-14 Mitsubishi Materiais Corp 感光性薄膜形成用前駆体溶液とこれを用いた薄膜パターン形成方法
JP2000331939A (ja) * 1999-05-17 2000-11-30 Applied Materials Inc 成膜装置
JP2003523614A (ja) * 1999-01-14 2003-08-05 ステアーグ アール ティ ピー システムズ インコーポレイテッド 支持体上にフォトレジストを付着させる方法
JP2004172272A (ja) * 2002-11-19 2004-06-17 Nikon Corp Euv露光装置及びeuv露光方法
US20060274474A1 (en) * 2005-06-01 2006-12-07 Lee Chung J Substrate Holder
US20060275547A1 (en) * 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
JP2007514293A (ja) * 2003-04-21 2007-05-31 アヴィザ テクノロジー インコーポレイテッド 多成分誘電体膜を形成するためのシステム及び方法
JP2008135090A (ja) * 2006-11-27 2008-06-12 Canon Inc レジスト、これを用いた光ディスク用スタンパの製造方法、及び光ディスク用スタンパ
JP2009245505A (ja) * 2008-03-31 2009-10-22 Pioneer Electronic Corp 光学情報記録媒体製造用の原盤
JP2010122305A (ja) * 2008-11-17 2010-06-03 Sony Corp 露光装置及び露光方法並びに微細加工装置及び微細加工方法
JP2011053566A (ja) * 2009-09-03 2011-03-17 Sony Corp 現像液、エッチング液および微細加工体の製造方法
JP2012248664A (ja) * 2011-05-27 2012-12-13 Hitachi Cable Ltd 気相成長装置及び気相成長方法、並びにエピタキシャルウェハ
WO2013007442A1 (en) * 2011-07-08 2013-01-17 Asml Netherlands B.V. Lithographic patterning process and resists to use therein

Family Cites Families (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4842675A (en) 1986-07-07 1989-06-27 Texas Instruments Incorporated Integrated circuit isolation process
GB8921666D0 (en) 1989-09-26 1989-11-08 Peatgrange Ivd Limited Ion vapour deposition apparatus and method
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
US5645646A (en) 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6096100A (en) 1997-12-12 2000-08-01 Texas Instruments Incorporated Method for processing wafers and cleaning wafer-handling implements
US6010916A (en) 1997-12-05 2000-01-04 Advanced Micro Devices, Inc. Method for improving semiconductor wafer processing
US6140216A (en) 1998-04-14 2000-10-31 Advanced Micro Devices, Inc. Post etch silicide formation using dielectric etchback after global planarization
US6142641A (en) 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6749814B1 (en) 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
TW561279B (en) 1999-07-02 2003-11-11 Asml Netherlands Bv Reflector for reflecting radiation in a desired wavelength range, lithographic projection apparatus containing the same and method for their preparation
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US20020015855A1 (en) 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
JP2002090978A (ja) 2000-09-12 2002-03-27 Hoya Corp 位相シフトマスクブランクの製造方法、及び位相シフトマスクブランクの製造装置
US6673524B2 (en) 2000-11-17 2004-01-06 Kouros Ghandehari Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
JP3939132B2 (ja) 2000-11-22 2007-07-04 Hoya株式会社 多層膜付き基板、露光用反射型マスクブランク、露光用反射型マスクおよびその製造方法、並びに半導体の製造方法
US6656643B2 (en) 2001-02-20 2003-12-02 Chartered Semiconductor Manufacturing Ltd. Method of extreme ultraviolet mask engineering
US6840616B2 (en) 2001-03-29 2005-01-11 Scott Summers Air folder adjuster apparatus and method
US20030008148A1 (en) 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6734117B2 (en) 2002-03-12 2004-05-11 Nikon Corporation Periodic clamping method and apparatus to reduce thermal stress in a wafer
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US6806006B2 (en) 2002-07-15 2004-10-19 International Business Machines Corporation Integrated cooling substrate for extreme ultraviolet reticle
US20040018733A1 (en) 2002-07-23 2004-01-29 Hak Baek Jae Method of planarizing a surface of a semiconductor wafer
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US20040091618A1 (en) * 2002-11-08 2004-05-13 Park Han-Su Photoresist depositon apparatus and method for forming photoresist film using the same
CN1501442A (zh) * 2002-11-12 2004-06-02 阿泰技术有限公社 光刻胶沉积设备以及使用该设备形成光刻胶薄膜的方法
DE10302342A1 (de) 2003-01-17 2004-08-05 Schott Glas Substrat für die EUV-Mikrolithographie und Herstellverfahren hierfür
US6908713B2 (en) 2003-02-05 2005-06-21 Intel Corporation EUV mask blank defect mitigation
US7026076B2 (en) 2003-03-03 2006-04-11 Freescale Semiconductor, Inc. Method of patterning photoresist on a wafer using a reflective mask with a multi-layer ARC
DE10317792A1 (de) 2003-04-16 2004-11-11 Schott Glas Maskenrohling zur Verwendung in der EUV-Lithographie und Verfahren zu dessen Herstellung
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
WO2004106986A2 (en) 2003-05-29 2004-12-09 Applied Materials Inc. Maskless fabrication of waveguide mirrors
KR100520222B1 (ko) 2003-06-23 2005-10-11 삼성전자주식회사 반도체 소자에서의 듀얼 게이트 산화막 구조 및 그에 따른형성방법
US7326502B2 (en) 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
KR100680405B1 (ko) 2003-11-19 2007-02-08 주식회사 하이닉스반도체 Euv용 포토레지스트 조성물 및 이를 이용한포토레지스트 패턴 형성 방법
US7005227B2 (en) 2004-01-21 2006-02-28 Intel Corporation One component EUV photoresist
US7193228B2 (en) 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
TW200535138A (en) * 2004-03-15 2005-11-01 Ube Industries Metal complex comprising β-diketonato as ligand
JP4542807B2 (ja) * 2004-03-31 2010-09-15 東京エレクトロン株式会社 成膜方法および成膜装置、ならびにゲート絶縁膜の形成方法
US7198872B2 (en) 2004-05-25 2007-04-03 International Business Machines Corporation Light scattering EUVL mask
US20060024589A1 (en) 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
EP1791168A1 (en) 2004-09-17 2007-05-30 Asahi Glass Company, Limited Reflective mask blank for euv lithography and method for producing same
US8293430B2 (en) 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7336416B2 (en) 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US7432201B2 (en) 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
DE102005052000B3 (de) 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
US7678511B2 (en) 2006-01-12 2010-03-16 Asahi Glass Company, Limited Reflective-type mask blank for EUV lithography
US7712333B2 (en) 2006-03-29 2010-05-11 Asahi Glass Company, Limited Method for smoothing a surface of a glass substrate for a reflective mask blank used in EUV lithography
US7736820B2 (en) 2006-05-05 2010-06-15 Asml Netherlands B.V. Anti-reflection coating for an EUV mask
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
JP4801550B2 (ja) * 2006-09-26 2011-10-26 富士通株式会社 レジスト組成物、レジストパターンの形成方法、及び半導体装置の製造方法
US7892719B2 (en) 2006-11-03 2011-02-22 Intel Corporation Photonic crystal EUV photoresists
EP2087510A4 (en) 2006-11-27 2010-05-05 Nikon Corp OPTICAL ELEMENT, ASSOCIATED EXPOSURE UNIT AND METHOD FOR PRODUCING THE DEVICE
US20090278233A1 (en) 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
WO2009031232A1 (ja) 2007-09-07 2009-03-12 Canon Anelva Corporation スパッタリング方法および装置
JP5039495B2 (ja) * 2007-10-04 2012-10-03 ルネサスエレクトロニクス株式会社 マスクブランク検査方法、反射型露光マスクの製造方法、反射型露光方法および半導体集積回路の製造方法
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US20090176367A1 (en) 2008-01-08 2009-07-09 Heidi Baks OPTIMIZED SiCN CAPPING LAYER
KR20090103847A (ko) * 2008-03-28 2009-10-01 캐논 가부시끼가이샤 노광 장치 및 디바이스 제조 방법
WO2009123172A1 (ja) 2008-03-31 2009-10-08 Hoya株式会社 フォトマスクブランク、フォトマスクおよびフォトマスクブランクの製造方法
US8105954B2 (en) * 2008-10-20 2012-01-31 aiwan Semiconductor Manufacturing Company, Ltd. System and method of vapor deposition
JP2010170011A (ja) 2009-01-26 2010-08-05 Hoya Corp フォトマスクの修正方法
CN102019266A (zh) 2009-09-17 2011-04-20 中芯国际集成电路制造(上海)有限公司 涂层材料的涂布方法
CN102782531B (zh) 2009-12-15 2014-12-17 卡尔蔡司Smt有限责任公司 用于极紫外光刻的反射光学元件
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
EP4328647A2 (en) * 2010-04-02 2024-02-28 Nikon Corporation Light source apparatus, optical apparatus, exposure apparatus, device manufacturing method, illuminating method, exposure method, and method for manufacturing optical apparatus
WO2012009371A2 (en) 2010-07-12 2012-01-19 Applied Materials, Inc. Compartmentalized chamber
KR20130111524A (ko) 2010-07-27 2013-10-10 아사히 가라스 가부시키가이샤 Euv 리소그래피용 반사층 형성 기판, 및 euv 리소그래피용 반사형 마스크 블랭크
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR20120042169A (ko) 2010-10-22 2012-05-03 삼성모바일디스플레이주식회사 액정 표시 장치 및 그 제조 방법
JP6013720B2 (ja) 2010-11-22 2016-10-25 芝浦メカトロニクス株式会社 反射型マスクの製造方法、および反射型マスクの製造装置
US8475977B2 (en) 2010-12-02 2013-07-02 Intermolecular, Inc Protective cap for extreme ultraviolet lithography masks
US8562794B2 (en) 2010-12-14 2013-10-22 Asahi Glass Company, Limited Process for producing reflective mask blank for EUV lithography and process for producing substrate with functional film for the mask blank
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
CN103069056B (zh) 2011-03-14 2015-11-25 富士电机株式会社 氧化物基材及其制备方法
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
KR101840846B1 (ko) 2012-02-15 2018-03-21 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
US9051649B2 (en) * 2013-03-11 2015-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film deposition apparatus and method with improved heater cooling efficiency
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor

Patent Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57143826A (en) * 1981-02-28 1982-09-06 Dainippon Printing Co Ltd Formation of resist pattern on gapped semiconductor substrate
JPS57157522A (en) * 1981-03-23 1982-09-29 Nec Corp Depositing method for resist film for photo-etching technique
JPS60109227A (ja) * 1983-11-18 1985-06-14 Hitachi Ltd 薄膜のパタ−ン形成方法
JPH07254556A (ja) * 1993-09-03 1995-10-03 Hitachi Ltd パターン形成方法および形成装置
JPH07106224A (ja) * 1993-10-01 1995-04-21 Hitachi Ltd パターン形成方法
JPH07106234A (ja) * 1993-10-07 1995-04-21 Mitsubishi Electric Corp レジストパターンの形成方法
JPH07235481A (ja) * 1994-02-25 1995-09-05 Toray Ind Inc 薄膜の製造方法
JPH1097069A (ja) * 1996-05-24 1998-04-14 Mitsubishi Materiais Corp 感光性薄膜形成用前駆体溶液とこれを用いた薄膜パターン形成方法
JP2003523614A (ja) * 1999-01-14 2003-08-05 ステアーグ アール ティ ピー システムズ インコーポレイテッド 支持体上にフォトレジストを付着させる方法
JP2000331939A (ja) * 1999-05-17 2000-11-30 Applied Materials Inc 成膜装置
JP2004172272A (ja) * 2002-11-19 2004-06-17 Nikon Corp Euv露光装置及びeuv露光方法
JP2007514293A (ja) * 2003-04-21 2007-05-31 アヴィザ テクノロジー インコーポレイテッド 多成分誘電体膜を形成するためのシステム及び方法
US20060274474A1 (en) * 2005-06-01 2006-12-07 Lee Chung J Substrate Holder
US20060275547A1 (en) * 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
JP2008135090A (ja) * 2006-11-27 2008-06-12 Canon Inc レジスト、これを用いた光ディスク用スタンパの製造方法、及び光ディスク用スタンパ
JP2009245505A (ja) * 2008-03-31 2009-10-22 Pioneer Electronic Corp 光学情報記録媒体製造用の原盤
JP2010122305A (ja) * 2008-11-17 2010-06-03 Sony Corp 露光装置及び露光方法並びに微細加工装置及び微細加工方法
JP2011053566A (ja) * 2009-09-03 2011-03-17 Sony Corp 現像液、エッチング液および微細加工体の製造方法
JP2012248664A (ja) * 2011-05-27 2012-12-13 Hitachi Cable Ltd 気相成長装置及び気相成長方法、並びにエピタキシャルウェハ
WO2013007442A1 (en) * 2011-07-08 2013-01-17 Asml Netherlands B.V. Lithographic patterning process and resists to use therein

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111837074A (zh) * 2018-03-02 2020-10-27 Asml荷兰有限公司 形成图案化的材料层的方法和设备
JP2021515264A (ja) * 2018-03-02 2021-06-17 エーエスエムエル ネザーランズ ビー.ブイ. 材料のパターン付き層を形成するための方法及び装置
JP7250803B2 (ja) 2018-03-02 2023-04-03 エーエスエムエル ネザーランズ ビー.ブイ. 材料のパターン付き層を形成するための方法及び装置
CN111837074B (zh) * 2018-03-02 2023-11-03 Asml荷兰有限公司 形成图案化的材料层的方法和设备
JP2021523403A (ja) * 2018-05-11 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation Euvパターン化可能ハードマスクを形成するための方法
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography

Also Published As

Publication number Publication date
CN105074572B (zh) 2019-11-26
KR102207228B1 (ko) 2021-01-25
CN105074572A (zh) 2015-11-18
TW201439361A (zh) 2014-10-16
US20140268082A1 (en) 2014-09-18
KR20150129781A (ko) 2015-11-20
US9632411B2 (en) 2017-04-25
US20170068174A1 (en) 2017-03-09
US9829805B2 (en) 2017-11-28
SG10201707388RA (en) 2017-10-30
JP6964979B2 (ja) 2021-11-10
WO2014152023A1 (en) 2014-09-25
TWI614364B (zh) 2018-02-11
SG11201506506PA (en) 2015-09-29

Similar Documents

Publication Publication Date Title
US9829805B2 (en) Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
KR102571376B1 (ko) 포토레지스트층 표면 처리, 캡층, 및 포토레지스트 패턴을 형성하는 방법
US11822237B2 (en) Method of manufacturing a semiconductor device
TWI773415B (zh) 製造半導體裝置的方法
US20230326754A1 (en) Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
KR102499934B1 (ko) 반도체 디바이스를 제조하는 방법
KR20210122675A (ko) 반도체 디바이스 제조 방법
TW202144913A (zh) 半導體裝置之製造方法
CN113109995A (zh) 制造半导体器件的方法
US20240045336A1 (en) Method for forming resist pattern by using extreme ultraviolet light and method for forming pattern by using the resist pattern as mask
TWI790594B (zh) 半導體裝置的製造方法及防止含金屬光阻釋氣的方法
US20230418156A1 (en) Method of manufacturing a semiconductor device and semiconductor device manufacturing tool
US20230375920A1 (en) Method of manufacturing a semiconductor device
CN117008432A (zh) 制造半导体器件的方法和半导体器件制造工具
TW202416053A (zh) 半導體裝置的製造方法及半導體裝置製造工具
KR20230170786A (ko) 저 노출 선량의 euv 방사선을 위한 고 양자 효율 건식 레지스트
CN117761968A (zh) 光阻剂溶液、使用光阻剂溶液的方法及改良光阻剂效能的方法
WO2023114724A1 (en) Development of hybrid organotin oxide photoresists

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170310

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180131

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180213

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180511

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180713

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180803

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181211

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190311

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190513

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190610

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20191002

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20191226

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200228

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200313

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20200331

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200728

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20200728

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20200805

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20200811

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20200911

C211 Notice of termination of reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C211

Effective date: 20200915

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20201208

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20201215

C13 Notice of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: C13

Effective date: 20210209

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210510

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210608

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210709

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20210804

C302 Record of communication

Free format text: JAPANESE INTERMEDIATE CODE: C302

Effective date: 20210805

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20210810

C23 Notice of termination of proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C23

Effective date: 20210824

C03 Trial/appeal decision taken

Free format text: JAPANESE INTERMEDIATE CODE: C03

Effective date: 20211005

C30A Notification sent

Free format text: JAPANESE INTERMEDIATE CODE: C3012

Effective date: 20211005

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211020

R150 Certificate of patent or registration of utility model

Ref document number: 6964979

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150