CN105074572A - 以气相沉积来沉积的光刻胶及此光刻胶的制造与光刻系统 - Google Patents

以气相沉积来沉积的光刻胶及此光刻胶的制造与光刻系统 Download PDF

Info

Publication number
CN105074572A
CN105074572A CN201480010168.9A CN201480010168A CN105074572A CN 105074572 A CN105074572 A CN 105074572A CN 201480010168 A CN201480010168 A CN 201480010168A CN 105074572 A CN105074572 A CN 105074572A
Authority
CN
China
Prior art keywords
photoresist
deposition
semiconductor wafer
vapour deposition
extreme ultraviolet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201480010168.9A
Other languages
English (en)
Other versions
CN105074572B (zh
Inventor
蒂莫西·米凯尔松
蒂莫西·W·韦德曼
巴里·李·金
马耶德·A·福阿德
保罗·迪顿
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN105074572A publication Critical patent/CN105074572A/zh
Application granted granted Critical
Publication of CN105074572B publication Critical patent/CN105074572B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation

Abstract

一种光刻胶气相沉积系统包含:真空腔室,真空腔室具有加热元件以及用于固持基板的冷却卡盘,真空腔室具有加热的入口;以及气相沉积系统,气相沉积系统连接至加热的入口,以使前驱物挥发进入真空腔室中,以在由冷却卡盘冷却的基板之上凝结光刻胶。沉积系统产生半导体晶片系统,半导体晶片系统包含:半导体晶片,以及在半导体晶片之上的气相沉积的光刻胶。需要半导体晶片系统的极紫外线光刻系统包含:极紫外线光源;镜,镜用于引导来自极紫外线光源的光;中间掩模台,中间掩模台用于使来自极紫外线光源的光成像;以及晶片台,晶片台用于放置具有气相沉积的光刻胶的半导体晶片。

Description

以气相沉积来沉积的光刻胶及此光刻胶的制造与光刻系统
相关申请的交叉引用
本申请要求享有于2013年3月14日申请的美国临时专利申请序列号16/786,042的优先权,通过引用该申请而将该申请的标的并入本文。
本申请包含与2013年12月23日同时申请的美国专利申请序列号14/139,307有关的标的,且通过引用该申请而将该申请的标的并入本文。
本申请包含与2013年12月23日同时申请的美国专利申请序列号14/139,371有关的标的,且通过引用该申请而将该申请的标的并入本文。
本申请包含与2013年12月23日同时申请的美国专利申请序列号14/139,415有关的标的,且通过引用该申请而将该申请的标的并入本文。
本申请包含与2013年12月23日同时申请的美国专利申请序列号14/139,507有关的标的,且通过引用该申请而将该申请的标的并入本文。
技术领域
本发明大体涉及极紫外线光刻光刻胶。
背景技术
极紫外线光刻(EUV,也被称为软x射线投影光刻(softx-rayprojectionlithography))是用以取代用于制造0.13微米及更小的最小特征尺寸的半导体器件的深紫外线光刻(deepultravioletlithography)的竞争者。
然而,极紫外线光通常在7至40纳米的波长范围内,极紫外线光在实质上所有材料中被强烈吸收。因此,极紫外线系统通过光的反射来工作,而非通过光的透射来工作。通过使用一系列的镜或透镜元件,以及反射元件或涂布有非反射性吸收剂掩模图案的掩模坯料(maskblank),图案化光化光(actiniclight)被反射至涂布光刻胶的半导体晶片上。
用于将图案转印至光刻胶的光刻技术的进步,已经使得能够转印日益变小的图案。这意味着能够在集成电路中形成更小的集成电路特征。因此,可以在半导体集成电路上的给定区域中放置更多的元件,因此能够大大降低集成电路的成本,同时提高使用这些集成电路的电子器件的功能。
在制造半导体集成电路时,在半导体晶片上沉积光刻胶。在暴露至辐射及其他处理时,光刻胶的被暴露区域经受改变,使得光刻胶的那些区域更困难或更容易移除。因此,后续的处理可以选择性移除较易移除的材料,而留下被图案化与较难移除的材料。接着此图案能通过该光刻胶转印至半导体晶片,例如,通过使用剩余的光刻胶作为掩模来将需要的特征蚀刻至半导体晶片的下层中。
因为需要形成越来越精细的掩模,因此对于EUV光刻胶也产生许多要求。目前,没有已知的材料是同时满足对EUV光刻胶的分辨率、线边缘粗糙度以及灵敏性(RLS)的要求。除了RLS的问题外,用于EUV光刻胶的传统旋涂式技术在许多方面都具有缺陷。
第一,旋涂式光刻胶利用铸溶剂进行涂布,这会造成环境问题。
第二,旋涂式沉积技术并不提供良好的厚度控制,并在垂直的Z方向中具有厚度变化,特别是在膜厚度减小的时候。
第三,旋涂式光刻胶溶液的组分可能由于表面能量效应而在界面处有分离的倾向。
因此,当变得更加需要EUV光刻技术时,找到这些问题的答案越来越关键。此外,对降低成本、提高效率与性能并满足竞争压力的需求对找到这些问题的答案的关键必要性增加了更大的紧迫性。
尽管已长期寻找这些问题的解决方案,但先前的发展并未教导或建议任何的解决方案,因此本领域技术人员是长期困惑于这些问题的解决方案。
发明内容
本发明的实施方式提供光刻胶沉积系统,所述系统包含:真空腔室,所述真空腔室具有加热元件和用于固持基板的冷却卡盘,所述真空腔室具有加热的入口;以及气相沉积系统,所述气相沉积系统连接至所述加热的入口,以使前驱物挥发进入所述真空腔室中,以在由所述冷却卡盘冷却的基板之上凝结光刻胶。
本发明的实施方式提供极紫外线光刻系统,所述系统包含:极紫外线光源;镜,所述镜体用于引导来自所述极紫外线光源的光;中间掩模(reticle)台,所述中间掩模台用于放置极紫外线掩模坯料;以及晶片台,所述晶片台用于放置涂布有气相沉积的光刻胶的晶片。
本发明的实施方式提供极紫外线光刻系统,所述系统包含:极紫外线光源;镜,所述镜用于引导来自所述极紫外线光源的光;中间掩模台,所述中间掩模台用于放置已经利用气相沉积的光刻胶图案化的极紫外线掩模;以及晶片台,所述晶片台用于放置晶片。
本发明的实施方式提供半导体晶片系统,所述系统包含:半导体晶片;以及所述半导体晶片之上的以气相沉积而沉积的光刻胶。
本发明的某些实施方式除了以上提及的那些步骤或元件以外,还具有其他步骤或元件,或者本发明的某些实施方式具有代替以上提及的那些步骤或元件的其他步骤或元件。对于本领域技术人员而言,通过参考附图阅读以下具体实施方式时,这些步骤或元件将变得显而易见。
附图说明
图1为根据本发明实施方式的气相沉积系统的截面。
图2为根据本发明实施方式的半导体晶片的部分。
图3为根据本发明实施方式的图2的气相沉积光刻胶于图案化之后的图。
图4为根据本发明实施方式的用于极紫外线光刻系统的光学元件组。
图5图示根据本发明实施方式的极紫外线光刻系统。
具体实施方式
为使本领域技术人员能够制作并使用本发明,将充分详细地描述以下的实施方式。应了解,基于本发明揭示内容,其他实施方式将是显而易见的,且在不背离本发明的范围的情况下可以做出系统、工艺或机械的改变。
在以下描述中,将给出许多特定细节,以提供对本发明的完整了解。然而,将明显的是,可以在没有这些特定细节的情况下,来实施本发明。为了避免对本发明造成干扰,一些熟知的电路、系统配置以及工艺步骤则不详细揭示。
图示出系统的实施方式的这些附图是半图解的且未按比例绘制,特别地,为了表达的清晰,在这些附图中一些尺寸被夸大图示。类似地,虽然为了容易描述,在这些附图中的视图通常以相似方向图示,但这些附图中的此描绘方式绝大部分为随意选择。一般而言,本发明可于任何方向中操作。
将在所有附图中使用相同编号来表示相同的元件。
为了解释的目的,在本文使用的术语“水平”定义为平行于晶片的表面或平面的平面,而不管其方向为何。术语“垂直”是指垂直于刚刚定义的水平的方向。诸如“以上”、“以下”、“底部”、“顶部”、“侧部”(如在“侧壁”中)、“较高”、“较低”、“上方”、“于…之上”以及“下方”之类的术语则如附图中所示的那样相对于水平平面而定义。术语“在…上”意指各元件之间有直接接触。
在本文使用的术语“处理”包括在形成描述的结构时所需的材料或光刻胶的沉积、材料或光刻胶的图案化、曝光、显影、蚀刻、清洁和/或移除。
现在参考图1,图1图示根据本发明实施方式的气相沉积系统的截面。气相沉积系统可为独立系统或沉积系统100的部分。特指为气相沉积系统100的独立系统包含真空腔室102,真空腔室102具有加热的主要入口104和一或多个加热的次级入口,比如入口106。气相沉积系统100具有出口108。
真空腔室102包含加热元件110和冷却卡盘112,冷却卡盘112用于固持半导体晶片115、极紫外线掩模坯料或其他掩模坯料。
前驱物116挥发并被引入至真空腔室102。当挥发的前驱物116抵达冷却卡盘112时,前驱物116于半导体晶片115的表面上凝结。前驱物116的实例为金属烷氧化物(metalalkoxides)或其他挥发性金属氧化物前驱物,比如叔丁醇铪、正丁醇钛(titaniumn-butoxide)、硼氢化铪及其他物质。
前驱物可选择性地与水或另一种氧化剂反应,所述氧化剂如臭氧或过氧化物,以将金属氧化物前驱物转变成金属氧化物膜或金属氧化物颗粒。虽然可以使用任何的金属氧化物,但是铪、锆、锡、钛、铁和钼的氧化物工作良好。反应氧化剂可与金属氧化物前驱物同时引入,或与金属氧化物前驱物依序引入。
在一些实施方式中,将前驱物引入至该腔室中,以刻意驱动前驱物之间的气相反应,造成沉积于半导体晶片115上的较大分子的形成。也可引入第二前驱物(如在原子层沉积(ALD)反应中第二前驱物与其他前驱物被同时引入或依序引入)。
此第二前驱物为配体,所述配体与金属氧化物颗粒或膜键合,或引发与附在金属中心周围的现有配体的配体取代反应。虽然可以使用任意金属中心,但铪、锆、锡、钛、铁和钼的金属中心工作良好。实例包含如异丁烯酸、甲酸、乙酸及其他物质的羧酸,但也可以包含诸如磺酸、二烯烃或其他化学品之类的其他官能度物质(functionality),所述其他官能度物质能与金属氧化物颗粒或膜形成复合物。
现在参考图2,图2图示根据本发明实施方式的半导体晶片115的一部分。半导体晶片115具有基板200,其可包含诸如晶体硅(例如,Si<100>或Si<111>)、氧化硅、应变硅、硅锗、掺杂或未掺杂的多晶硅、掺杂或未掺杂的硅晶片之类的材料、诸如砷化镓、氮化镓、磷化铟等等的III-V族材料,并可为图案化或未图案化的晶片。基板可以具有各种尺寸,比如200毫米或300毫米直径的晶片,以及可为矩形或方形格板(pane)。基板可暴露至预处理工艺,以研磨、蚀刻、还原、氧化、羟化、退火和/或烘烤基板表面。
基板200具有基板表面204,基板表面204可为在制造工艺期间执行膜处理之后在基板上形成的任何基板或材料表面。例如,根据应用,能执行处理的基板表面204包含诸如硅、氧化硅、应变硅、绝缘体上硅(SOI)、碳掺杂氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石之类的材料,以及诸如金属、金属氮化物、金属合金及其他导电材料之类的任何其他材料。基板表面上的阻隔层、金属或金属氮化物包含钛、氮化钛、氮化钨、钽和氮化钽、铝、铜或用于器件制造的任何其它导体或导电或不导电阻挡层。
气相沉积的光刻胶206通过气相沉积技术、使用图1的气相沉积系统被沉积于基板表面204上。气相沉积的光刻胶206与基板200的结合形成半导体晶片系统210。已经发现气相沉积的光刻胶206在极紫外线或较小的光刻中特别有用。气相沉积系统100包含加热的腔室及与冷却卡盘结合的加热的化学输送管线。气相沉积的光刻胶206可通过气相沉积(汽化、分解等等)、化学气相沉积(前驱物反应)、原子层沉积或不同于旋涂式沉积的其他工艺进行沉积。
此外,同样也可以利用气相沉积技术选择性地将光活性化合物同时或依序地引入至腔室中。此光活性化合物可为酸产生剂、自由基产生剂或能重新排列以产生诸如配体之类的活性化学品的化合物,所述活性化学品能取代金属中心周围的配体,或对金属中心周围的配体的取代、重新排列、凝结或改变进行催化,以致在膜或颗粒中引起溶解度的改变。
现在参考图3,图3图示根据本发明实施方式的图2的气相沉积的光刻胶206于图案化之后的图。一旦曝光至辐射(紫外线、深紫外线、极紫外线、电子束、可见光、红外线、离子束、x射线及其他辐射)之后,在气相沉积的光刻胶206中引起化学反应,所述化学反应是在金属氧化物处或者是在光活性化合物中。此反应最终(要么直接地要么在曝光后烘烤或其他曝光后处理之后)造成气相沉积的光刻胶206在溶剂中的溶解度的改变,或造成在等离子体蚀刻工艺中膜蚀刻速率的改变。此溶解度或蚀刻速率的改变能用于最后将气相沉积的光刻胶206图案化,以提供图案化的气相沉积的光刻胶300。
在一些实施方式中,工艺条件在整个沉积过程保持固定,造成从上到下成分均匀的光刻胶。在其他实施方式中,沉积条件或使用的化学品在沉积光刻胶时是变化的,从而形成从上到下不同的光刻胶成分。
在一些实施方式中,在堆叠物底部处的光刻胶的性质可被调制以达成特定目标。例如,在堆叠物底部处的材料可具有更高的极紫外线光子吸收性,这接着能导致额外的二次电子的产生,这些二次电子中的一些电子接着朝上引导至光刻胶中,以催化额外的反应并改善极紫外线光刻胶的性能。此改善能表现在灵敏性、线边缘粗糙度、浮渣或基部(footing)的减少或其他改善。
在其他实施方式中,光刻胶能沉积于基板上而具有之前所提及的所需性质,所述光刻胶并非作为光刻胶沉积的部分而被沉积,而是通过单独的、独立的工艺而被沉积。
在又其他实施方式中,光刻胶被沉积于更传统的基板上,比如半导体、金属或包含硅、氧化硅、锗、氮化硅、金属、金属氧化物、金属氮化物、底部抗反射涂层的电介质以及其他基板。
在一些实施方式中,前驱物通过热蒸发而成为气相,但也可以使用诸如真空喷淋之类的其他技术进行沉积。
在一些实施方式中,配体数量与金属原子数量的比例或颗粒尺寸被控制,以控制光刻胶的性质,比如光敏性。
在一些实施方式中,额外的前驱物可共同沉积于光刻胶中,以限制光活性化合物的反应或扩散。在光酸产生剂的情况中,此额外的前驱物可为碱基或光可分解碱基。在光自由基产生剂的情况中,此前驱物可为自由基清除剂,余此类推。
在一些实施方式中,此工艺于系统上执行,所述系统使用转动卡盘,以改善遍及晶片的沉积厚度均匀性。在其他实施方式中,使用冷凝阱(coldtrap)来在未反应的前驱物材料离开腔室之前捕捉该未反应的前驱物材料。
本发明的实施方式具有比现有技术更佳地满足在这些关键领域中的需求的潜能。此外,利用真空技术进行的光刻胶沉积在许多方面相对于传统的旋涂式技术更具优势。首先,利用真空技术进行的光刻胶沉积消除了来自系统的溶剂,这具有环境优势。接着,真空沉积技术允许使用者从共形到平整化调整沉积,而旋涂式膜倾向于只具有平整化特性。另外,真空沉积技术给使用者对整个厚度的膜成分的更多控制,并允许使用者于Z方向中建立均匀膜,而在旋涂工艺期间,光刻胶溶液的组分可能因为表面能量效应而倾向于在界面处分离。真空沉积技术还允许通过改变沉积条件而在膜被沉积时,于厚度中产生受控制的成分改变。利用传统技术则无法进行此控制。
所预期的本发明实施方式的主要应用是在使用任何类型的图案化辐射技术(可见光、深紫外线、极紫外线、电子束或x射线光刻)来对微电子与光子器件进行图案化的整个领域之中。因为所描述的沉积方法的独特方面,因此本发明实施方式的应用不会受限于只使用于平坦、平整的基板。
现在参考图4,图4图示根据本发明实施方式的用于极紫外线光刻系统的光学元件组400。光学元件组400具有极紫外线光源402,比如等离子体源,以用于产生极紫外线光,并将极紫外线光收集于收集器404中。收集器404将光提供至场小面镜(fieldfacetmirror)408,场小面镜408为照射器系统406的一部分,照射器系统406进一步包含光瞳小面镜(pupilfacetmirror)410。照射器系统406将极紫外线光提供至中间掩模412,中间掩模412反射极紫外线光,并且极紫外线光通过投影光学元件414到达图案化半导体晶片416上。
现在参考图5,图5图示根据本发明实施方式的极紫外线光刻系统500。极紫外线光刻系统500包含附属于光学元件组400的晶片台506、极紫外线光源区域502和中间掩模台504。
所得方法、工艺、设备、器件、产品和/或系统是直接的、具备成本效益的、不复杂的、高度通用的、精确的、灵敏的及有效的,并可以通过调整已知的部件来实施,以进行就绪、高效且经济的制造、应用及使用。图2的气相沉积光刻胶206为极紫外线光刻系统500的关键部件,且极紫外线光刻系统500无法在没有气相沉积的光刻胶的情况下执行其功能。
本发明的另一重要方面为本发明有价值地对降低成本、简化系统及提高效能的历史趋势进行支援且提供服务。
本发明的这些与其他的有价值方面因此使当前技术前进至至少下一阶段。
虽然已经结合特定最佳模式描述本发明,但应了解根据先前描述的内容,对于本领域技术人员而言,各种替代、修改与变化都将是显而易见的。据此,旨在涵盖所有落于所包括的权利要求的范围内的所有此种替代、修改与变化。所有在此阐述及在附图中图示的事项将被解释成说明性的而非限制性的。

Claims (20)

1.一种光刻胶沉积系统,所述系统包括:
真空腔室,所述真空腔室具有加热元件和用于固持基板的冷却卡盘,所述真空腔室具有加热的入口;以及
气相沉积系统,所述气相沉积系统连接至所述加热的入口,以使前驱物挥发进入所述真空腔室中,以在由所述冷却卡盘冷却的所述基板之上凝结气相沉积的光刻胶。
2.如权利要求1所述的系统,其中所述气相沉积系统于气相沉积期间改变沉积成分与条件。
3.如权利要求1所述的系统,其中所述气相沉积系统提供挥发性金属氧化物的前驱物,以用于沉积所述气相沉积的光刻胶。
4.如权利要求1所述的系统,其中所述气相沉积系统提供金属烷氧化物的前驱物,以用于沉积所述气相沉积的光刻胶。
5.如权利要求1所述的系统,其中所述真空腔室用于使所述前驱物与氧化剂反应,以将金属氧化物前驱物转变成为金属氧化物光刻胶。
6.如权利要求1所述的系统,其中所述真空腔室用于驱动气相反应,从而在所述基板之上导致分子沉积物。
7.如权利要求1所述的系统,其中所述气相沉积系统用于使配体前驱物反应,所述反应引发在金属中心周围的配体取代反应。
8.如权利要求1所述的系统,其中所述气相沉积系统用于提供配体前驱物,以与在所述真空腔室中形成的金属氧化物键合。
9.一种极紫外线光刻系统,所述系统包括:
极紫外线光源;
镜,所述镜用于引导来自所述极紫外线光源的光;
中间掩模台,所述中间掩模台用于使来自所述极紫外线光源的光成像在极紫外线掩模上;以及
晶片台,所述晶片台用于放置涂布气相沉积的光刻胶的半导体晶片,以用于接收来自所述中间掩模台的光。
10.如权利要求9所述的系统,其中所述气相沉积的光刻胶为挥发性金属氧化物。
11.如权利要求9所述的系统,其中所述气相沉积的光刻胶为在所述半导体晶片之上的分子沉积物。
12.如权利要求9所述的系统,其中所述气相沉积的光刻胶包含配体。
13.如权利要求9所述的系统,其中所述气相沉积的光刻胶为在金属中心周围的配体。
14.如权利要求9所述的系统,其中所述气相沉积的光刻胶为与金属氧化物键合的配体。
15.一种半导体晶片系统,所述系统包括:
半导体晶片;以及
在所述半导体晶片之上的气相沉积的光刻胶。
16.如权利要求15所述的半导体晶片系统,其中所述气相沉积的光刻胶为挥发性金属氧化物。
17.如权利要求15所述的半导体晶片系统,其中所述气相沉积的光刻胶为在所述半导体晶片之上的分子沉积物。
18.如权利要求15所述的半导体晶片系统,其中所述气相沉积的光刻胶包含配体。
19.如权利要求15所述的半导体晶片系统,其中所述气相沉积的光刻胶为在金属中心周围的配体。
20.如权利要求15所述的半导体晶片系统,其中所述气相沉积的光刻胶为与金属氧化物键合的配体。
CN201480010168.9A 2013-03-14 2014-03-13 以气相沉积来沉积的光刻胶及此光刻胶的制造与光刻系统 Active CN105074572B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361786042P 2013-03-14 2013-03-14
US61/786,042 2013-03-14
US14/139,457 US9632411B2 (en) 2013-03-14 2013-12-23 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US14/139,457 2013-12-23
PCT/US2014/026826 WO2014152023A1 (en) 2013-03-14 2014-03-13 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor

Publications (2)

Publication Number Publication Date
CN105074572A true CN105074572A (zh) 2015-11-18
CN105074572B CN105074572B (zh) 2019-11-26

Family

ID=51525876

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480010168.9A Active CN105074572B (zh) 2013-03-14 2014-03-13 以气相沉积来沉积的光刻胶及此光刻胶的制造与光刻系统

Country Status (7)

Country Link
US (2) US9632411B2 (zh)
JP (1) JP6964979B2 (zh)
KR (1) KR102207228B1 (zh)
CN (1) CN105074572B (zh)
SG (2) SG11201506506PA (zh)
TW (1) TWI614364B (zh)
WO (1) WO2014152023A1 (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
JP6495025B2 (ja) * 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
US9581890B2 (en) * 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
KR102204773B1 (ko) * 2015-10-13 2021-01-18 인프리아 코포레이션 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US10018920B2 (en) 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
WO2019166318A1 (en) * 2018-03-02 2019-09-06 Asml Netherlands B.V. Method and apparatus for forming a patterned layer of material
WO2019217749A1 (en) * 2018-05-11 2019-11-14 Lam Research Corporation Methods for making euv patternable hard masks
US10998191B2 (en) 2018-11-13 2021-05-04 International Business Machines Corporation Graded hardmask interlayer for enhanced extreme ultraviolet performance
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
US20220005687A1 (en) * 2020-07-02 2022-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method
US20240027916A1 (en) * 2022-07-21 2024-01-25 Applied Materials, Inc. Fingerprinting and process control of photosensitive film deposition chamber

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1501442A (zh) * 2002-11-12 2004-06-02 阿泰技术有限公社 光刻胶沉积设备以及使用该设备形成光刻胶薄膜的方法
US20060275547A1 (en) * 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
CN100437937C (zh) * 2004-03-31 2008-11-26 东京毅力科创株式会社 金属硅酸盐膜的成膜方法及其装置、半导体装置的制造方法
US20090091752A1 (en) * 2007-10-04 2009-04-09 Renesas Technology Corp. Apparatus and a method for inspection of a mask blank, a method for manufacturing a reflective exposure mask, a method for reflective exposure, and a method for manufacturing semiconductor integrated circuits

Family Cites Families (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57143826A (en) * 1981-02-28 1982-09-06 Dainippon Printing Co Ltd Formation of resist pattern on gapped semiconductor substrate
JPS57157522A (en) * 1981-03-23 1982-09-29 Nec Corp Depositing method for resist film for photo-etching technique
JPS60109227A (ja) * 1983-11-18 1985-06-14 Hitachi Ltd 薄膜のパタ−ン形成方法
US4842675A (en) 1986-07-07 1989-06-27 Texas Instruments Incorporated Integrated circuit isolation process
GB8921666D0 (en) 1989-09-26 1989-11-08 Peatgrange Ivd Limited Ion vapour deposition apparatus and method
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
JPH07254556A (ja) * 1993-09-03 1995-10-03 Hitachi Ltd パターン形成方法および形成装置
JPH07106224A (ja) * 1993-10-01 1995-04-21 Hitachi Ltd パターン形成方法
JPH07106234A (ja) * 1993-10-07 1995-04-21 Mitsubishi Electric Corp レジストパターンの形成方法
JPH07235481A (ja) * 1994-02-25 1995-09-05 Toray Ind Inc 薄膜の製造方法
US5645646A (en) 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
US5792592A (en) * 1996-05-24 1998-08-11 Symetrix Corporation Photosensitive liquid precursor solutions and use thereof in making thin films
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6096100A (en) 1997-12-12 2000-08-01 Texas Instruments Incorporated Method for processing wafers and cleaning wafer-handling implements
US6010916A (en) 1997-12-05 2000-01-04 Advanced Micro Devices, Inc. Method for improving semiconductor wafer processing
US6140216A (en) 1998-04-14 2000-10-31 Advanced Micro Devices, Inc. Post etch silicide formation using dielectric etchback after global planarization
US6142641A (en) 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6174651B1 (en) * 1999-01-14 2001-01-16 Steag Rtp Systems, Inc. Method for depositing atomized materials onto a substrate utilizing light exposure for heating
US6749814B1 (en) 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
JP2000331939A (ja) * 1999-05-17 2000-11-30 Applied Materials Inc 成膜装置
TW561279B (en) 1999-07-02 2003-11-11 Asml Netherlands Bv Reflector for reflecting radiation in a desired wavelength range, lithographic projection apparatus containing the same and method for their preparation
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US20020015855A1 (en) 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
JP2002090978A (ja) 2000-09-12 2002-03-27 Hoya Corp 位相シフトマスクブランクの製造方法、及び位相シフトマスクブランクの製造装置
US6673524B2 (en) 2000-11-17 2004-01-06 Kouros Ghandehari Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
JP3939132B2 (ja) 2000-11-22 2007-07-04 Hoya株式会社 多層膜付き基板、露光用反射型マスクブランク、露光用反射型マスクおよびその製造方法、並びに半導体の製造方法
US6656643B2 (en) 2001-02-20 2003-12-02 Chartered Semiconductor Manufacturing Ltd. Method of extreme ultraviolet mask engineering
US6840616B2 (en) 2001-03-29 2005-01-11 Scott Summers Air folder adjuster apparatus and method
US20030008148A1 (en) 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6734117B2 (en) 2002-03-12 2004-05-11 Nikon Corporation Periodic clamping method and apparatus to reduce thermal stress in a wafer
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US6806006B2 (en) 2002-07-15 2004-10-19 International Business Machines Corporation Integrated cooling substrate for extreme ultraviolet reticle
US20040018733A1 (en) 2002-07-23 2004-01-29 Hak Baek Jae Method of planarizing a surface of a semiconductor wafer
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US20040091618A1 (en) * 2002-11-08 2004-05-13 Park Han-Su Photoresist depositon apparatus and method for forming photoresist film using the same
JP2004172272A (ja) * 2002-11-19 2004-06-17 Nikon Corp Euv露光装置及びeuv露光方法
DE10302342A1 (de) 2003-01-17 2004-08-05 Schott Glas Substrat für die EUV-Mikrolithographie und Herstellverfahren hierfür
US6908713B2 (en) 2003-02-05 2005-06-21 Intel Corporation EUV mask blank defect mitigation
US7026076B2 (en) 2003-03-03 2006-04-11 Freescale Semiconductor, Inc. Method of patterning photoresist on a wafer using a reflective mask with a multi-layer ARC
DE10317792A1 (de) 2003-04-16 2004-11-11 Schott Glas Maskenrohling zur Verwendung in der EUV-Lithographie und Verfahren zu dessen Herstellung
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
WO2004106986A2 (en) 2003-05-29 2004-12-09 Applied Materials Inc. Maskless fabrication of waveguide mirrors
KR100520222B1 (ko) 2003-06-23 2005-10-11 삼성전자주식회사 반도체 소자에서의 듀얼 게이트 산화막 구조 및 그에 따른형성방법
US7326502B2 (en) 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
KR100680405B1 (ko) 2003-11-19 2007-02-08 주식회사 하이닉스반도체 Euv용 포토레지스트 조성물 및 이를 이용한포토레지스트 패턴 형성 방법
US7005227B2 (en) 2004-01-21 2006-02-28 Intel Corporation One component EUV photoresist
US7193228B2 (en) 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
TW200535138A (en) * 2004-03-15 2005-11-01 Ube Industries Metal complex comprising β-diketonato as ligand
US7198872B2 (en) 2004-05-25 2007-04-03 International Business Machines Corporation Light scattering EUVL mask
US20060024589A1 (en) 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
EP1791168A1 (en) 2004-09-17 2007-05-30 Asahi Glass Company, Limited Reflective mask blank for euv lithography and method for producing same
US8293430B2 (en) 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7336416B2 (en) 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US20060274474A1 (en) * 2005-06-01 2006-12-07 Lee Chung J Substrate Holder
US7432201B2 (en) 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
DE102005052000B3 (de) 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
US7678511B2 (en) 2006-01-12 2010-03-16 Asahi Glass Company, Limited Reflective-type mask blank for EUV lithography
US7712333B2 (en) 2006-03-29 2010-05-11 Asahi Glass Company, Limited Method for smoothing a surface of a glass substrate for a reflective mask blank used in EUV lithography
US7736820B2 (en) 2006-05-05 2010-06-15 Asml Netherlands B.V. Anti-reflection coating for an EUV mask
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
JP4801550B2 (ja) * 2006-09-26 2011-10-26 富士通株式会社 レジスト組成物、レジストパターンの形成方法、及び半導体装置の製造方法
US7892719B2 (en) 2006-11-03 2011-02-22 Intel Corporation Photonic crystal EUV photoresists
JP2008135090A (ja) * 2006-11-27 2008-06-12 Canon Inc レジスト、これを用いた光ディスク用スタンパの製造方法、及び光ディスク用スタンパ
EP2087510A4 (en) 2006-11-27 2010-05-05 Nikon Corp OPTICAL ELEMENT, ASSOCIATED EXPOSURE UNIT AND METHOD FOR PRODUCING THE DEVICE
US20090278233A1 (en) 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
WO2009031232A1 (ja) 2007-09-07 2009-03-12 Canon Anelva Corporation スパッタリング方法および装置
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US20090176367A1 (en) 2008-01-08 2009-07-09 Heidi Baks OPTIMIZED SiCN CAPPING LAYER
KR20090103847A (ko) * 2008-03-28 2009-10-01 캐논 가부시끼가이샤 노광 장치 및 디바이스 제조 방법
WO2009123172A1 (ja) 2008-03-31 2009-10-08 Hoya株式会社 フォトマスクブランク、フォトマスクおよびフォトマスクブランクの製造方法
JP2009245505A (ja) * 2008-03-31 2009-10-22 Pioneer Electronic Corp 光学情報記録媒体製造用の原盤
US8105954B2 (en) * 2008-10-20 2012-01-31 aiwan Semiconductor Manufacturing Company, Ltd. System and method of vapor deposition
JP2010122305A (ja) * 2008-11-17 2010-06-03 Sony Corp 露光装置及び露光方法並びに微細加工装置及び微細加工方法
JP2010170011A (ja) 2009-01-26 2010-08-05 Hoya Corp フォトマスクの修正方法
JP2011053566A (ja) * 2009-09-03 2011-03-17 Sony Corp 現像液、エッチング液および微細加工体の製造方法
CN102019266A (zh) 2009-09-17 2011-04-20 中芯国际集成电路制造(上海)有限公司 涂层材料的涂布方法
CN102782531B (zh) 2009-12-15 2014-12-17 卡尔蔡司Smt有限责任公司 用于极紫外光刻的反射光学元件
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
EP4328647A2 (en) * 2010-04-02 2024-02-28 Nikon Corporation Light source apparatus, optical apparatus, exposure apparatus, device manufacturing method, illuminating method, exposure method, and method for manufacturing optical apparatus
WO2012009371A2 (en) 2010-07-12 2012-01-19 Applied Materials, Inc. Compartmentalized chamber
KR20130111524A (ko) 2010-07-27 2013-10-10 아사히 가라스 가부시키가이샤 Euv 리소그래피용 반사층 형성 기판, 및 euv 리소그래피용 반사형 마스크 블랭크
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR20120042169A (ko) 2010-10-22 2012-05-03 삼성모바일디스플레이주식회사 액정 표시 장치 및 그 제조 방법
JP6013720B2 (ja) 2010-11-22 2016-10-25 芝浦メカトロニクス株式会社 反射型マスクの製造方法、および反射型マスクの製造装置
US8475977B2 (en) 2010-12-02 2013-07-02 Intermolecular, Inc Protective cap for extreme ultraviolet lithography masks
US8562794B2 (en) 2010-12-14 2013-10-22 Asahi Glass Company, Limited Process for producing reflective mask blank for EUV lithography and process for producing substrate with functional film for the mask blank
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
CN103069056B (zh) 2011-03-14 2015-11-25 富士电机株式会社 氧化物基材及其制备方法
JP2012248664A (ja) * 2011-05-27 2012-12-13 Hitachi Cable Ltd 気相成長装置及び気相成長方法、並びにエピタキシャルウェハ
CN103649830B (zh) * 2011-07-08 2018-06-01 Asml荷兰有限公司 光刻图案化过程和其中使用的抗蚀剂
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
KR101840846B1 (ko) 2012-02-15 2018-03-21 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
US9051649B2 (en) * 2013-03-11 2015-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film deposition apparatus and method with improved heater cooling efficiency
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1501442A (zh) * 2002-11-12 2004-06-02 阿泰技术有限公社 光刻胶沉积设备以及使用该设备形成光刻胶薄膜的方法
CN100437937C (zh) * 2004-03-31 2008-11-26 东京毅力科创株式会社 金属硅酸盐膜的成膜方法及其装置、半导体装置的制造方法
US20060275547A1 (en) * 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
US20090091752A1 (en) * 2007-10-04 2009-04-09 Renesas Technology Corp. Apparatus and a method for inspection of a mask blank, a method for manufacturing a reflective exposure mask, a method for reflective exposure, and a method for manufacturing semiconductor integrated circuits

Also Published As

Publication number Publication date
CN105074572B (zh) 2019-11-26
KR102207228B1 (ko) 2021-01-25
TW201439361A (zh) 2014-10-16
US20140268082A1 (en) 2014-09-18
KR20150129781A (ko) 2015-11-20
US9632411B2 (en) 2017-04-25
US20170068174A1 (en) 2017-03-09
US9829805B2 (en) 2017-11-28
JP2016517633A (ja) 2016-06-16
SG10201707388RA (en) 2017-10-30
JP6964979B2 (ja) 2021-11-10
WO2014152023A1 (en) 2014-09-25
TWI614364B (zh) 2018-02-11
SG11201506506PA (en) 2015-09-29

Similar Documents

Publication Publication Date Title
CN105074572A (zh) 以气相沉积来沉积的光刻胶及此光刻胶的制造与光刻系统
KR102571376B1 (ko) 포토레지스트층 표면 처리, 캡층, 및 포토레지스트 패턴을 형성하는 방법
KR102405489B1 (ko) 반도체 디바이스 제조 방법
TWI773415B (zh) 製造半導體裝置的方法
KR102499934B1 (ko) 반도체 디바이스를 제조하는 방법
TWI796661B (zh) 半導體裝置之製造方法
TWI801831B (zh) 半導體裝置之製造方法及形成圖案之方法
KR102630481B1 (ko) 반도체 디바이스 제조 방법
TW202205374A (zh) 在光阻層中形成圖案的方法及半導體裝置的製造方法
US20240045336A1 (en) Method for forming resist pattern by using extreme ultraviolet light and method for forming pattern by using the resist pattern as mask
TWI790594B (zh) 半導體裝置的製造方法及防止含金屬光阻釋氣的方法
TWI804806B (zh) 製造半導體元件的方法
US20240111210A1 (en) Photoresist and formation method thereof
KR20230170786A (ko) 저 노출 선량의 euv 방사선을 위한 고 양자 효율 건식 레지스트

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant