TWI614364B - 以氣相沉積來沉積的光阻劑以及對於此光阻劑的製造與微影系統 - Google Patents

以氣相沉積來沉積的光阻劑以及對於此光阻劑的製造與微影系統 Download PDF

Info

Publication number
TWI614364B
TWI614364B TW103106591A TW103106591A TWI614364B TW I614364 B TWI614364 B TW I614364B TW 103106591 A TW103106591 A TW 103106591A TW 103106591 A TW103106591 A TW 103106591A TW I614364 B TWI614364 B TW I614364B
Authority
TW
Taiwan
Prior art keywords
photoresist
vapor deposited
semiconductor wafer
deposited photoresist
vapor
Prior art date
Application number
TW103106591A
Other languages
English (en)
Other versions
TW201439361A (zh
Inventor
麥克森堤摩西
韋德曼堤摩西W
秦貝瑞李
福德馬吉德A
迪頓保羅
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201439361A publication Critical patent/TW201439361A/zh
Application granted granted Critical
Publication of TWI614364B publication Critical patent/TWI614364B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

一光阻劑氣相沉積系統包含:一真空腔室,該真空腔室具有一加熱元件以及用於支撐一基材之冷卻卡盤,該真空腔室具有一加熱入口;以及一氣相沉積系統,該氣相沉積系統連接至該加熱入口,以將一前驅物揮發至該真空腔室之中,以在由該冷卻卡盤所冷卻的該基材上凝結一光阻劑。該沉積系統建立一半導體晶圓系統,該半導體晶圓系統包含:一半導體晶圓,以及在該半導體晶圓上之一氣相沉積光阻劑。需要該半導體晶圓系統之一極紫外光微影系統包含:一極紫外光光源;一鏡體,該鏡體用於引導來自該極紫外光光源的光;一標線片臺,該標線片臺用於使來自該極紫外光光源的光成像;以及一晶圓臺,該晶圓臺用於放置具備一氣相沉積光阻劑之一半導體晶圓。

Description

以氣相沉積來沉積的光阻劑以及對於此光阻劑的製造與微影系統 【相關申請之相互參照】
本申請書主張於2013年3月14日申請之美國臨時發明專利申請序號16/786,042的優先權,其藉由引用形式而整體併入本文。
本發明內容包含與2013年12月23日申請之美國發明專利申請序號14/139,307有關的題材,其藉由引用形式而整體併入本文。
本發明內容包含與2013年12月23日申請之美國發明專利申請序號14/139,371有關的題材,其藉由引用形式而整體併入本文。
本發明內容包含與2013年12月23日申請之美國發明專利申請序號14/139,415有關的題材,其藉由引用形式而整體併入本文。
本發明內容包含與2013年12月23日申請之美國發明專利申請序號14/139,507有關的題材,其藉由引用形式而 整體併入本文。
本發明概與一極紫外光微影光阻劑有關。
極紫外光微影術(EUV,也被知悉為軟性x射線投影微影術),為一種取代0.13微米或更小的最小特徵尺寸半導體裝置之深層紫外光微影術的競爭技術。
然而,極紫外光光線一般而言介於7至40奈秒波長範圍之間,實質上受到所有物質的強烈吸收。因此理由,極紫外光系統透過反射方式工作,而非透過光線的傳輸。雖然使用一連串的鏡體或透鏡元件,以及反射元件或以非反射性吸收體光罩圖案塗敷的空白光罩,但該圖案化光學光線仍被反射至一光阻劑塗敷的半導體晶圓上。
用於將圖案轉換至光阻劑之光微影技術的進步,已經能夠將日益變小的圖案進行轉換。這意味著可以在積體電路中形成更小的積體電路特徵。因此,可以在一半導體積體電路給定範圍中放置更多的元件,因此能夠大大減低積體電路成本,同時提供使用該等積體電路之該等電子裝置的功能。
在製造半導體積體電路時,於一半導體晶圓上沉積一光阻劑。在對輻射暴露及進行其他處理時,該光阻劑的經暴露區域遭受改變,使得那些光阻劑區域更硬或更容易移除。因此,後續的處理可以選擇性移除該較易移除材料的部分,而在該圖案後方剩下較難以移除的部分。接著此圖案可透過該光阻劑轉換至該半導體晶圓,例如,藉由使用該剩餘 光阻劑作為光罩的方式,以將該等需要的特徵蝕刻至該半導體晶圓該等下方層之中。
因為需要形成越來越細緻的光罩,因此對於EUV光阻劑也產生許多要求。目前,並不存在已知的材料可以同時滿足EUV光阻劑的解析度、線邊緣糙度、以及敏感性(RLS)要求。除了RLS的問題外,用於EUV光阻劑的傳統旋塗式技術,在許多方面都具有缺陷。
首先,旋塗式光阻劑係利用一鑄溶劑進行塗敷,這可能造成環境問題。
第二,旋塗式沉積技術並不提供良好的厚度控制,並在垂直Z方向具有厚度變化,特別是在薄膜厚度減少的時候。
第三,旋塗式光阻劑解決方案的組件能由於表面能量的效果,於該等介面處有分離的傾向。
因此,當變得更加需要EUV微影技術時,對於這些問題的解答將更加關鍵。此外,降低成本、改良效率與效能,並符合競爭壓力的需求,對於尋找這些問題的解答而言,於關鍵必要性中添入更大的急迫性。
這些問題的解決方案已被思考許久,但先前的發展並未教導或建議任何的解決方案,因此對該領域技術人員而言,這些問題的解決方案已經被迴避許久。
本發明一具體實施例提供光阻劑沉積系統,該系統包含:一真空腔室,該真空腔室具有一加熱元件與位於支撐 一基材之一冷卻卡盤,該真空腔室具有一加熱入口;以及一氣相沉積系統,該氣相沉積系統連接至該加熱入口,以將一前驅物揮發至該真空腔室之中,以在由該冷卻卡盤所冷卻的該基材上凝結一氣相沉積光阻劑。
本發明一具體實施例提供一極紫外光微影系統,該系統包含:一極紫外光光源;一鏡體,該鏡體用以引導來自該極紫外光光源的光;一標線片臺,該標線片臺用於放置一極紫外光空白光罩;以及一晶圓臺,該晶圓臺用於放置具備一氣相沉積光阻劑塗敷之一晶圓。
本發明一具體實施例提供一極紫外光微影系統,該系統包含:一極紫外光光源;一鏡體,該鏡體用以引導來自該極紫外光光源的光;一標線片臺,該標線片臺用於放置已經利用一氣相沉積光阻劑圖案化之一極紫外光光罩;以及一晶圓臺,該晶圓臺用於放置一晶圓。
本發明一具體實施例提供一半導體晶圓系統,該系統包含:一半導體晶圓;以及於該半導體晶圓上之一氣相沉積的沉積光阻劑。
本發明某些具體實施例除了以上提及的那些步驟或元件以外,具有其他額外或置換的步驟或元件。對於該領域技術人員而言,藉由參考該等伴隨圖式一起閱讀以下【實施方式】時,該等步驟或元件將變的明確。
100‧‧‧氣相沉積系統
102‧‧‧真空腔室
104‧‧‧加熱主要入口
106‧‧‧加熱輔助入口
108‧‧‧出口
110‧‧‧加熱元件
112‧‧‧冷卻卡盤
115‧‧‧半導體晶圓
116‧‧‧前驅物
200‧‧‧基材
204‧‧‧基質表面
206‧‧‧氣相沉積光阻劑
210‧‧‧半導體晶圓系統
300‧‧‧圖案化氣相沉積光阻劑
400‧‧‧光學元件串
402‧‧‧極紫外光光源
404‧‧‧收集器
406‧‧‧照明器系統
408‧‧‧場切面鏡體
410‧‧‧光瞳切面鏡體
412‧‧‧標線片
414‧‧‧投影光學元件
416‧‧‧圖案化半導體晶圓
500‧‧‧極紫外光微影系統
502‧‧‧極紫外光光源區域
504‧‧‧標線片臺
506‧‧‧晶圓臺
第1圖為根據本發明一具體實施例,該氣相沉積系統之一橫斷面。
第2圖為根據本發明一具體實施例,一半導體晶圓之一部分。
第3圖為根據本發明一具體實施例,第2圖該氣相沉積光阻劑於圖案化之後的圖式。
第4圖為根據本發明一具體實施例,用於一極紫外光微影系統之光學元件串。
第5圖顯示根據本發明一具體實施例之一極紫外光微影系統
下述具體實施例係以足夠詳細的方式敘述,使該領域技術人員能夠建立並使用本發明。要瞭解根據本發明揭示內容其他的具體實施例也是明白的,而在不背離本發明觀點下可以進行該系統、處理與機械的改變。
在以下敘述中,給予許多特定細節,以提供對本發明的完整瞭解。然而,明確的是本發明可以在不以這些特定細節下實作。為了避免對本發明造成干擾,某些以熟知的電路、系統配置以及程序步驟則不詳細討論。
用於說明本發明具體實施例之該等圖式係為半圖解而不一定符合比例,實際上,為了表達的清晰目的,在該等圖式中某些維度係被誇大表現。同樣的,雖然為了容易描述在該等圖式中的視角係概以相同方向圖示,但該等圖式中的此描繪方式絕大部分係為隨意選擇。一般而言,本發明可於任何方向中操作。
在該等所有圖式中所使用的相同編號,係與相同的 元件有關。
為了論數目的,當在此使用時,該用詞「水平」係定義為平行於該晶圓之表面或平面的一平面,而不管其方向為何。該用詞「垂直」係指垂直於所述水平定義的方向。像是「以上」、「以下」、「底部」、「頂部」、「側部」(像是在「側壁」中)、「較高」、「較低」、「上方」、「於...上方」以及「下方」等的用詞,則如該等圖式中所示相對於該水平平面所定義。該用詞「在...上」意指多數元件之間的直接接觸。
當在此使用時,該用詞「處理」係如形成一敘述結構所需,包含材料或光阻劑的沉積、圖案化、暴露、發展、蝕刻、清潔及/或該材料或光阻劑的移除。
現在參考第1圖,其中顯示根據本發明一具體實施例之一氣相沉積系統的橫斷面。一氣相沉積系統可為一獨立系統或一沉積系統100的部分。獨立系統,當特指為一氣相沉積系統100時,係包含一真空腔室102,該真空腔室102具有一加熱主要入口104與一或多個加熱輔助入口,像是入口106。該氣相沉積系統100具有一出口108。
該真空腔室102包含一加熱元件110與一冷卻卡盤112,該冷卻卡盤112用於支撐一半導體晶圓115、一極紫外光空白光罩或其他空白光罩。
將多數前驅物116揮發,並引入至該真空腔室102之中。當該等揮發前驅物116抵達該冷卻卡盤112時,該等前驅物116於該半導體晶圓115表面上凝結。該等前驅物116 的實例為金屬烷氧化物或其他揮發金屬氧化物前驅物,像是叔丁醇鉿、正丁醇鈦、鉿硼氫化物與其他物質。
該前驅物可選擇性與水或另一種氧化媒介反應,像是臭氧或過氧化物,以將該金屬氧化物前驅物轉換成金屬氧化物薄膜或金屬氧化物顆粒。雖然可以使用任何的金屬氧化物,但是鉿、鋯、錫、鈦、鐵和鉬的氧化物可良好工作。可同時間引入該反應氧化劑,或與該金屬氧化物前驅物依序引入。
在某些具體實施例中,將該等前驅物引入至該腔室中,以刻意在它們之間驅動一氣相反應,造成沉積於該半導體晶圓115上較大分子的形成。也可引入一第二前驅物(同時引入,或像是在原子層沉積(ALD)反應中與該等其他前驅物依序引入)。
此第二前驅物為一配位體,該配位體與金屬氧化物顆粒或薄膜鍵結,或引發與繞著一金屬中心所附加之現有配位體之間的配位體取代反應。雖然可以使用任意金屬中心,但鉿、鋯、錫、鈦、鐵和鉬的金屬中心可良好工作。實例則包含像是異丁烯酸、甲酸、乙酸與其他物質的羧酸,但也可以包含像是磺酸、二烯烴或其他化學物質的官能性,其能與金屬氧化物顆粒或薄膜形成複合物。
現在參考第2圖,顯示,根據本發明一具體實施例該半導體晶圓115之一部分。該半導體晶圓115具有一基材200,其可以包含所述材料,像是結晶矽(例如,Si<100>或Si<111>)、氧化矽、拉伸矽、矽化鍺、摻雜或未摻雜多晶矽、 摻雜或未摻雜矽晶圓、III-V材料,像是砷化鎵、氮化鎵、磷化銦等等,並可為圖案化或未圖案化的晶圓。基材可以具有各種尺寸,像是200毫米或300毫米直徑晶圓,以及可為矩形或方形格板。基材可先接受預先處置處理,以研磨、蝕刻、還原、氧化、羥化、退火及/或烘烤該基材表面。
該基材200具有一基材表面204,該基材表面204可為在一製程處理期間,執行薄膜處理之後於一基材上形成之任何基材或材料表面。例如,根據該應用,能執行處理之該基材表面204可以包含像是矽、氧化矽、拉伸矽、矽上絕緣體(SOI)、碳摻雜氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石的材料,以及任何其他像是金屬、氮化金屬、金屬合金與其他傳導性材料的材料。一基材表面上的阻隔層、金屬或氮化金屬係包含鈦、氮化鈦、氮化鎢、鉭和氮化鉭、鋁、銅,或任何其它用於裝置製造的導體或導電或不導電阻隔層。
一氣相沉積光阻劑206係以氣相沉積技術,使用第1圖該氣相沉積系統,沉積於該基材表面204上。該氣相沉積光阻劑206與該基材200的組合,形成一半導體晶圓系統210。已經發現該氣相沉積光阻劑206於極紫外光或較小的微影術中特別有用。該氣相沉積系統100與一加熱腔室及與該冷卻卡盤結合的多數加熱化學輸送管線有關。該氣相沉積光阻劑206可利用氣相沉積(汽化、分解等等)、化學氣相沉積(前驅物反應)、原子層沉積或其他不同於旋塗式沉積的處理方式進行沉積。
此外,以同時或依序的方式,同樣也可以利用氣相沉積技術選擇性將一光活性化合物引入至該腔室中。此光活性化合物可為一酸產生劑、自由基產生劑或可重新佈置以產生像是配位體的活性化學材料的化合物,而可以取代繞著該金屬中心的配位體,或對於繞著該金屬中心之配位體的取代、重新佈置、凝結或改變加以催化,因此於該薄膜或顆粒中引起一種可溶性的改變。
現在參考第3圖,其中圖示根據本發明一具體實施例,第2圖該氣相沉積光阻劑206於圖案化之後的圖式。一旦對輻射(紫外光、深紫外光、極紫外光、電子射束、可見光、紅外光、離子射束、x射線與其他輻射)暴露之後,於該氣相沉積光阻劑206中引起一化學反應,不管是在該金屬氧化物處或在該光活性化合物中。此反應最終(不管是直接反應或是在一後暴露烘烤或其他後暴露處理之後)形成該氣相沉積光阻劑206於一溶劑中可溶性的改變,或造成在一電漿蝕刻處理中該薄膜蝕刻率的改變。此可溶性或蝕刻率的改變可用於最後將該氣相沉積光阻劑206圖案化,以提供一圖案化氣相沉積光阻劑300。
在某些具體實施例中,該等處理條件在整個沉積過程保持固定,造成一種從上到下為均勻成分的光阻劑。在其他具體實施例中,該等沉積條件或使用的化學材料係隨著正被沉積的光阻劑變化,形成一種從上到下的相異光阻劑成分。
在某些具體實施例中,在該疊層底部處的光阻劑性質可經裁修以達成特定目標。例如,在該疊層底部處的材料 可具有更高的極紫外光光子吸收性,這接著可以形成超額次電子的產生,某些超額次電子接著經朝上引導至該光阻劑中,以催化額外的反應並改善該極紫外光光阻劑的效能。此改善能表現於敏感性、線邊緣糙度方面,減少浮渣或基腳或其他的改善。
在其他具體實施例中,該光阻劑可以之前所提及的需求性質沉積於一基材上,其並非以該光阻劑沉積的部分進行沉積,而是以一分離、獨立的處理進行沉積。
而在其他具體實施例中,該光阻劑係沉積於一更傳統的基材上,像是半導體、金屬或包含矽、氧化矽、鍺、矽氮化物、金屬、金屬氧化物、金屬氮化物、底部抗反射塗層的介電質,以及多數其他基材。
在某些具體實施例中,該等前驅物係以熱汽化方式引入成為氣相,但也可以使用其他像是真空噴淋的方式進行沉積。
在某些具體實施例中,配位體數量與金屬原子數量的比例或顆粒尺寸係受控制,以控制像是光敏性的光阻劑性質。
在某些具體實施例中,一額外的前驅物可共同沉積於該光阻劑中,以限制該光活性化合物的反應或擴散。在光酸產生劑的情況中,此額外的前驅物可為一鹼基或光可分解鹼基。在光自由基產生劑的情況中,此前驅物可為自由基捕捉劑,餘此類推。
在某些具體實施例中,此處理係於一系統上執行, 該系統使用一轉動卡盤,以改善遍及該晶圓的沉積厚度均勻性。在其他具體實施例中,可以使用一冷凝阱以在為反應前驅物材料離開該腔室之前將其捕捉。
本發明之具體實施例具有滿足比現有技術更佳,於這些關鍵領域中之多數需求的潛勢。此外,利用真空技術進行的光阻劑沉積在許多方面相對於傳統的旋塗式技術更具優勢。首先,消除了來自該系統的溶劑,這具有環境優勢。接著,真空沉積技術允許該使用者從共形到平面化方面調整該沉積,而旋塗式薄膜傾向只具有平面化特性。同樣的,真空沉積技術給該使用者對於該薄膜成分於厚度中更多控制,並允許該使用者於Z方向中建立一均勻薄膜,而在一旋塗處理期間,該光阻劑溶液的成分可能因為表面能量效應傾向於該等表面處分離。真空沉積技術也允許利用改變該沉積條件的方式,在該薄膜進行沉積時,於該厚度中建立一種受控制的成分改變。利用傳統技術則無法進行此控制。
本發明具體實施例所考慮的主要應用係於使用任何圖案化輻射技術(可見、深紫外光、極紫外光、電子射束或x射線微影術)對於微電子與光子裝置進行圖案化的完整領域之中。因為所敘述之沉積方法的獨特態樣,因此該應用並不受限於只使用於平坦、平面的基材。
現在參考第4圖,其中圖示根據本發明一具體實施例,用於一極紫外光微影系統之光學元件串400。該光學元件串400具有一極紫外光光源402,像是一電漿源,用以建立該極紫外光光線,並將該極紫外光光線收集於一收集器404中。 該收集器404提供該光線至一場切面鏡體408,該場切面鏡體408為一照明器系統406的部分,該照明器系統406進一步包含一光瞳切面鏡體410。該照明器系統406提供該極紫外光光線至一標線片412,該標線片412反射該極紫外光光線穿過投影光學元件414,並至一圖案化半導體晶圓416上。
現在參考第5圖,其中圖示根據本發明一具體實施例之一極紫外光微影系統500。該極紫外光微影系統500包含一極紫外光光源區域502、一標線片臺504與如附加至該光學元件串400之一晶圓臺506。
所形成之方法、處理、設備、裝置、產品及/或系統係為直向、具備成本效率、不複雜、高度通用、精確、敏感及有效率,並可以藉由調整已知的組件實作,以進行立即、有效率及經濟考量的製造、應用及使用。第2圖之氣相沉積光阻劑206為該極紫外光微影系統500之一關鍵組件,而該極紫外光微影系統500無法在不具備一氣相沉積光阻劑執行其功能。
本發明另一重要態樣為能有價值的對於降低成本、簡化系統及增加效能的歷史潮流進行支援與提供服務。
本發明這些與其他的有價值態樣係因此使目前技術前進至至少下一階段。
雖然本發明已經連結一特定最佳模式敘述,但要瞭解利用先前敘述的基礎,對於該領域技術人員而言,許多替代、修改與變化都將明確。據此,預期涵蓋所有落於該等申請專利範圍觀點之中的所有所述替代、修改與變化。所有在 此設定及在該等圖式中圖示之事項將以例證方式而非限制方式所詮釋。
102‧‧‧真空腔室
104‧‧‧加熱主要入口
106‧‧‧加熱輔助入口
108‧‧‧出口
110‧‧‧加熱元件
112‧‧‧冷卻卡盤
115‧‧‧半導體晶圓
116‧‧‧前驅物

Claims (20)

  1. 一光阻劑沉積系統,該系統包括:一真空腔室,該真空腔室具有一加熱元件及用以支撐一基材之一冷卻卡盤,該真空腔室具有一加熱入口;以及一氣相沉積系統,該氣相沉積系統連接至該加熱入口,用以將一前驅物揮發至該真空腔室之中,且用以在由該冷卻卡盤所冷卻的該基材上凝結一氣相沉積光阻劑。
  2. 如請求項1所述之系統,其中該氣相沉積系統於氣相沉積期間,改變沉積成分與多數條件。
  3. 如請求項1所述之系統,其中該氣相沉積系統提供一揮發金屬氧化物之前驅物,用以沉積該氣相沉積光阻劑。
  4. 如請求項1所述之系統,其中該氣相沉積系統提供一金屬烷氧化物之前驅物,用以沉積該氣相沉積光阻劑。
  5. 如請求項1所述之系統,其中該真空腔室係用於使該前驅物與一氧化媒介反應,以將一金屬氧化物前驅物轉換成為一金屬氧化物光阻劑。
  6. 如請求項1所述之系統,其中該真空腔室係用於驅動一氣相反應,以在該基材上形成一分子沉積。
  7. 如請求項1所述之系統,其中該真空沉積系統係用於反應一配位體前驅物,該反應引發繞著一金屬中心的配位體取代反應。
  8. 如請求項1所述之系統,其中該真空沉積系統係用於提供一配位體前驅物,以與在該真空腔室中形成的一金屬氧化物鍵結。
  9. 一極紫外光微影系統,該系統包括:一極紫外光光源;一鏡體,該鏡體用以引導來自該極紫外光光源的光;一標線片臺,該標線片臺用於使來自該極紫外光光源的光成像於一極紫外光光罩上;以及一晶圓臺,該晶圓臺用於放置具備一氣相沉積光阻劑之一半導體晶圓,該氣相沉積光阻劑用於接收來自該標線片臺的光,該氣相沉積光阻劑具有一頂部及一底部,且該氣相沉積光阻劑的該頂部及該底部之間具有一不同的光阻劑成分,該底部比該頂部更能吸收EUV光子。
  10. 如請求項9所述之系統,其中該氣相沉積光阻劑為一揮發金屬氧化物。
  11. 如請求項9所述之系統,其中該氣相沉積光阻劑包括一配位體數量及一金屬原子數量,且該配位體數量對該金屬 原子數量的一比例受到控制,以控制一光阻劑性質。
  12. 如請求項9所述之系統,其中該氣相沉積光阻劑包含一配位體。
  13. 如請求項9所述之系統,其中該氣相沉積光阻劑為繞著一金屬中心的一配位體。
  14. 如請求項9所述之系統,其中該氣相沉積光阻劑為與一金屬氧化物鍵結的一配位體。
  15. 一半導體晶圓系統,該系統包括:一半導體晶圓;以及於該半導體晶圓上之一氣相沉積光阻劑,該氣相沉積光阻劑具有一頂部及一底部,且該氣相沉積光阻劑的該頂部及該底部之間具有一不同的光阻劑成分,該底部比該頂部更能吸收EUV光子。
  16. 如請求項15所述之半導體晶圓系統,其中該氣相沉積光阻劑為一揮發金屬氧化物。
  17. 如請求項15所述之半導體晶圓系統,其中該氣相沉積光阻劑包括一配位體數量及一金屬原子數量,且該配位體數量對該金屬原子數量的一比例受到控制,以控制一光阻劑性質。
  18. 如請求項15所述之半導體晶圓系統,其中該氣相沉積光阻劑包含一配位體。
  19. 如請求項15所述之半導體晶圓系統,其中該氣相沉積光阻劑為繞著一金屬中心的一配位體。
  20. 如請求項15所述之半導體晶圓系統,其中該氣相沉積光阻劑為與一金屬氧化物鍵結的一配位體。
TW103106591A 2013-03-14 2014-02-26 以氣相沉積來沉積的光阻劑以及對於此光阻劑的製造與微影系統 TWI614364B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361786042P 2013-03-14 2013-03-14
US61/786,042 2013-03-14
US14/139,457 2013-12-23
US14/139,457 US9632411B2 (en) 2013-03-14 2013-12-23 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor

Publications (2)

Publication Number Publication Date
TW201439361A TW201439361A (zh) 2014-10-16
TWI614364B true TWI614364B (zh) 2018-02-11

Family

ID=51525876

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103106591A TWI614364B (zh) 2013-03-14 2014-02-26 以氣相沉積來沉積的光阻劑以及對於此光阻劑的製造與微影系統

Country Status (7)

Country Link
US (2) US9632411B2 (zh)
JP (1) JP6964979B2 (zh)
KR (1) KR102207228B1 (zh)
CN (1) CN105074572B (zh)
SG (2) SG10201707388RA (zh)
TW (1) TWI614364B (zh)
WO (1) WO2014152023A1 (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9778561B2 (en) 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
US9581890B2 (en) * 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
EP4089482A1 (en) * 2015-10-13 2022-11-16 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US10018920B2 (en) * 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
US20210079519A1 (en) * 2018-02-03 2021-03-18 Asml Netherlands B.V. Method and apparatus for forming a patterned layer of material
JP2021523403A (ja) * 2018-05-11 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation Euvパターン化可能ハードマスクを形成するための方法
US10998191B2 (en) 2018-11-13 2021-05-04 International Business Machines Corporation Graded hardmask interlayer for enhanced extreme ultraviolet performance
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
WO2021146138A1 (en) 2020-01-15 2021-07-22 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
US20220005687A1 (en) * 2020-07-02 2022-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method
US20240027916A1 (en) * 2022-07-21 2024-01-25 Applied Materials, Inc. Fingerprinting and process control of photosensitive film deposition chamber

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040091618A1 (en) * 2002-11-08 2004-05-13 Park Han-Su Photoresist depositon apparatus and method for forming photoresist film using the same

Family Cites Families (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57143826A (en) * 1981-02-28 1982-09-06 Dainippon Printing Co Ltd Formation of resist pattern on gapped semiconductor substrate
JPS57157522A (en) * 1981-03-23 1982-09-29 Nec Corp Depositing method for resist film for photo-etching technique
JPS60109227A (ja) * 1983-11-18 1985-06-14 Hitachi Ltd 薄膜のパタ−ン形成方法
US4842675A (en) 1986-07-07 1989-06-27 Texas Instruments Incorporated Integrated circuit isolation process
GB8921666D0 (en) 1989-09-26 1989-11-08 Peatgrange Ivd Limited Ion vapour deposition apparatus and method
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
JPH07254556A (ja) * 1993-09-03 1995-10-03 Hitachi Ltd パターン形成方法および形成装置
JPH07106224A (ja) * 1993-10-01 1995-04-21 Hitachi Ltd パターン形成方法
JPH07106234A (ja) * 1993-10-07 1995-04-21 Mitsubishi Electric Corp レジストパターンの形成方法
JPH07235481A (ja) * 1994-02-25 1995-09-05 Toray Ind Inc 薄膜の製造方法
US5645646A (en) 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
US5792592A (en) * 1996-05-24 1998-08-11 Symetrix Corporation Photosensitive liquid precursor solutions and use thereof in making thin films
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6096100A (en) 1997-12-12 2000-08-01 Texas Instruments Incorporated Method for processing wafers and cleaning wafer-handling implements
US6010916A (en) 1997-12-05 2000-01-04 Advanced Micro Devices, Inc. Method for improving semiconductor wafer processing
US6140216A (en) 1998-04-14 2000-10-31 Advanced Micro Devices, Inc. Post etch silicide formation using dielectric etchback after global planarization
US6142641A (en) 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6174651B1 (en) * 1999-01-14 2001-01-16 Steag Rtp Systems, Inc. Method for depositing atomized materials onto a substrate utilizing light exposure for heating
US6749814B1 (en) 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
JP2000331939A (ja) * 1999-05-17 2000-11-30 Applied Materials Inc 成膜装置
TWI267704B (en) 1999-07-02 2006-12-01 Asml Netherlands Bv Capping layer for EUV optical elements
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US20020015855A1 (en) 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
JP2002090978A (ja) 2000-09-12 2002-03-27 Hoya Corp 位相シフトマスクブランクの製造方法、及び位相シフトマスクブランクの製造装置
US6673524B2 (en) 2000-11-17 2004-01-06 Kouros Ghandehari Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
JP3939132B2 (ja) 2000-11-22 2007-07-04 Hoya株式会社 多層膜付き基板、露光用反射型マスクブランク、露光用反射型マスクおよびその製造方法、並びに半導体の製造方法
US6656643B2 (en) 2001-02-20 2003-12-02 Chartered Semiconductor Manufacturing Ltd. Method of extreme ultraviolet mask engineering
US6840616B2 (en) 2001-03-29 2005-01-11 Scott Summers Air folder adjuster apparatus and method
US20030008148A1 (en) 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6734117B2 (en) 2002-03-12 2004-05-11 Nikon Corporation Periodic clamping method and apparatus to reduce thermal stress in a wafer
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US6806006B2 (en) 2002-07-15 2004-10-19 International Business Machines Corporation Integrated cooling substrate for extreme ultraviolet reticle
US20040018733A1 (en) 2002-07-23 2004-01-29 Hak Baek Jae Method of planarizing a surface of a semiconductor wafer
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
CN1501442A (zh) * 2002-11-12 2004-06-02 阿泰技术有限公社 光刻胶沉积设备以及使用该设备形成光刻胶薄膜的方法
JP2004172272A (ja) * 2002-11-19 2004-06-17 Nikon Corp Euv露光装置及びeuv露光方法
DE10302342A1 (de) 2003-01-17 2004-08-05 Schott Glas Substrat für die EUV-Mikrolithographie und Herstellverfahren hierfür
US6908713B2 (en) 2003-02-05 2005-06-21 Intel Corporation EUV mask blank defect mitigation
US7026076B2 (en) 2003-03-03 2006-04-11 Freescale Semiconductor, Inc. Method of patterning photoresist on a wafer using a reflective mask with a multi-layer ARC
DE10317792A1 (de) 2003-04-16 2004-11-11 Schott Glas Maskenrohling zur Verwendung in der EUV-Lithographie und Verfahren zu dessen Herstellung
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
WO2004106986A2 (en) 2003-05-29 2004-12-09 Applied Materials Inc. Maskless fabrication of waveguide mirrors
KR100520222B1 (ko) 2003-06-23 2005-10-11 삼성전자주식회사 반도체 소자에서의 듀얼 게이트 산화막 구조 및 그에 따른형성방법
US7326502B2 (en) 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
KR100680405B1 (ko) 2003-11-19 2007-02-08 주식회사 하이닉스반도체 Euv용 포토레지스트 조성물 및 이를 이용한포토레지스트 패턴 형성 방법
US7005227B2 (en) 2004-01-21 2006-02-28 Intel Corporation One component EUV photoresist
US7193228B2 (en) 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
WO2005087697A1 (ja) * 2004-03-15 2005-09-22 Ube Industries, Ltd. β−ジケトナトを配位子とする金属錯体
JP4542807B2 (ja) * 2004-03-31 2010-09-15 東京エレクトロン株式会社 成膜方法および成膜装置、ならびにゲート絶縁膜の形成方法
US7198872B2 (en) 2004-05-25 2007-04-03 International Business Machines Corporation Light scattering EUVL mask
US20060024589A1 (en) 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
JPWO2006030627A1 (ja) 2004-09-17 2008-05-08 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランクスおよびその製造方法
US8293430B2 (en) 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7336416B2 (en) 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US20060275547A1 (en) 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
US20060274474A1 (en) * 2005-06-01 2006-12-07 Lee Chung J Substrate Holder
US7432201B2 (en) 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
DE102005052000B3 (de) 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
US7678511B2 (en) 2006-01-12 2010-03-16 Asahi Glass Company, Limited Reflective-type mask blank for EUV lithography
US7712333B2 (en) 2006-03-29 2010-05-11 Asahi Glass Company, Limited Method for smoothing a surface of a glass substrate for a reflective mask blank used in EUV lithography
US7736820B2 (en) 2006-05-05 2010-06-15 Asml Netherlands B.V. Anti-reflection coating for an EUV mask
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
JP4801550B2 (ja) * 2006-09-26 2011-10-26 富士通株式会社 レジスト組成物、レジストパターンの形成方法、及び半導体装置の製造方法
US7892719B2 (en) 2006-11-03 2011-02-22 Intel Corporation Photonic crystal EUV photoresists
EP2087510A4 (en) 2006-11-27 2010-05-05 Nikon Corp OPTICAL ELEMENT, ASSOCIATED EXPOSURE UNIT AND METHOD FOR PRODUCING THE DEVICE
JP2008135090A (ja) * 2006-11-27 2008-06-12 Canon Inc レジスト、これを用いた光ディスク用スタンパの製造方法、及び光ディスク用スタンパ
US20090278233A1 (en) 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
WO2009031232A1 (ja) 2007-09-07 2009-03-12 Canon Anelva Corporation スパッタリング方法および装置
JP5039495B2 (ja) * 2007-10-04 2012-10-03 ルネサスエレクトロニクス株式会社 マスクブランク検査方法、反射型露光マスクの製造方法、反射型露光方法および半導体集積回路の製造方法
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090176367A1 (en) 2008-01-08 2009-07-09 Heidi Baks OPTIMIZED SiCN CAPPING LAYER
KR20090103847A (ko) * 2008-03-28 2009-10-01 캐논 가부시끼가이샤 노광 장치 및 디바이스 제조 방법
JP2009245505A (ja) * 2008-03-31 2009-10-22 Pioneer Electronic Corp 光学情報記録媒体製造用の原盤
KR101696487B1 (ko) 2008-03-31 2017-01-13 호야 가부시키가이샤 포토마스크 블랭크, 포토마스크 및 포토마스크 블랭크의 제조 방법
US8105954B2 (en) * 2008-10-20 2012-01-31 aiwan Semiconductor Manufacturing Company, Ltd. System and method of vapor deposition
JP2010122305A (ja) * 2008-11-17 2010-06-03 Sony Corp 露光装置及び露光方法並びに微細加工装置及び微細加工方法
JP2010170011A (ja) 2009-01-26 2010-08-05 Hoya Corp フォトマスクの修正方法
JP2011053566A (ja) * 2009-09-03 2011-03-17 Sony Corp 現像液、エッチング液および微細加工体の製造方法
CN102019266A (zh) 2009-09-17 2011-04-20 中芯国际集成电路制造(上海)有限公司 涂层材料的涂布方法
WO2011073157A1 (en) 2009-12-15 2011-06-23 Carl Zeiss Smt Gmbh Reflective optical element for euv lithography
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US9703204B2 (en) * 2010-04-02 2017-07-11 Nikon Corporation Light source apparatus, optical apparatus, exposure apparatus, device manufacturing method, illuminating method, exposure method, and method for manufacturing optical apparatus
US20120009765A1 (en) 2010-07-12 2012-01-12 Applied Materials, Inc. Compartmentalized chamber
EP2600388B1 (en) 2010-07-27 2014-10-08 Asahi Glass Company, Limited Substrate provided with reflecting layer for euv lithography, and reflective mask blank for euv lithography
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR20120042169A (ko) 2010-10-22 2012-05-03 삼성모바일디스플레이주식회사 액정 표시 장치 및 그 제조 방법
JP6013720B2 (ja) 2010-11-22 2016-10-25 芝浦メカトロニクス株式会社 反射型マスクの製造方法、および反射型マスクの製造装置
US8475977B2 (en) 2010-12-02 2013-07-02 Intermolecular, Inc Protective cap for extreme ultraviolet lithography masks
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8562794B2 (en) 2010-12-14 2013-10-22 Asahi Glass Company, Limited Process for producing reflective mask blank for EUV lithography and process for producing substrate with functional film for the mask blank
KR20130139856A (ko) 2011-03-14 2013-12-23 후지 덴키 가부시키가이샤 산화물 기판 및 그 제조 방법
JP2012248664A (ja) * 2011-05-27 2012-12-13 Hitachi Cable Ltd 気相成長装置及び気相成長方法、並びにエピタキシャルウェハ
JP6236000B2 (ja) * 2011-07-08 2017-11-22 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィパターニングプロセスおよび同プロセス内で使用するレジスト
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
KR101840846B1 (ko) 2012-02-15 2018-03-21 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
US9051649B2 (en) * 2013-03-11 2015-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film deposition apparatus and method with improved heater cooling efficiency
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040091618A1 (en) * 2002-11-08 2004-05-13 Park Han-Su Photoresist depositon apparatus and method for forming photoresist film using the same

Also Published As

Publication number Publication date
KR102207228B1 (ko) 2021-01-25
KR20150129781A (ko) 2015-11-20
CN105074572B (zh) 2019-11-26
WO2014152023A1 (en) 2014-09-25
US20170068174A1 (en) 2017-03-09
JP2016517633A (ja) 2016-06-16
CN105074572A (zh) 2015-11-18
SG10201707388RA (en) 2017-10-30
US9829805B2 (en) 2017-11-28
SG11201506506PA (en) 2015-09-29
JP6964979B2 (ja) 2021-11-10
US9632411B2 (en) 2017-04-25
US20140268082A1 (en) 2014-09-18
TW201439361A (zh) 2014-10-16

Similar Documents

Publication Publication Date Title
TWI614364B (zh) 以氣相沉積來沉積的光阻劑以及對於此光阻劑的製造與微影系統
US20220299877A1 (en) Positive tone development of cvd euv resist films
US6566276B2 (en) Method of making electronic materials
US7074640B2 (en) Method of making barrier layers
US20230152701A1 (en) Structure and method to achieve positive tone dry develop by a hermetic overlayer
TW201224191A (en) Radiation patternable CVD film
TW202113146A (zh) 具有多個圖案化輻射吸收成分及/或垂直組成梯度的光阻
KR20220076488A (ko) 고성능 euv 포토레지스트들을 위한 고 euv 흡수제들을 사용한 기판 표면 개질
US20030190820A1 (en) Titanium carbonate films for use in semiconductor processing
US20230314946A1 (en) Method of forming photo-sensitive hybrid films
WO2021202681A1 (en) Pre-exposure photoresist curing to enhance euv lithographic performance
US20230288798A1 (en) Photoresists containing tantalum
US20240045336A1 (en) Method for forming resist pattern by using extreme ultraviolet light and method for forming pattern by using the resist pattern as mask
WO2023147212A1 (en) Enhanced euv underlayer effect with diffusion barrier layer
TW202340879A (zh) 高吸收性含金屬光阻的顯影策略
TW202314363A (zh) 極紫外光圖案化用的金屬有機膜
TW202244993A (zh) 圖案化光阻層的方法
WO2023114724A1 (en) Development of hybrid organotin oxide photoresists
KR20220162765A (ko) 감응제 (sensitizer) 의 가스상 (gas phase) 주입에 의한 euv 드라이 레지스트 감응화 (sensitization) 를 위한 장치 및 프로세스