TW202113146A - 具有多個圖案化輻射吸收成分及/或垂直組成梯度的光阻 - Google Patents

具有多個圖案化輻射吸收成分及/或垂直組成梯度的光阻 Download PDF

Info

Publication number
TW202113146A
TW202113146A TW109121639A TW109121639A TW202113146A TW 202113146 A TW202113146 A TW 202113146A TW 109121639 A TW109121639 A TW 109121639A TW 109121639 A TW109121639 A TW 109121639A TW 202113146 A TW202113146 A TW 202113146A
Authority
TW
Taiwan
Prior art keywords
photoresist material
reactant
depositing
tin
substrate
Prior art date
Application number
TW109121639A
Other languages
English (en)
Inventor
蒂莫西 威廉 魏德曼
凱文 李 古
凱蒂 林恩 納迪
吳呈昊
博里斯 佛洛斯基
艾瑞克 卡爾文 漢森
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202113146A publication Critical patent/TW202113146A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本文之各種實施例涉及用於在基板上沉積光阻材料之技術。例如,該等技術可涉及在一反應腔室中提供該基板;將第一及第二反應物提供至該反應腔室,其中該第一反應物為具有M1a R1b L1c 之化學式的有機金屬前驅物,其中:M1為具有高圖案化輻射吸收截面的金屬,R1為一有機基團,其可在該第一反應物與該第二反應物之間的該反應後存留,且可在暴露於圖案化輻射下從M1斷裂,L1為與該第二反應物反應的配位基、離子、或其他部分,a ≥ 1,b ≥ 1,且c ≥ 1,且其中滿足以下條件之其中至少一者:該光阻材料包含二或更多高圖案化輻射吸收元素、及/或該光阻材料包含沿著該光阻材料之厚度的組成梯度。

Description

具有多個圖案化輻射吸收成分及/或垂直組成梯度的光阻
本發明大體上涉及半導體處理之領域。在特定實施態樣中,本發明描述新穎的光阻(PR)材料,其係透過一或更多有機金屬前驅物與一或更多相對反應物(counter-reactants)之間的反應而製成。
隨著半導體製造持續進展,特徵部尺寸持續縮小,並且需要新的處理方法。一個正獲得進展的領域係在圖案化的背景下,例如使用對微影輻射具敏感性的光阻材料。
此處所提供之先前技術說明係為了大體上介紹本發明之背景。在此先前技術章節中所敘述之範圍內之本案列名之發明人的成果、以及在申請時不適格作為先前技術之說明書的實施態樣,皆非有意地或暗示地被承認為對抗本發明之先前技術。
本文之各種實施例涉及用於在基板上沉積光阻材料之方法、材料、設備、及系統。在揭示實施例之一態樣中,提供一種在基板上沉積光阻材料之方法,該方法包含:在一反應腔室中提供該基板;將第一反應物及第二反應物提供至該反應腔室,並引發該第一反應物與該第二反應物之間的反應,從而在該基板上沉積該光阻材料,其中該第一反應物及該第二反應物係各自以蒸氣相提供至該反應腔室,其中該第一反應物為具有M1a R1b L1c 之化學式的有機金屬前驅物,其中:M1為具有高圖案化輻射吸收截面的金屬,R1為一有機基團,其可在該第一反應物與該第二反應物之間的該反應後存留,且可在暴露於圖案化輻射下從M1斷裂,L1為與該第二反應物反應的配位基、離子、或其他部分,a ≥ 1,b ≥ 1,且c ≥ 1,且其中滿足以下條件之其中至少一者:(a) 該光阻材料包含具有高圖案化輻射吸收截面的二或更多元素,及/或(b) 該光阻材料包含沿著該光阻材料之厚度的組成梯度。
在許多實施例中,該光阻材料可為極紫外光(EUV)光阻材料,且其中M1可具有高EUV吸收截面。在某些情況下,該第二反應物可包含羥基部分。在某些情況下,該第二反應物可包含選自由下列各者組成之群組的材料:水、過氧化物、二或多羥基醇、氟化的二或多羥基醇、氟化的乙二醇、及其組合。在某些情況下,該第二反應物可包含可經由硫橋及/或碲橋而交聯金屬原子的材料。在某些實施例中,該第二反應物可包含選自由下列各者組成之群組的材料:硫化氫、二硫化氫、雙(三甲基矽基)碲、及其組合。在某些實施例中,該第二反應物可包含碘化氫。在許多實施例中,該第二反應物取代一或更多L1,從而經由化學鍵結而交聯M1的二或更多原子。
M1可使用若干不同的金屬。在許多實施例中,M1的該EUV吸收截面可等於或大於1x107 cm2 /mol。在該等或其他情況下,M1可包含選自由下列各者組成之群組的金屬:Sn、Sb、In、Bi、Te、及其組合。相似地,R1可使用若干不同的有機基團。在某些情況下,R1可包含烷基或經鹵素取代之烷基。在某些此等情況下,該烷基可包含三或更多個碳原子。在該等或其他情況下,R1可包含至少一個β-氫或β-氟。在許多實施例中,R1可選自由下列各者組成之群組:異丙基、正丙基、叔丁基、異丁基、正丁基、仲丁基、正戊基、異戊基、叔戊基、仲戊基、及其組合。再者,L1可使用若干不同的基團。在某些實施例中,L1可包含選自由下列各者組成之群組的部分:胺、烷氧基、羧酸鹽、鹵素、及其組合。
本文提供各種範例反應物。在某些情況下,該第一反應物可包含選自由下列各者組成之群組的材料:叔丁基三(二甲基胺基)錫、異丁基三(二甲基胺基)錫、正丁基三(二甲基胺基)錫、仲丁基三(二甲基胺基)錫、異丙基三(二甲基胺基)錫、正丙基三(二乙基胺基)錫、叔丁基三(叔丁氧基)錫、異丁基三(叔丁氧基)錫、正丁基三(叔丁氧基)錫、仲丁基三(叔丁氧基)錫、異丙基三(叔丁氧基)錫、正丙基三(叔丁氧基)錫、叔丁基三氯化錫、異丁基三氯化錫、正丁基三氯化錫、仲丁基三氯化錫、異丙基三氯化錫、正丙基三氯化錫、叔丁基三溴化錫、異丁基三溴化錫、正丁基三溴化錫、仲丁基三溴化錫、異丙基三溴化錫、正丙基三溴化錫、及其組合。
在某些情況下,該方法可更包含使該基板暴露於電漿以利用M1-H鍵取代一些M1-L1鍵。在某些實施例中,該第一反應物與該第二反應物之間的該反應可為化學氣相沉積反應、原子層沉積反應、或其組合。在某些情況下,該第一反應物及該第二反應物可被同時提供至該反應腔室。在其他情況下,該第一反應物及該第二反應物並非同時輸送至該反應腔室。
在某些實施例中,該基板的溫度可等於或低於該第一及第二反應物在被輸送至該反應腔室時的溫度。在該等或其他實施例中,引發該第一與該第二反應物之間的該反應之步驟可包含使該基板暴露於電漿。在某些實施例中,引發該第一與該第二反應物之間的該反應之步驟可包含使該基板暴露於熱能。
在若干實施例中,該方法可更包含將第三反應物提供至該反應腔室,並引發該第二反應物與該第三反應物之間、或該第三反應物與第四反應物之間的反應。在某些此等情況下,該第一及第三反應物之各者可包含選自由下列各者組成之群組的金屬:Sn、Sb、In、Bi、及Te,且該第一反應物中之金屬可與該第三反應物中之金屬不同。
在許多情況下,沉積於該基板上之該光阻材料包含沿著該光阻材料之厚度的該組成梯度。在某些此等情況下,該光阻材料除了M1之外還包含M2,其中M2為具有高EUV吸收截面的金屬且與M1不同,其中該光阻材料中的該組成梯度在該光阻材料內的不同垂直位置處提供不同的M1:M2之比率。在某些實施例中,該光阻材料中的該組成梯度可在該光阻材料內的不同垂直位置處提供不同的M1:R1之比率。在某些此等情況下,與R1鍵結之金屬原子的百分比在該光阻材料內的不同垂直位置處可有所不同。在某些實施例中,該光阻材料中的該組成梯度在該光阻材料內的不同垂直位置處可提供不同的I或Te之濃度。在許多實施例中,與該光阻材料的頂部相比,該組成梯度在該光阻材料的底部中可提供高密度的高EUV吸收元素,該底部係先於該頂部被沉積。
在某些實施例中,該方法可更包含:在提供該第一反應物至該反應腔室之前,將第三反應物提供至該反應腔室,並引發該第二反應物與該第三反應物之間、或該第三反應物與第四反應物之間的反應,從而沉積該光阻材料的初始部分,其中該第三反應物為具有一化學式M2a L2c ,其中:M2為具有高EUV吸收截面的金屬,L2為與該第二反應物或與該第四反應物反應的配位基、離子、或其他部分,a ≥ 1,且c ≥ 1,俾使該光阻材料的該初始部分不包含R1。在該等或其他實施例中,該方法可更包含重複地使該基板暴露於電漿以從該光阻材料移除一些R1,其中用以產生該電漿的條件隨時間而變化,俾使該光阻材料中存在M1-R1鍵之濃度的梯度。在某些此等實施例中,用以產生該電漿之功率可隨時間而減小,使得在該光阻材料的底部附近具有相對較低濃度的M1-R1鍵,且在該光阻材料的頂部附近具有相對較高濃度的M1-R1鍵。在許多實施例中,該光阻材料可包含一垂直密度梯度。
在某些情況下,該方法可更包含使該光阻材料暴露於EUV輻射,並使該光阻材料顯影以形成一圖案,其中使該光阻材料顯影之步驟係透過濕式處理或乾式處理進行。在許多實施例中,該光阻材料可提供深度相依的EUV敏感性。
在揭示實施例之另一態樣中,提供一種在基板上沉積光阻材料之方法,該方法包含:在一反應腔室中提供該基板;將第一反應物及第二反應物提供至該反應腔室,並引發該第一反應物與該第二反應物之間的反應,從而在該基板上沉積該光阻材料,其中該第一反應物及該第二反應物係各自以蒸氣相提供至該反應腔室,且其中該光阻材料提供複數圖案化輻射吸收劑物種及/或對圖案化輻射的深度相依敏感性。
在揭示實施例之另一態樣中,提供一種光阻材料,該光阻材料係由本文所請或描述之方法的任一者所形成。
在揭示實施例之另一態樣中,提供一種用以在基板上沉積光阻材料之設備,該設備包含:一反應腔室;一入口,用以導入氣相反應物;一出口,用以從該反應腔室中移除材料;一基板支座,位於該反應腔室中;以及具有至少一處理器的一控制器,其中該至少一處理器係配置以引致本文所請或描述之方法的任一者。
該等及其他的態樣係於以下參照圖式進一步描述。
在此詳細參照本揭示內容之具體實施例。具體實施例之範例係於附圖中說明。雖本揭示內容將結合這些具體實施例描述,然應理解不欲使本揭示內容限制於該等具體實施例。相反地,欲涵蓋可包括在本揭示內容之精神與範疇內的置換、變更、與均等物。在以下說明中,提出許多特定細節以提供對本揭示內容之徹底了解。本揭示內容可在缺少一些或所有該等特定細節下實施。在其他情況下,為人熟知的處理操作並未詳加描述以免不必要地模糊本揭示內容。
半導體處理中之薄膜圖案化通常為半導體製造中的重要步驟。圖案化涉及微影處理。在習知的光微影技術(例如193 nm之光微影技術)中,藉由從光子源發射光子至遮罩上並將圖案印刷至感光性光阻上以印刷圖案,從而在光阻中引起化學反應,其在顯影之後移除光阻的某些部分以形成圖案。接著,經圖案化並顯影的光阻膜可用作蝕刻遮罩,以將圖案轉移至由金屬、氧化物等所組成的下伏薄膜中。
先進技術節點(如國際半導體技術路線圖(International Technology Roadmap for Semiconductors)所定義)包括22nm、16nm、及更先進之節點。在16nm節點中,例如,鑲嵌結構中的洞或線之寬度通常不大於約30nm。先進半導體積體電路(IC)與其他元件上之特徵部的縮放驅使微影技術改善解析度。
極紫外光(EUV)微影可藉由移至比利用習知光微影方法可實現者更小的成像源波長而擴展微影技術。約10-20 nm、或11-14 nm之波長(例如13.5 nm之波長)的EUV光源可用於尖端微影工具(亦稱為掃描器)。EUV輻射在許多固體與流體材料(包括石英與水蒸氣)中受到強吸收,並因此在真空中操作。
習知的有機化學放大光阻(CAR)在用於EUV微影時有若干缺點,尤其係EUV區域中的低吸收係數及光活化化學物種之擴散。為了克服低吸收係數,厚CAR膜為必要的,但存在圖案崩塌的風險。另一方面,光活化物種之擴散對圖案保真度造成不利影響,例如線寬粗糙。此外,較差的蝕刻選擇性亦使得必須使用額外的下層以進行圖案轉移。因此,當前CAR的微影性能無法匹配EUV掃描器的空間解析度性能。
直接可光圖案化的EUV光阻可包含混合在有機成分內之金屬及/或金屬氧化物。該等有機金屬光阻係非常有前景的,因為它們可增強EUV光子吸附並產生二次電子及/或對下方的薄膜堆疊及元件層表現出增加的蝕刻選擇性。
有機金屬光阻(例如可從Inpria Corp, Corvalis, OR取得的有機金屬光阻)具有明顯較高的吸收係數,並且可為明顯較薄但仍提供良好的抗蝕刻性。該等薄膜係在例如美國專利公開案US 2017/0102612及US 2016/0116839中描述,在此至少為了其可光圖案化之含金屬氧化物膜的揭示內容而將上述各者引入以供參照。然而,作為產生空間均質薄膜的旋塗式製劑,Inpria薄膜受到前述之深度相依之給劑問題的影響 – 一旦材料開始吸收約30%以上的入射EUV光子,不可避免地較少的光子可到達薄膜底部以引起促成選擇性顯影所需的化學效應。再者,溶液的穩定性被認為係Inpria製劑的缺點。
目前,用於高解析度圖案化應用的所有可商購之EUV光阻皆為基於溶液(濕式)之旋塗式製劑。基於金屬有機物之光阻的乾式沉積已在例如我們先前的國際申請案PCT/US19/31618(2019年5月9日申請,案名為「METHODS FOR MAKING EUV PATTERNABLE HARD MASKS」)中描述,在此將其涉及以下者的揭示內容引入以供參照:直接可光圖案化的基於金屬有機物之金屬氧化物的組成、沉積、及圖案化以形成EUV光阻遮罩。在大多數或所有的該等基於金屬有機物之光阻中,除了金屬中心(大多為Sn)以外,所有其他元素均具有低EUV吸收截面。將其他高EUV吸收元素引入光阻中以作為金屬中心或作為相對反應物(counter-reactant)的一部份或在大型有機基團中進行取代,可進一步增加PR中的EUV吸收,從而進一步減少圖案化所需的EUV劑量。
此外,Inpria旋塗膜據信在整個薄膜厚度上為均質的,在整個薄膜各處具有一定百分比的與烷基連接之Sn原子。在我們的乾式沉積膜中,可能在沉積期間調整組成並產生具有垂直組成梯度的薄膜。據我們所知,此在任何旋塗式EUV PR製劑中均未實現。
可利用具有選自以下元素之金屬中心的有機金屬前驅物以形成根據本發明之EUV光阻(PR)材料:具有高EUV吸收截面之元素,如In、Sn、Sb、Bi、及Te。前驅物中之至少一者亦應具有一或更多可在化學反應後存留但可在EUV下斷裂的大型有機基團。雖然本發明不受任何特定理論或操作機制所限制,但據信(複數)大型有機基團的立體阻礙防止緊密堆疊之網路的形成並產生低密度的多孔膜。相對反應物應能夠在反應期間取代金屬中心上的原始配位基以形成交聯材料。此外,相對反應物及/或大型有機基團亦可包含額外的高EUV吸收元素,如I及Te。
可利用氣相沉積方法(如CVD及ALD)以沉積此等PR膜。對於某些(複數)前驅物與(複數)相對反應物之組合而言,亦可在溶液相中實現該反應,從而產生小型團簇的反應性EUV PR材料,接著可透過旋塗處理將其塗佈於基板上。在進行EUV曝光之後,PR中的高EUV吸收元素即會吸收EUV光並產生大量的二次電子。在它們的平均自由徑(通常為若干nm)內,該等電子可引發化學反應以使金屬中心與大型有機基團之間的化學鍵斷裂。此等鍵結斷裂之後的變化(例如氫化物/羥基形成、交聯、表面極性變化、薄膜收縮、及溶解度降低)產生化學對比,其可用於進行顯影(包括濕式與乾式顯影兩者)且可能可用於表面成像/選擇性沉積策略。
可進一步改善PR膜中之EUV敏感性的另一策略係產生薄膜組成垂直梯度變化的薄膜,從而引致深度相依的EUV敏感性。在具有高吸收係數之均質PR中,在整個薄膜深度中減低的光強度使得較高的EUV劑量成為必需,以確保底部充分地曝光。藉由使薄膜底部處之具有高EUV吸收率之原子的密度相對於薄膜頂部處而增加(亦即,藉由產生具有漸增EUV吸收率的梯度),使得以下者變得可能:更有效地利用可用的EUV光子,並同時使吸收作用(及二次電子的效應)更均勻地向具有較高吸收率之薄膜的底部分佈。
設計PR膜中之垂直組成梯度的策略尤其適用於乾式沉積方法(如CVD及ALD),且可藉由在沉積期間調整不同反應物之間的流量比而實現。可設計的組成梯度之類型包含:不同的高吸收率金屬之間的比率、具有EUV可劈裂之大型基團之金屬原子的百分比(或相關地,金屬原子與EUV可劈裂之大型基團之間的比率)、包含高吸收率元素(如Te及I)之大型基團或相對反應物的百分比(或相關地,金屬原子與額外之高吸收率元素(如Te及I)之間的比率)、及上述之組合。
EUV PR中的組成梯度亦可帶來其他益處。例如,薄膜底部之高密度的高EUV吸收率元素可有效地產生更多二次電子,其可更佳地使薄膜上部曝光。此外,此等組成梯度亦可與較高分率的未鍵結至大型末端取代基之EUV吸收物種直接相關聯。例如,在基於Sn之光阻的情況下,錫前驅物可能摻有四個脫離基,從而促進Sn-O-基板之鍵結在界面處形成,以改善附著力。
以下描述如何產生根據本發明之金屬有機光阻的一些範例、以及可獲得的一些可能的技術優點。 前驅物說明
一般而言,所描述之方法涉及使在各個金屬原子上包含可在氣相沉積反應後存留之至少一個烷基的有機金屬前驅物流動,而與金屬配位之其他配位基或離子可能被相對反應物取代。合適的有機金屬前驅物包含具有以下化學式的前驅物: M1a R1b L1c (化學式1) 其中:M1為具有高圖案化輻射吸收截面(例如,等於或大於1x107 cm2 /mol)的金屬,如Sn、Sb、In、Bi、Te、及其組合;R1為烷基(或經鹵素取代之烷基)基團,如Cn H2n+1 ,其中較佳為n ≥ 3;L1為與相對反應物具反應性之配位基、離子或其他部分;a ≥ 1;b ≥ 1;且c ≥ 1。
在許多實施例中,圖案化輻射為EUV輻射,且M1為具有高EUV輻射吸收截面的金屬。在使用其他類型的圖案化輻射之情況下,M1對於用以使光阻材料顯影的圖案化輻射類型可具有高吸收截面。
此外,不具有烷基而僅具有與金屬原子配位之配位基或離子(其可能被相對反應物取代)的合適有機金屬前驅物包含: M2a L2c (化學式2) 其中:M2為具有高EUV吸收截面的金屬,其可與M1相同或不同;L2為與相對反應物具反應性之配位基、離子或其他部分;a ≥ 1且c ≥ 1。相對反應物較佳係具有取代反應性部分配位基或離子(例如上述之化學式1中的L1、及/或化學式2中的L2)的能力,俾透過化學鍵結而連結至少兩個金屬原子。
在許多實施例中,圖案化輻射為EUV輻射,且M2為具有高EUV輻射吸收截面的金屬。在使用其他類型的圖案化輻射之情況下,M2對於用以使光阻材料顯影的圖案化輻射類型可具有高吸收截面。
相對反應物可包含水、過氧化物(例如過氧化氫)、甲酸、醇(例如二或多羥基醇、氟化的二或多羥基醇、氟化的乙二醇)、氧、臭氧、羥基部分的其他來源、及其組合。在許多實施例中,相對反應物透過在相鄰金屬原子之間形成氧橋而與有機金屬前驅物進行反應。其他可能的相對反應物包含可透過硫橋而交聯金屬原子的硫化氫及二硫化氫、以及可透過碲橋而交聯金屬原子的雙(三甲基矽基)碲。此外,可利用碘化氫將碘摻入薄膜中。
在許多實施例中, R1可被氟化,例如具有化學式Cn Fx H(2n + 1) 。在許多實施例中,R1具有至少一個β-氫或β-氟。例如,R1可選自由異丙基、正丙基、叔丁基、異丁基、正丁基、仲丁基、正戊基、異戊基、叔戊基、仲戊基、及其混合物(其任一者可經氟化)所構成之群組。
L1或L2可為易於被相對反應物取代而產生對應的M-OH部分之任何部分,例如選自由胺(例如二烷基胺基、單烷基胺基)、烷氧基、羧酸鹽、鹵素及其混合物所構成之群組之部分。
有機金屬前驅物可為各種候選的金屬-有機前驅物中之任一者。例如,當M1及/或M2為錫時,此等前驅物包括叔丁基三(二甲基胺基)錫、異丁基三(二甲基胺基)錫、正丁基三(二甲基胺基)錫、仲丁基三(二甲基胺基)錫、異丙基三(二甲基胺基)錫、正丙基三(二乙基胺基)錫、及類似的烷基三(叔丁氧基)錫化合物例如叔丁基三(叔丁氧基)錫、異丁基三(叔丁氧基)錫、正丁基三(叔丁氧基)錫、仲丁基三(叔丁氧基)錫、異丙基三(叔丁氧基)錫、正丙基三(叔丁氧基)錫、以及該等材料的鹵素取代形式。在某些範例中,有機金屬前驅物可包含叔丁基三氯化錫、異丁基三氯化錫、正丁基三氯化錫、仲丁基三氯化錫、異丙基三氯化錫、正丙基三氯化錫、叔丁基三溴化錫、異丁基三溴化錫、正丁基三溴化錫、仲丁基三溴化錫、異丙基三溴化錫、正丙基三溴化錫等。在某些實施例中,有機金屬前驅物係部分氟化的。在某些實施例中,有利地使用反應性較低/可控制性較高的脫離基,如叔丁氧基。
前驅物及其沉積作為適用於本發明之EUV光阻膜之方法的進一步說明可於國際申請案PCT/US19/31618中找到。除了有機金屬前驅物及相對反應物之外,薄膜亦可包括選用性的材料,以修飾薄膜之化學或物理性質,例如修飾薄膜對EUV之敏感性或增強抗蝕刻性。此等選用性材料可被引入,例如藉由在基板上沉積之前之氣相形成期間進行摻雜、在薄膜之沉積之後進行摻雜、或以上兩者皆是。在一些實施例中,可引入溫和的遠程H2 電漿以藉由M1-H及/或M2-H鍵結(如Sn-H鍵結)取代一些M1-L及/或M2-L鍵結(如Sn-L鍵結),例如,其可增加EUV下之光阻的反應性。
在許多實施例中,使用本領域習知的氣相沉積設備及製程以在基板上製造和沉積EUV可圖案化之膜。在此等製程中,聚合的有機金屬材料在氣相中形成或原位地形成在基板表面上。合適的製程包括例如化學氣相沉積(CVD)、原子層沉積(ALD)、及具有CVD要素之ALD,例如不連續的類ALD製程,其中金屬前驅物及相對反應物不論在時間或空間上均為分離的。該等反應可由熱能或電漿能所驅動。
一般而言,方法包括將有機金屬前驅物之蒸汽流與相對反應物之蒸汽流混合,以形成聚合的有機金屬材料,並將有機金屬材料沉積在半導體基板之表面上。如熟習本技藝者所理解的,製程之混合及沉積態樣可在實質上連續的製程中同時進行。
在例示性的連續CVD製程中,將有機金屬前驅物及相對反應物源之二或更多氣流(在分開的入口路徑中)引入CVD設備之沉積腔室,在該處它們於氣相中進行混合和反應 ,以形成附聚的聚合材料(例如,藉由金屬-氧-金屬鍵結之形成)。例如,可使用分離的注射入口或雙充氣部噴淋頭以引入該等流動。該設備係配置以使相對反應物及有機金屬前驅物之流動在腔室中混合,使得有機金屬前驅物與相對反應物反應以形成聚合的有機金屬材料。在不限制本技術之反應機制、功能或效用之情況下,吾人認為,來自此等氣相反應之產物之分子量將變得較大,因為金屬原子經由相對反應物而交聯,隨後縮合或以其他方式沉積在基板上。在許多實施例中,大型烷基之立體障礙會阻止緻密堆積網狀物之形成並生成多孔的低密度膜。在許多情況下,低密度膜具有介於約2-2.5 g/cm3 之間的密度。
CVD製程通常在較低的壓力下執行,例如10 milliTorr至10 Torr。在一些實施例中,該製程在0.5至2 Torr下執行。基板之溫度較佳係等於或低於反應物流之溫度。例如,基板溫度可為0℃至250℃、或環境溫度(例如,23℃)至150℃。在許多製程中,聚合的有機金屬材料在基板上之沉積係以與表面溫度成反比之速率發生。
在此說明內容之其餘部分中,有機錫部分係用作EUV反應性部分的主要範例,可在其中添加其他Sn及非Sn前驅物以改變EUV吸收特性。可使用與本揭示內容一致的其他有機金屬物種。
使用乾式沉積方法的潛在優點為在薄膜生長時易於調整薄膜的組成。在CVD製程中,此可透過在沉積期間改變二或更多含金屬前驅物之相對流量而達成。可於30-200°C之間、在0.01-100 Torr之間的壓力下進行沉積,但更普遍係在約0.1 Torr至10 Torr之間的壓力下進行。
在ALD製程中,此可透過改變一前驅物對另一前驅物之相對循環比而達成。例如,起初製程可為5x [給劑前驅物A – 排淨 – 給劑相對反應物 – 排淨] + 1x [給劑前驅物B – 排淨 – 給劑相對反應物 – 排淨],以產生重A膜(A-heavy film),在薄膜生長後期,可將循環改變為1x [給劑前驅物A – 排淨 – 給劑相對反應物 – 排淨] + 5x [給劑前驅物B – 排淨 – 給劑相對反應物 – 排淨],以產生重B膜(B-heavy film)。可於30-300°C之間、在0.01-10 Torr之間的壓力下進行沉積。該ALD製程在前驅物與相對反應物脈衝之間的排淨可能不完全,從而在生長步驟中增添了CVD要素。 透過ALD/CVD方法(非電漿)而進行的梯度薄膜之乾式沉積
一種產生具有變化的烷基之梯度錫基光阻的範例系統涉及使用四(二甲氨基)錫及異丙基三(二甲氨基)錫前驅物、及水以作為相對反應物。起初將四(二甲氨基)錫作為次要成分或主要成分導入以產生未與烷基鍵結之相對緻密的Sn成分(基本上為SnO2 及Sn-OH衍生物)。四(二甲氨基)錫:異丙基三(二甲氨基)錫前驅物之比率隨著薄膜生長而降低。此產生在薄膜中之Sn-R鍵的量朝薄膜之上表面增加的薄膜。(由此製程所產生之薄膜的吸收率增加之範例係在下文中說明)。此製程可透過ALD或CVD方法執行。
另一方法可為將不同金屬加至系統以增進吸收作用。例如,可利用異丙基三(二甲氨基)錫及三(二甲氨基)鉍以合成具有鉍及錫金屬中心的梯度薄膜,如圖2A所示。隨著薄膜沉積,使前驅物流動中的三(二甲氨基)鉍:異丙基三(二甲氨基)錫之比率降低。此導致薄膜底部含有比薄膜頂部更多的鉍金屬中心。如同Sn,Bi原子呈現出極高的EUV截面,但與Sn不同,即使沒有大型的EUV不穩定取代基,Bi原子仍較不易於縮合成難以應對的二元金屬氧化物。因此,在某些背景或應用中,採用三(二甲氨基)鉍之製程可明顯優於基於四(二甲氨基)錫的製程,因為預計對濕式(含水及無水的)及乾式(例如基於HCl或HBr,如在我們於2019年6月26日申請的美國申請案第62/866,942號中所述,在此為說明適用的乾式顯影技術之目的而引入以供參照)顯影策略的負面影響明顯降低。
一種涉及吸收梯度之相關方法的目標為利用某種錫基光阻膜,其使用兩種前驅物,其中該兩種前驅物皆帶有烷基,但其中一種比另一種多包含一或更多的烷基配位基。例如,可使用異丙基三(二甲氨基)錫及二異丙基二(二甲氨基)錫。起初,在薄膜沉積期間導入二異丙基二(二甲氨基)錫之流動,並且隨著薄膜沉積而增加其相對於異丙基三(二甲氨基)錫之流動的比率。此導致薄膜相對於薄膜底部而在表面上具有較高量的鍵結至兩個烷基之Sn。
再另一種策略涉及導入水的替代(或額外)共反應物,以將EUV吸收係數遠高於氧之元素導入。一種範例為摻入碲,如圖2B所示。雙(三甲基)碲提供作為氣相共反應物的一可行選項。在某些實施例中,此可與烷基錫前驅物(如三(叔丁氧基)異丙錫)及水結合。藉此方式,可產生吸收80%或更高的EUV輻射之薄膜,且在薄膜底部附近的反應性Sn-烷基部分幾乎沒有減少。如圖2B所示,在沉積的初始部分期間,共反應物包含水及雙(三甲基)碲兩者。形成於下方基板上的初始薄膜具有大量的錫及碲。隨著沉積處理的進行,雙(三甲基)碲:三(叔丁氧基)異丙錫之比率降低,從而形成具有相對較少的碲及相對較多的錫(與薄膜之初始部分相比)之薄膜。在許多實施例中,薄膜可過渡至薄膜頂表面附近的無碲組成,以例如協助使汙染及處理問題最小化。
可採用一種相似的策略(亦採用三(叔丁氧基)異丙錫及水以作為基礎化學品)以進行碘的梯度式導入,其係利用低濃度的HI(或合適的烷基碘化物)及水以在界面附近實現碘的梯度式摻雜。
雖然此章節涉及梯度薄膜之乾式沉積的非電漿方法,但應理解,該等策略之任一者亦可應用於基於電漿之沉積方法。 透過ALD/CVD方法(電漿)而進行的梯度薄膜之乾式沉積
產生梯度薄膜的另一方法涉及在薄膜初始沉積期間使用電漿以移除與金屬中心鍵結的一些烷基。例如,在電漿存在下,易於藉由VUV或電漿中之電子或離子的轟擊而劈斷Sn-烷基鍵結。理想上,使用具備僅僅移除烷基而不蝕刻薄膜之條件的電漿。例如,可使用O2 、Ar、He、或CO2 電漿。隨著薄膜的沉積,降低用於移除烷基之電漿的效率(亦即,降低電漿的功率),並且最終將其關閉。此導致在薄膜表面具有比薄膜底部更多的M-烷基鍵結數之梯度薄膜。 圖案化曝光
在沉積及選用性的塗佈後烘烤之後,使梯度薄膜曝光。EUV、193 nm、或電子束可用以對薄膜進行曝光。在許多實施例中,使用EUV。亦應理解,雖然本發明涉及微影圖案化技術及以EUV微影為例的材料,但其亦適用於其他下一世代的微影技術。除了EUV(其包含當前正在使用和開發的標準13.5 nmEUV波長)以外,與此等微影最為相關的輻射源為DUV(深-UV) (其大體上涉及使用248nm或193nm之準分子雷射源)、X射線(其正規上包含在X射線範圍之較低能量範圍的EUV)、以及電子束(其可涵蓋廣泛的能量範圍)。特定方法可取決於半導體基板及最終半導體元件中所使用的特定材料及應用。因此,本申請案中所述之方法僅為可於本技術中使用的方法及材料的示例。
梯度薄膜的可能優點為較高的EUV吸收率。在表面具有比底部更高密度的Sn-烷基鍵結之梯度Sn基薄膜為一個範例。基於密度、組成元素的EUV截面、及化學計量,可輕易計算出SnO2 具有比CVD有機錫氧化物膜更高的線性吸收係數,如本文及國際申請案PCT/US19/3161中所述;亦即,對於一給定容積而言,與CVD有機錫氧化物膜相比,SnO2 吸收較多的EUV光子。可藉由比爾定律(Beer’s Law)計算EUV光子之吸收率。圖1A繪示各種代表性薄膜之組成對薄膜深度的關係。圖1B繪示該等相同代表性薄膜之吸收率對薄膜深度的關係,其中基於比爾定律而進行估算。
捕捉較大量的進入的EUV光可為有利的,該進入的EUV光進入晶圓以引致曝光與未曝光區域之間的對比。應使用足夠厚的光阻,俾使圖案可隨後被轉移至後續膜層。一般而言,在光阻於組成上為均質的情況下,應有約70%的光穿透至光阻底部。然而,在光阻具有梯度組成的情況下,較大的吸收率及較低的穿透度可能係合適的,因為梯度組成可抵消吸收行為的不均勻性,否則該不均勻性會在均質光阻組成之情況下發生。
對於例示性Sn情況而言,納入具有較高吸收率之區域可為有利的,尤其係在靠近下方基板的薄膜底部附近。該等區域吸收更多EUV光,從而產生更多二次電子,其可使相鄰區域(例如,對於如本文所述之CVD有機錫氧化物膜而言,約1 nm的半徑)曝光。一種可能的方法為在薄膜底部附近包含具有較少Sn-烷基鍵結(實際上為較多的類SnO2 材料)的區域,而在薄膜頂部附近包含具有較多Sn-烷基鍵結的區域。
不同組成梯度之一些範例係顯示於圖1A中,且相對應的吸收率係顯示於圖1B中。此外,圖1C-1E繪示不同的EUV光阻及其提供的吸收率/穿透度結果。在該等圖式中,EUV光阻121係位在下方基板120上。EUV輻射122照射在EUV光阻121上,其中EUV光阻121所吸收的EUV輻射122的量有所不同,結果,穿透通過EUV光阻121至下方基板120上的EUV輻射量有所不同。圖1C的光阻121為均質有機錫氧化物光阻,其具有30%的相對低EUV吸收率、及70%的穿透度。圖1D的光阻121為具有90%的相對高EUV吸收率、及10%的穿透度的均質薄膜(因為EUV吸收率及所得之化學品朝光阻頂部而增加比重,故此光阻對於圖案化應用而言大致上係無效的)。圖1E的光阻121為具有組成梯度之梯度錫基EUV光阻,其提供整體60%之EUV吸收率、及40%的穿透度。圖1E中之組成梯度所提供之增加的EUV吸收梯度使得整個光阻121厚度之吸收更為均勻。可輕易看出,包含具有較高的類SnO2 特性之區域使得薄膜中的EUV吸收率增加。所提供之範例均朝向薄膜表面增加Sn-烷基含量,但亦設想其中存在交替的重Sn-烷基(Sn-alkyl heavy)區域、輕Sn-烷基(Sn-alkyl light)區域之疊層的概念。 顯影策略
在曝光及選用性的烘烤之後,將對薄膜進行顯影。可對薄膜進行濕式顯影或乾式顯影。可對所選之薄膜及化學品進行設計,使得未曝光之薄膜可相對於曝光的薄膜而被選擇性地移除。
已針對基於有機錫氧化物之EUV光阻而演示合適的乾式顯影處理適用於可商購之可旋塗式製劑(例如,來自Inpria Corp)及利用乾式真空沉積技術所塗佈的製劑,如上所述。藉由對非EUV曝光區域進行選擇性乾式顯影(移除)可實現負型乾式顯影,其中該等非EUV曝光區域係在不觸發電漿之情況下暴露於包含氫鹵化物或氫及鹵化物(包括HCl及/或HBr)之流動、或在具有遠程電漿或電漿所產生之UV輻射以產生自由基之情況下暴露於H2 及Cl2 及/或Br2 之流動。用於乾式顯影之處理的範例涉及含有機錫氧化物之EUV敏感的光阻薄膜(例如,10-40 nm厚,在某些情況下為10-20 nm厚,如15 nm),其經受EUV曝光給劑及曝光後烘烤,並接著進行乾式顯影。用於乾式顯影之製程條件可為例如:100-500 sccm之反應物流量(例如500 sccm之HBr或HCl)、-10至120˚C之溫度(例如-10˚C)、20-500 mT之壓力(例如300 mT),並且無電漿,且持續約10-500秒的時間(在某些情況下約10秒至1分鐘),其取決於光阻膜及組成與特性。在氫鹵化物反應物流動為包含氫氣及鹵化物氣體的情況下,使用遠程電漿/UV輻射以從H2 及Cl2 及/或Br2 產生自由基,並且使氫及鹵化物自由基流至反應腔室以接觸晶圓之基板層上的圖案化EUV光阻。合適的電漿功率可在100至500 W之範圍內,且無偏壓。應注意,雖然該等條件適用於某些處理反應器(例如可從加州費利蒙之蘭姆研究公司取得的Kiyo蝕刻工具),但可依據處理反應器的能力而使用範圍更寬的製程條件。
梯度薄膜的另一潛在優點在顯影處理中係明顯的。如前所述,當EUV光行進通過光阻時,有些光被吸收。此意指光阻底部係暴露於比光阻頂部更少的光。透過使用梯度薄膜,可實現在整個光阻厚度中吸收的EUV光子之數量分佈更為均勻,同時保留選擇性顯影所需之充分的EUV誘發反應性。例如,在異丙基三(二甲氨基)錫及四(二甲氨基)錫之情況下,與利用相對較低的四(二甲氨基)錫:異丙基三(二甲氨基)錫之比率進行沉積的區域相比,利用相對較高的四(二甲氨基)錫:異丙基三(二甲氨基)錫之比率進行沉積的區域可具有較低的Sn-烷基鍵結百分比及較多的Sn-O-Sn鍵結。因此,若在沉積期間起初流動較大量的四(二甲氨基)錫(然後在沉積過程中減低),則薄膜底部可有效地比薄膜頂部更具交聯性。 結論
藉由引入高EUV吸收元素,光阻材料可相對於習知金屬氧化物光阻(如Inpria)而吸收更多EUV光子並發射更多二次電子,其可降低劑量需求並從而降低EUV微影的成本。可利用濕式旋塗法及乾式CVD/ALD方法以沉積此等薄膜。
與均質薄膜相比,梯度薄膜可提供降低薄膜曝光所需的EUV劑量之優點。與旋塗式薄膜相比,該等薄膜之乾式沉積提供更多機會以在整個薄膜厚度各處調整光阻組成。 設備
圖3繪示處理站300之實施例的示意圖,該處理站300具有處理腔室本體302,用以維持適於實施所述乾式沉積及本文所述之顯影實施例的低壓環境。在共同的低壓處理工具環境中可包含複數處理站300。例如,圖4繪示多站處理工具400之實施例,例如可從加州費利蒙之蘭姆研究公司取得的VECTOR®處理工具。在一些實施例中,處理站300之一或更多硬體參數(包含以下所詳細討論者)可藉由一或更多電腦控制器350而編程式地加以調整。
處理站可配置為群集工具中的模組。圖6繪示具有真空整合沉積及圖案化模組的半導體處理群集工具架構,其適用於本文所述實施例之實施方式。此類群集處理工具架構可包含光阻沉積、光阻曝光(EUV掃描器)、光阻乾式顯影及蝕刻模組,如上所述和以下參照圖5及6而進一步描述。
在一些實施例中,某些處理功能可在相同模組中連續地執行,例如乾式顯影及蝕刻。本發明之實施例涉及用於以下操作的方法及設備:在EUV掃描器中進行光圖案化之後將晶圓(包含沉積於待蝕刻之膜層或膜層堆疊上的光圖案化EUV光阻薄膜層)接收至乾式顯影/蝕刻腔室;對光圖案化EUV光阻薄膜層進行乾式顯影;並接著使用圖案化的EUV光阻作為遮罩以蝕刻下伏膜層,如本文所述。
回到圖3,處理站300與反應物輸送系統301a流體連通,以將處理氣體輸送至分佈噴淋頭306。反應物輸送系統301a選用性地包含混合容器304,用以混合及/或調節處理氣體,以輸送至噴淋頭306。一或更多混合容器入口閥320可控制處理氣體導入至混合容器304。在許多實施例中,可省略混合容器304,且反應物輸送系統301a可配置以將反應物之各者個別輸送至處理站300,俾使該等反應物在到達處理站300之前不進行混合。在使用電漿暴露之情況下,電漿亦可被輸送至噴淋頭306、或可於處理站300中產生。如上所述,在至少一些實施例中,非電漿熱暴露係有利的。
圖3包含選用性的汽化點303,用以汽化欲供應至混合容器304的液體反應物。在一些實施例中,可提供汽化點303上游之液體流動控制器(LFC),以控制用於汽化與輸送至處理站300之液體的質量流量。例如,LFC可包含位在LFC之下游的熱質量流量計(MFM)。可接著響應回饋控制信號而調整LFC之柱塞閥,該等回饋控制信號係由與MFM電氣通訊的比例-積分-微分(PID)控制器所提供。
噴淋頭306將處理氣體分佈至基板312。在圖3所示的實施例中,基板312係位在噴淋頭306下方,且係顯示為坐落於底座308上。噴淋頭306可具有任何合適外形,且可具有任何合適數目及通口之配置,用以將處理氣體分佈至基板312。
在一些實施例中,可將底座308升高或降低以使基板312暴露於基板312與噴淋頭306之間的容積。應理解,在一些實施例中,可藉由合適的電腦控制器350而以編程方式調整底座高度。
在一些實施例中,可經由加熱器310對底座308進行溫度控制。在一些實施例中,當使光圖案化之光阻對氫鹵化物乾式顯影化學品(如HBr或HCl)進行非電漿熱暴露的期間,可將底座308加熱至大於0°C且高達300°C或更高之溫度,例如50至120°C,如大約65至80°C,如揭示實施例所述。
再者,在一些實施例中,可經由蝶形閥318提供對於處理站300的壓力控制。如圖3之實施例所示,蝶形閥318調節由下游真空泵浦(未圖示)所提供之真空。然而,在一些實施例中,亦可藉由改變被導入至處理站300的一或更多氣體之流動速率而調整處理站300的壓力控制。
在一些實施例中,可相對於底座308而調整噴淋頭306的位置,以改變基板312與噴淋頭306之間的容積。再者,應理解,可藉由本揭示內容之範疇內的任何合適機構而改變底座308及/或噴淋頭306之垂直位置。在一些實施例中,底座308可包含旋轉軸,用以轉動基板312的方向。應理解,在一些實施例中,可藉由一或更多合適的電腦控制器350而以編程方式執行該等範例調整之其中一或更多者。
在可使用電漿之情況下,例如在基於溫和電漿之乾式顯影實施例及/或在同一腔室中執行的蝕刻操作中,噴淋頭306和底座308與射頻(RF)電源供應器314和匹配網路316電氣通訊,用以為電漿提供能量。在一些實施例中,可藉由控制下列其中一或多者而控制電漿能量:處理站壓力、氣體濃度、RF源功率、RF源頻率、以及電漿功率脈衝時序。例如,可於任何適當功率下操作RF電源供應器314和匹配網路316,以形成具有所期望之自由基物種成分的電漿。合適功率之範例為高達約500W。
在一些實施例中,可經由輸入/輸出控制(IOC)序列指令而提供對於控制器350的指令。在一範例中,用於為處理階段設定條件的指令可係包含於處理配方的相應配方階段中。在一些情況下,可依序配置處理配方階段,因此針對一處理階段的所有指令係與該處理階段同時執行。在一些實施例中,配方階段中可包含用以設定一或更多反應器參數的指令。例如,一配方階段可包含設定乾式顯影化學反應物氣體(例如HBr或HCl)之流動速率的指令、以及針對該配方階段的時延指令。在一些實施例中,控制器350可包含以下針對圖4之系統控制器450而描述的特徵之任一者。
如上所述,多站處理工具中可包含一或更多處理站。圖4顯示具有入站負載閘402及出站負載閘404的多站處理工具400之實施例的示意圖,入站負載閘402及出站負載閘404之任一或兩者可包含遠程電漿源。於大氣壓力下,將機械臂406配置成經由大氣埠410將晶圓從由晶圓傳送盒408所裝載的晶舟盒移動進到入站負載閘402。由機械臂406將晶圓放置於入站負載閘402中的底座412上,關閉大氣埠410,並且將負載閘抽空。當入站負載閘402包含遠程電漿源,晶圓可係暴露於遠程電漿處理,俾在被導入至處理腔室414之前,於負載閘中處理矽氮化物表面。再者,亦可在入站負載閘402中加熱晶圓,例如,俾移除濕氣與所吸附之氣體。接著,開啟通往處理腔室414的腔室輸送埠416,且另一機械臂(未顯示)將晶圓放置進入反應器、於反應器中所示的第一站之底座上以用於處理。雖然圖4所描繪之實施例包含負載閘,但應理解,在一些實施例中,可提供晶圓進入處理站的直接入口。
圖4所示之實施例中,所描繪之處理腔室414包含四個處理站,編號為1至4。各站具有經加熱之底座(顯示於站1之418)、以及氣體管線入口。應理解,在一些實施例中,各處理站可具有不同或多種用途。例如,在一些實施例中,處理站為可於乾式顯影與蝕刻處理模式之間切換的。附加地或替代地,在一些實施例中,處理腔室414可包含一或更多匹配成對的乾式顯影與蝕刻處理站。並且,在一些實施例中,為了沉積具有垂直梯度組成的EUV光阻膜,多站處理工具可配置以沉積一系列(例如四個)循序的均質分階吸收層,從而使薄膜底部相對於薄膜頂部而增加具有高EUV吸收率之原子的密度。雖然所描繪之處理腔室414包含四個站,但應理解,依據本揭示內容的處理腔室可具有任何適當的站數。例如,在一些實施例中,處理腔室可具有五或更多站,而在其他實施例中處理腔室可具有三或更少站。
圖4描繪處理腔室414內用以傳送晶圓的晶圓搬運系統之實施例。在一些實施例中,晶圓搬運系統可於各種處理站間及/或於處理站與負載閘之間傳送晶圓。應理解,可採用任何合適的晶圓搬運系統。非限制之範例包含晶圓轉盤及晶圓搬運機械臂。圖4亦描繪系統控制器450之實施例,該系統控制器450係用以控制處理工具400的處理條件及硬體狀態。系統控制器450可包含一或更多記憶裝置456、一或更多大量儲存裝置454、以及一或更多處理器452。處理器452可包含CPU或電腦、類比、及/或數位輸入/輸出連接、步進馬達控制器板等。
在一些實施例中,系統控制器450控制處理工具400的所有行動。系統控制器450執行系統控制軟體458,該系統控制軟體458係儲存於大量儲存裝置454中、載入至記憶裝置456、並於處理器452上執行。或者,可於控制器450中將控制邏輯硬碼化。可為該等目的而使用特殊應用積體電路、可程式化邏輯裝置(例如,現場可程式化閘陣列、或FPGAs)等。在以下的討論中,每當使用「軟體」或「碼」,則該處可使用功能相當的硬碼化邏輯。系統控制軟體458可包含下列指令:控制時序、氣體之混合、氣體流動速率、腔室及/或站之壓力、腔室及/或站之溫度、晶圓溫度、標的功率位準、RF功率位準、基板底座、夾頭及/或晶座之位置、以及由處理工具400所執行的特定處理之其他參數。系統控制軟體458可以任何適當方式配置。例如,可寫入各種處理工具元件之子程式或控制物件,以控制處理工具元件的操作,該等處理工具元件係用以執行各種處理工具的處理。可以任何合適的電腦可讀取程式語言為系統控制軟體458編碼。
在一些實施例中,系統控制軟體458可包含輸入/輸出控制(IOC)序列指令,用以控制上述的各種參數。在一些實施例中,可採用儲存於與系統控制器450相關的大量儲存裝置454及/或記憶裝置456上的其他電腦軟體及/或程式。為此用途的程式或程式之部分的範例包含基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、以及電漿控制程式。
基板定位程式可包含用於處理工具元件的程式碼,該等處理工具元件係用以將基板裝載於底座418上、以及用以控制介於基板與處理工具400的其他部件之間的間距。
處理氣體控制程式可包含程式碼,用以控制氫鹵化物氣體成分(例如,本文所述之HBr或HCl氣體)及流動速率及選用性地用以在沉積之前將氣體流入一或更多處理站,俾穩定處理站中的壓力。壓力控制程式可包含程式碼,用以藉由調整例如處理站之排放系統中的節流閥、流入處理站之氣流等,俾控制處理站內的壓力。
加熱器控制程式可包含程式碼,用以控制用於加熱基板之加熱單元的電流。或者,加熱器控制程式可控制熱傳氣體(例如氦氣)輸送至基板。
電漿控制程式可包含程式碼,用以設定施加至處理電極的RF功率位準,該等處理電極係在依據本文實施例之一或更多處理站之中。
壓力控制程式可包含程式碼,用以維持依據本文實施例之反應腔室中的壓力。
在一些實施例中,可能存在與系統控制器450相關的使用者介面。該使用者介面可包含顯示螢幕、設備及/或處理站的圖形軟體顯示、以及使用者輸入裝置(例如指向裝置、鍵盤、觸控螢幕、麥克風等)。
在一些實施例中,經由系統控制器450調整的參數可係關於處理條件。非限制之範例包含處理氣體成分及流動速率、溫度、壓力、電漿狀態(例如RF偏壓功率位準)等。可將該等參數以配方之形式提供予使用者,可利用使用者介面將配方輸入。
可經由來自各種處理工具感測器的系統控制器450之類比及/或數位輸入連接而提供監視該處理的信號。可將控制該處理的信號輸出於處理工具400之類比及數位輸出連接上。可受監視之處理工具感測器的非限制範例包含質量流量控制器、壓力感測器(例如壓力計)、熱電偶等。可將適當編程的回饋與控制演算法與來自該等感測器的資料一同使用,俾維持處理條件。
系統控制器450可提供程式指令,用以實行上述之沉積處理。該等程式指令可控制各種的處理參數,例如DC功率位準、RF偏壓功率位準、壓力、溫度等。指令可控制參數以依據本文所述之各種實施例而操作乾式顯影及/或蝕刻處理。
系統控制器450通常會包含一或更多記憶裝置及一或更多處理器,其係配置以執行指令,因此設備會依據所揭示實施例而執行方法。用以控制依據所揭示實施例之處理操作的含機器可讀媒體指令可被連接至系統控制器450。
在一些實施例中,系統控制器450為系統的部分,該系統可為上述範例的部分。此類系統可包含半導體處理設備,含一或複數處理工具、一或複數腔室、用於處理的一或複數工作台、及/或特定處理元件(晶圓底座、氣流系統等)。該等系統可與電子裝置整合,以於半導體晶圓或基板之處理前、處理期間、及處理後控制其操作。可將該等電子裝置稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理之條件及/或系統之類型,可將系統控制器450程式化以控制本文中所揭示之處理的任一者,包含處理氣體之輸送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、射頻(RF)匹配電路設定、頻率設定、流動速率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統介面接合的其他傳送工具及/或負載閘之晶圓傳送。
廣泛而言,可將系統控制器450定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許端點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到系統控制器450的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定處理的操作參數。在一些實施中,該等操作參數可為由製程工程師所定義之配方的部分,該配方係用以在基板之一或更多的膜層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的製造期間,完成一或更多的處理步驟。
在一些實施中,系統控制器450可為電腦的部分或耦接至電腦,該電腦係與系統整合、耦接至系統、或透過網路連接至系統、或上述之組合。例如,系統控制器450係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許基板處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前進度、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,俾改變目前處理之參數,以設定處理步驟而接續目前的處理、或開始新的處理。在一些範例中,遠端電腦(如伺服器)可透過網路將處理配方提供給系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在一些範例中,系統控制器450接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之各者而指定參數。應理解,該等參數可特定於待執行之處理的類型、及工具(系統控制器450係配置成與該工具介面接合或控制該工具)的類型。因此,如上所述,系統控制器450可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文中所敘述之處理及控制。用於此類目的之分開的控制器之範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的處理。
範例系統可包含(但不限於)電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、EUV微影腔室(掃描器)或模組、乾式顯影腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統。
如上所述,依據將藉由工具執行之(複數)處理步驟,系統控制器450可與半導體製造工廠中之下列一或更多者進行通訊:其他工具電路或模組、其他工具元件、群集工具、其他工具介面、鄰接之工具、鄰近之工具、遍布工廠的工具、主電腦、另一控制器、或材料運輸中所使用之工具,該材料運輸中所使用之工具將晶圓容器輸送往返於工具位置及/或裝載埠。
現說明,在某些實施例中,可適用於蝕刻操作的感應耦合式電漿(ICP)反應器,該等蝕刻操作係適用於一些實施例的實施方式。雖然本文描述ICP反應器,但應理解,在一些實施例中,亦可使用電容耦合式電漿反應器。
圖5概要地顯示感應耦合式電漿設備500的橫剖面圖,其適合執行某些實施例或實施例的態樣(例如乾式顯影及/或蝕刻),該設備之範例為由加州費利蒙的蘭姆研究公司所生產的Kiyo®反應器。在其他實施例中,可使用具有執行本文所述之乾式顯影及/或蝕刻處理之功能的其他工具或工具類型來實行。
感應耦合式電漿設備500包含整體處理腔室524,其結構上係由腔室壁501及窗部511所界定。腔室壁501可係由不鏽鋼或鋁所製造。窗部511可係由石英或其他介電材料所製造。可選之內部電漿柵550將整體處理腔室分為上子腔室502及下子腔室503。在大部分實施例中,可將電漿柵550移除,從而利用由子腔室502及503所構成的腔室空間。夾頭517係安置於下子腔室503內靠近底部的內表面處。夾頭517係配置以接收及固持半導體晶圓519,蝕刻及沉積處理係在該半導體晶圓519上執行。夾頭517可為用以支持晶圓519(當其存在時)的靜電夾頭。在一些實施例中,邊緣環(未顯示)環繞夾頭517、且具有與晶圓519上表面(當存在於夾頭517上方時)為近乎平面的上部表面。夾頭517亦包含靜電電極,用以夾持與去夾持晶圓519。可為此用途而提供濾波器及DC箝制電源供應器(未顯示)。亦可提供用於將晶圓519抬升離開夾頭517的其他控制系統。可利用RF電源供應器523以使夾頭517電氣帶電。透過連接部527以將RF電源供應器523連接至匹配電路521。透過連接部525以將匹配電路521連接至夾頭517。以此方式,將RF電源供應器523連接至夾頭517。在各種實施例中,可將靜電夾頭的偏壓功率設定為約50V、或取決於依據揭示實施例所執行的處理而設定為不同的偏壓功率。例如,偏壓功率可介於約20 Vb與約100 V之間、或介於約30 V與約150 V之間。
用於電漿產生之元件包含置於窗部511上方的線圈533。在一些實施例中,線圈非用於所揭示之實施例中。線圈533係由導電材料製造、且包含至少一整圈。顯示於圖5的線圈533之範例包含三圈。線圈533的截面係以符號顯示,且具有「X」的線圈旋轉伸入頁面,而具有「●」的線圈旋轉伸出頁面。用於電漿產生之元件亦包含配置以將RF功率供應至線圈533的RF電源供應器541。一般而言,透過連接部545以將RF電源供應器541連接至匹配電路539。透過連接部543以將匹配電路539連接至線圈533。以此方式,將RF電源供應器541連接至線圈533。可選的法拉第屏蔽549a係位於線圈533與窗部511之間。法拉第屏蔽549a可相對於線圈533而維持相隔開的關係。在一些實施例中,法拉第屏蔽549a係緊接置於窗部511之上。在一些實施例中,法拉第屏蔽549b係在窗部511與夾頭517之間。在一些實施例中,法拉第屏蔽549b並非相對於線圈533而維持相隔開的關係。例如,法拉第屏蔽549b可無間隙地直接位在窗部511下方。線圈533、法拉第屏蔽549a、及窗部511各係配置為實質上與彼此平行。法拉第屏蔽549a可避免金屬或其他物種沉積於處理腔室524的窗部511上。
可使處理氣體通過位於上子腔室502中的一或更多主氣流入口560、及/或通過一或更多側氣流入口570而流入處理腔室。同樣地,雖然未明確顯示,相似的氣流入口可用以將處理氣體供應至電容耦合式電漿處理腔室。真空泵浦(例如,一或二級機械乾式泵浦及/或渦輪分子泵浦540)可用以將處理氣體自處理腔室524抽出,並維持處理腔室524內之壓力。例如,真空泵浦可用於在ALD的清除操作期間將下子腔室503排空。以閥控制的管道可用以將真空泵浦流體連接至處理腔室524,俾選擇性的控制由真空泵浦所提供的真空環境之應用。此可藉由在工作電漿處理期間採用閉迴路控制的限流裝置以完成,例如節流閥(未顯示)或鐘擺閥(未顯示)。同樣地,亦可採用真空泵浦及通往電容耦合式電漿處理腔室的以閥控制的流體連接。
在設備500的操作期間,可經由氣流入口560及/或570以供應一或更多處理氣體。在某些實施例中,可僅經由主氣流入口560、或僅經由側氣流入口570而供應處理氣體。在一些情況下,圖中所示之氣流入口可以例如更多錯縱的氣流入口、一或更多噴淋頭取代。法拉第屏蔽549a及/或可選的柵部550可包含容許處理氣體輸送至處理腔室524的內部通道及孔洞。法拉第屏蔽549a及可選的柵部550之兩者或任一者可作為用於處理氣體輸送的噴淋頭。在一些實施例中,液體汽化及輸送系統可位於處理腔室524的上游,使得一旦液體反應物或前驅物汽化時,經汽化之該反應物或前驅物係經由氣流入口560及/或570而被導入處理腔室524。
射頻功率係自RF電源供應器541供應至線圈533,俾致使RF電流流過線圈533。流過線圈533的RF電流產生線圈533周圍的電磁場。電磁場在上子腔室502內產生感應電流。所產生的各種離子及自由基與晶圓519之物理和化學交互作用蝕刻晶圓519的特徵部、並在晶圓519上選擇性地沉積膜層。
若使用電漿柵550以使上子腔室502及下子腔室503兩者存在,則感應電流作用於存在上子腔室502中的氣體上,俾在上子腔室502中產生電子-離子電漿。可選的內部電漿柵550限制下子腔室503中的熱電子數量。在一些實施例中,設計並操作設備500以使存在於下子腔室503中的電漿為離子-離子電漿。
上部的電子-離子電漿與下部的離子-離子電漿兩者皆可含有正及負離子,然而離子-離子電漿會有較大的負離子對正離子比率。可透過埠522將揮發性蝕刻及/或沉積副產物自下子腔室503移除。可在約10°C至約250°C之範圍間的升高之溫度下操作本文所揭示之夾頭517。溫度會取決於處理操作及特定配方。
當於無塵室或製造設施中裝設設備500時,可將其耦接至設施(未顯示)。設施包含提供處理氣體、真空、溫度控制、以及環境粒子控制的管路。當該等設施被裝設於標的製造設施中時,其係耦接至設備500。此外,可將設備500耦接至傳送腔室,該傳送腔室容許機械臂利用典型自動化系統將晶圓傳送進出設備500。
在一些實施例中,系統控制器530(其可包含一或更多實體或邏輯控制器)控制處理腔室524的一些或所有的操作。系統控制器530可包含一或更多記憶裝置及一或更多處理器。在一些實施例中,設備500包含用以在執行揭示實施例時控制流動速率及持續時間的切換系統。在一些實施例中,設備500可具有至多約500 ms、或至多約750 ms的切換時間。切換時間可取決於流動化學物、所選的配方、反應器架構、以及其他因素。
在一些實施例中,系統控制器530為系統的部分,該系統可為上述範例的部分。此類系統可包含半導體處理設備,含一或複數處理工具、一或複數腔室、用於處理的一或複數工作台、及/或特定處理元件(晶圓底座、氣流系統等)。該等系統可與電子裝置整合,以於半導體晶圓或基板之處理前、處理期間、及處理後控制其操作。可將該等電子裝置稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理之條件及/或系統之類型,可將系統控制器530程式化以控制本文中所揭示之處理的任一者,包含處理氣體之輸送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、射頻(RF)匹配電路設定、頻率設定、流動速率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統介面接合的其他傳送工具及/或負載閘之晶圓傳送。
廣泛而言,可將系統控制器530定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許端點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定處理的操作參數。在一些實施中,該等操作參數可為由製程工程師所定義之配方的部分,該配方係用以在基板之一或更多的膜層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的製造期間,完成一或更多的處理步驟。
在一些實施中,系統控制器530可為電腦的部分或耦接至電腦,該電腦係與系統整合、耦接至系統、或透過網路連接至系統、或上述之組合。例如,系統控制器530係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許基板處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前進度、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,俾改變目前處理之參數,以設定處理步驟而接續目前的處理、或開始新的處理。在一些範例中,遠端電腦(如伺服器)可透過網路將處理配方提供給系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在一些範例中,系統控制器530接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之各者而指定參數。應理解,該等參數可特定於待執行之處理的類型、及工具(控制器係配置成與該工具介面接合或控制該工具)的類型。因此,如上所述,系統控制器530可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文中所敘述之處理及控制。用於此類目的之分開的控制器之範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的處理。
範例系統可包含(但不限於)電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、ALE腔室或模組、離子植入腔室或模組、徑跡腔室或模組、EUV微影腔室(掃描器)或模組、乾式顯影腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統。
如上所述,依據將藉由工具執行之(複數)處理步驟,控制器可與半導體製造工廠中之下列一或更多者進行通訊:其他工具電路或模組、其他工具元件、群集工具、其他工具介面、鄰接之工具、鄰近之工具、遍布工廠的工具、主電腦、另一控制器、或材料運輸中所使用之工具,該材料運輸中所使用之工具將晶圓容器輸送往返於工具位置及/或裝載埠。
可利用任何合適工具以實施EUVL圖案化,該工具常被稱為掃描器,例如由Veldhoven, NL的ASML所供應的TWINSCAN NXE: 3300B®平台。EUVL圖案化工具可為獨立裝置,基板被移入其中或自其移出以用於本文所述之沉積與蝕刻。或者,如以下所述,EUVL圖案化工具可為較大的多元件工具上的模組。圖6描繪具有真空整合沉積、EUV圖案化、及乾式顯影/蝕刻模組的半導體處理群集工具架構,其與真空傳送模組接合、並適合執行本文所述處理。雖然可在缺少此類真空整合設備的情況下實施該等處理,但此類設備在一些實施方式中可為有利的。
圖6描繪具有真空整合沉積與圖案化模組的半導體處理群集工具架構,其與真空傳送模組接合、並適合執行本文所述處理。用以在多儲存設施與處理模組間「傳送」晶圓的傳送模組之配置可稱為「群集工具架構」系統。依據特定處理的需求,沉積及圖案化模組為真空整合。該群集上亦可包含其他模組(例如針對蝕刻)。
真空傳送模組(VTM)638與四個處理模組620a-620d接合,其可個別最佳化以執行各種製造處理。作為範例,可實行處理模組620a至620d以執行沉積、蒸發、ELD、乾式顯影、蝕刻、剝除、及/或其他半導體處理。例如,模組620a可為ALD反應器,其可加以操作以在本文所述的非電漿、熱原子層沉積中執行,例如可自Lam Research Corporation, Fremont, CA取得的Vector工具。且模組620b可為PEALD工具(如Lam Vector®)。應理解,圖式未必按比例繪製。
氣室642與646(亦稱為負載閘或傳送模組)與VTM 638及圖案化模組640接合。例如,如上所述,合適的圖案化模組可為TWINSCAN NXE: 3300B®平台(由Veldhoven, NL的ASML提供)。此工具架構容許工件(如半導體基板或晶圓)在真空下移轉,以不在曝光前反應。將微影工具與沉積模組整合藉由以下事實促成:在環境氣體(如H2 O、O2 等)造成入射光子之強光學吸收性的條件下,EUVL亦需要大幅降低的壓力。
如上所述,此整合架構僅為用於所述處理之實施方式的工具的一個可能實施例。亦可以更為習知的獨立EUVL掃描器與沉積反應器(例如Lam Vector工具)作為模組來實施該等處理,無論係獨立或與其他工具(如蝕刻、剝除等(例如Lam Kiyo或Gamma工具))一同整合於群集架構中,例如參照圖6所述(但無整合之圖案化模組)。
氣室642可為「輸出」負載閘,代表將基板自輔助沉積模組620a的VTM 638傳送至圖案化模組640,而氣室646可為「輸入」負載閘,表示將基板自圖案化模組640傳送回VTM 638。為了基板的進入與外出,輸入負載閘646亦可提供至工具外部的接口。每一處理模組具有將模組接合至VTM 638的平面。例如,沉積處理模組620a具有平面636。在每一平面內,感測器(例如所示的感測器1-18)用以偵測當晶圓626在相對應站之間移動時的通過。圖案化模組640及氣室642與646可類似地裝配額外的平面與感測器(未顯示)。
主要VTM機器人622在模組(包括氣室642與646)之間傳送晶圓626。在一實施例中,機器人622具有一手臂,而在另一實施例中,機器人622具有兩手臂,其中每一手臂具有末端效應器624以拾取輸送用的晶圓(如晶圓626)。前端機器人644用以將晶圓626自輸出氣室642傳送至圖案化模組640中、自圖案化模組640傳送至輸入氣室646。為了基板的進入與外出,前端機器人644亦可在輸入負載閘與工具外部之間輸送晶圓626。由於輸入氣室模組646具有匹配大氣與真空之間環境的能力,故晶圓626能在兩壓力環境之間移動而不受損。
應注意,EUVL工具通常在比沉積工具更高的真空下操作。若為此情況,則期望在自沉積傳送至EUVL工具期間增加基板的真空環境,以容許基板在進入圖案化工具之前脫氣。輸出氣室642可藉由將所傳送之晶圓維持在較低壓力(不高於圖案化模組640中的壓力)一段時間並排出任何脫氣而提供此功能,因此圖案化工具640的光學元件不受來自基板的脫氣污染。合適的輸出脫氣氣室壓力不超過1E-8 Torr。
在一些實施例中,系統控制器650(其可包括一或更多實體或邏輯控制器)控制一些或所有群集工具及/或其單獨模組的操作。應注意,控制器可在集群架構本地、或可位在製造樓層中之集群架構的外部、或位在遠端位置並經由網路連接至集群架構。系統控制器650可包括一或更多記憶裝置與一或更多處理器。處理器可包含中央處理單元(CPU)或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制板、與其他類似元件。在處理器上執行用以執行適當的控制操作之指令。該等指令可在與控制器相關的記憶裝置上儲存,或可透過網路提供該等指令。在某些實施例中,系統控制器執行系統控制軟體。
系統控制軟體可包含用以控制任何工具或模組操作之實施態樣的應用與大小之時序的指令。系統控制軟體可以任何適當的方式配置。例如,可寫入各種處理工具元件子程序或控制物件以控制執行各種處理工具處理所需之處理工具元件的操作。系統控制軟體可以任何合適的計算可讀編程語言編碼。 在一些實施例中,系統控制軟體包含用以控制上述各種參數之輸入/輸出控制(IOC)次序指令。例如,半導體製造處理之每一階段可包含以系統控制器執行的一或更多指令。例如,用以設定凝結、沉積、蒸發、圖案化及/或蝕刻階段之處理條件的指令可包含在相對應的配方階段中。
在各種實施例中,提供用以形成負型圖案遮罩的設備。該設備可包含用於圖案化、沉積和蝕刻的處理腔室、以及包含用於形成負型圖案遮罩之指令的控制器。指令可包含用於下列各者之程式碼:在處理腔室中,藉由EUV曝光以在半導體基板上的化學放大光阻(CAR)中圖案化特徵部俾使基板表面暴露;對光圖案化的光阻進行乾式顯影;以及使用圖案化的光阻作為遮罩以蝕刻下伏層或膜層堆疊體。
應注意,控制晶圓運動的電腦可在集群架構本地、或可位在製造樓層中之集群架構的外部、或位在遠端位置並經由網路連接至集群架構。對於圖3、4或5而描述的上述控制器之任一者可與圖6中的工具一同實行。 結論
在EUV圖案化之背景下揭示處理及設備,用於金屬及/或金屬氧化物光阻之乾式顯影以例如形成圖案化遮罩。
應理解,本文所述範例與實施例僅為說明性目的,並向熟習本技藝者建議各種變更或改變。雖各種細節為清楚之目的予以省略,然可實施各種設計替代例。因此,本範例應視為說明性而非限制性,且本揭示內容不受限於本文所提出之細節,而是可在本揭示內容之範圍中進行變更。
提供以下示例申請專利範圍以進一步說明本發明的某些實施例。本發明未必受限於該等實施例。
120:下方基板 121:EUV光阻 122:EUV輻射 300:處理站 301a:反應物輸送系統 302:處理腔室本體 303:汽化點 304:混合容器 306:噴淋頭 308:底座 310:加熱器 312:基板 314:電源供應器 316:匹配網路 318:蝶形閥 320:混合容器入口閥 350:電腦控制器 400:處理工具 402:入站負載閘 404:出站負載閘 406:機械臂 408:晶圓傳送盒 410:大氣埠 412:底座 414:處理腔室 416:腔室輸送埠 418:底座 450:系統控制器 452:處理器 454:大量儲存裝置 456:記憶裝置 458:系統控制軟體 500:感應耦合式電漿設備 501:腔室壁 502:上子腔室 503:下子腔室 511:窗部 517:夾頭 519:晶圓 521:匹配電路 522:埠 523:電源供應器 524:處理腔室 525:連接部 527:連接部 530:系統控制器 533:線圈 539:匹配電路 540:一或二級機械乾式泵浦及/或渦輪分子泵浦 541:電源供應器 543:連接部 545:連接部 549a:法拉第屏蔽 549b:法拉第屏蔽 550:電漿柵 560:主氣流入口 570:側氣流入口 620a:處理模組 620b:處理模組 620c:處理模組 620d:處理模組 622:機器人 624:末端效應器 626:晶圓 636:平面 640:圖案化模組 642:氣室 644:前端機器人 646:氣室 650:系統控制器
圖1A為繪示不同代表性薄膜在不同深度的組成之圖式。
圖1B為繪示在不同代表性薄膜之不同薄膜深度的光吸收率之圖式。
圖1C-1E顯示具有不同吸收/穿透特性之不同光阻膜。
圖2A顯示將光阻膜沉積為具有組成梯度的一實施例,其中在光阻底部附近具有鉍及錫,且在光阻頂部附近具有錫。
圖2B顯示將光阻膜沉積為具有組成梯度的一實施例,其中在光阻底部附近具有錫及碲,且在光阻頂部附近具有錫。
根據許多實施例,圖3顯示可用以沉積光阻膜的反應腔室。
根據許多實施例,圖4繪示可用以沉積光阻膜的多站反應腔室。
根據許多實施例,圖5顯示可用於各種目的如光阻膜之乾式顯影、及/或蝕刻的反應腔室。
圖6顯示具有真空整合沉積及圖案化模組的半導體處理群集工具架構,其適用於本文各種實施例之實施方式。
300:處理站
301a:反應物輸送系統
302:處理腔室本體
303:汽化點
304:混合容器
306:噴淋頭
308:底座
310:加熱器
312:基板
314:電源供應器
316:匹配網路
318:蝶形閥
320:混合容器入口閥
350:電腦控制器

Claims (40)

  1. 一種在基板上沉積光阻材料之方法,包含: 在一反應腔室中提供該基板; 將第一反應物及第二反應物提供至該反應腔室,並引發該第一反應物與該第二反應物之間的反應,從而在該基板上沉積該光阻材料,其中該第一反應物及該第二反應物係各自以蒸氣相提供至該反應腔室, 其中該第一反應物為具有M1a R1b L1c 之化學式的有機金屬前驅物,其中: M1為具有高圖案化輻射吸收截面的金屬, R1為一有機基團,其可在該第一反應物與該第二反應物之間的該反應後存留,且可在暴露於圖案化輻射下從M1斷裂, L1為與該第二反應物反應的配位基、離子、或其他部分, a ≥ 1, b ≥ 1,且 c ≥ 1,且 其中滿足以下條件之其中至少一者: (a) 該光阻材料包含具有高圖案化輻射吸收截面的二或更多元素,及/或 (b) 該光阻材料包含沿著該光阻材料之厚度的組成梯度。
  2. 如請求項1之在基板上沉積光阻材料之方法,其中該光阻材料為極紫外光(EUV)光阻材料,且其中M1具有高EUV吸收截面。
  3. 如請求項2之在基板上沉積光阻材料之方法,其中該第二反應物包含羥基部分。
  4. 如請求項3之在基板上沉積光阻材料之方法,其中該第二反應物包含選自由下列各者組成之群組的材料:水、過氧化物、二或多羥基醇、氟化的二或多羥基醇、氟化的乙二醇、及其組合。
  5. 如請求項2之在基板上沉積光阻材料之方法,其中該第二反應物包含可經由硫橋及/或碲橋而交聯金屬原子的材料。
  6. 如請求項2之在基板上沉積光阻材料之方法,其中該第二反應物包含選自由下列各者組成之群組的材料:硫化氫、二硫化氫、雙(三甲基矽基)碲、及其組合。
  7. 如請求項2之在基板上沉積光阻材料之方法,其中該第二反應物包含碘化氫。
  8. 如請求項2之在基板上沉積光阻材料之方法,其中該第二反應物取代一或更多L1,從而經由化學鍵結而交聯M1的二或更多原子。
  9. 如請求項2-8之任一項之在基板上沉積光阻材料之方法,其中M1的該EUV吸收截面等於或大於1x107 cm2 /mol。
  10. 如請求項2-8之任一項之在基板上沉積光阻材料之方法,其中M1包含選自由下列各者組成之群組的金屬:Sn、Sb、In、Bi、Te、及其組合。
  11. 如請求項2-8之任一項之在基板上沉積光阻材料之方法,其中R1包含烷基或經鹵素取代之烷基。
  12. 如請求項11之在基板上沉積光阻材料之方法,其中該烷基包含三或更多個碳原子。
  13. 如請求項2-8之任一項之在基板上沉積光阻材料之方法,其中R1包含至少一個β-氫或β-氟。
  14. 如請求項13之在基板上沉積光阻材料之方法,其中R1係選自由下列各者組成之群組:異丙基、正丙基、叔丁基、異丁基、正丁基、仲丁基、正戊基、異戊基、叔戊基、仲戊基、及其組合。
  15. 如請求項2-8之任一項之在基板上沉積光阻材料之方法,其中L1包含選自由下列各者組成之群組的部分:胺、烷氧基、羧酸鹽、鹵素、及其組合。
  16. 如請求項2-8之任一項之在基板上沉積光阻材料之方法,其中該第一反應物包含選自由下列各者組成之群組的材料:叔丁基三(二甲基胺基)錫、異丁基三(二甲基胺基)錫、正丁基三(二甲基胺基)錫、仲丁基三(二甲基胺基)錫、異丙基三(二甲基胺基)錫、正丙基三(二乙基胺基)錫、叔丁基三(叔丁氧基)錫、異丁基三(叔丁氧基)錫、正丁基三(叔丁氧基)錫、仲丁基三(叔丁氧基)錫、異丙基三(叔丁氧基)錫、正丙基三(叔丁氧基)錫、叔丁基三氯化錫、異丁基三氯化錫、正丁基三氯化錫、仲丁基三氯化錫、異丙基三氯化錫、正丙基三氯化錫、叔丁基三溴化錫、異丁基三溴化錫、正丁基三溴化錫、仲丁基三溴化錫、異丙基三溴化錫、正丙基三溴化錫、及其組合。
  17. 如請求項2-8之任一項之在基板上沉積光阻材料之方法,更包含使該基板暴露於電漿以利用M1-H鍵取代一些M1-L1鍵。
  18. 如請求項2-8之任一項之在基板上沉積光阻材料之方法,其中該第一反應物與該第二反應物之間的該反應為化學氣相沉積反應、原子層沉積反應、或其組合。
  19. 如請求項2-8之任一項之在基板上沉積光阻材料之方法,其中該第一反應物及該第二反應物係同時提供至該反應腔室。
  20. 如請求項2-8之任一項之在基板上沉積光阻材料之方法,其中該第一反應物及該第二反應物並非同時輸送至該反應腔室。
  21. 如請求項2-8之任一項之在基板上沉積光阻材料之方法,其中該基板的溫度等於或低於該第一及第二反應物在被輸送至該反應腔室時的溫度。
  22. 如請求項2-8之任一項之在基板上沉積光阻材料之方法,其中引發該第一與該第二反應物之間的該反應之步驟包含使該基板暴露於電漿。
  23. 如請求項2-8之任一項之在基板上沉積光阻材料之方法,其中引發該第一與該第二反應物之間的該反應之步驟包含使該基板暴露於熱能。
  24. 如請求項2-8之任一項之在基板上沉積光阻材料之方法,更包含將第三反應物提供至該反應腔室,並引發該第二反應物與該第三反應物之間、或該第三反應物與第四反應物之間的反應。
  25. 如請求項24之在基板上沉積光阻材料之方法,其中該第一及第三反應物之各者包含選自由下列各者組成之群組的金屬:Sn、Sb、In、Bi、及Te,且其中該第一反應物中之金屬與該第三反應物中之金屬不同。
  26. 如請求項2-8之任一項之在基板上沉積光阻材料之方法,其中沉積於該基板上之該光阻材料包含沿著該光阻材料之厚度的該組成梯度。
  27. 如請求項26之在基板上沉積光阻材料之方法,其中該光阻材料除了M1之外還包含M2,其中M2為具有高EUV吸收截面的金屬且與M1不同,其中該光阻材料中的該組成梯度在該光阻材料內的不同垂直位置處提供不同的M1:M2之比率。
  28. 如請求項26之在基板上沉積光阻材料之方法,其中該光阻材料中的該組成梯度在該光阻材料內的不同垂直位置處提供不同的M1:R1之比率。
  29. 如請求項28之在基板上沉積光阻材料之方法,其中與R1鍵結之金屬原子的百分比在該光阻材料內的不同垂直位置處有所不同。
  30. 如請求項26之在基板上沉積光阻材料之方法,其中該光阻材料中的該組成梯度在該光阻材料內的不同垂直位置處提供不同的I或Te之濃度。
  31. 如請求項26之在基板上沉積光阻材料之方法,其中,與該光阻材料的頂部相比,該組成梯度在該光阻材料的底部中提供較高密度的高EUV吸收元素,該底部係先於該頂部被沉積。
  32. 如請求項2-8之任一項之在基板上沉積光阻材料之方法,更包含:在提供該第一反應物至該反應腔室之前,將第三反應物提供至該反應腔室,並引發該第二反應物與該第三反應物之間、或該第三反應物與第四反應物之間的反應,從而沉積該光阻材料的初始部分, 其中該第三反應物具有一化學式M2a L2c ,其中: M2為具有高EUV吸收截面的金屬, L2為與該第二反應物或與該第四反應物反應的配位基、離子、或其他部分, a ≥ 1,且 c ≥ 1, 俾使該光阻材料的該初始部分不包含R1。
  33. 如請求項2-8之任一項之在基板上沉積光阻材料之方法,更包含重複地使該基板暴露於電漿以從該光阻材料移除一些R1,其中用以產生該電漿的條件隨時間而變化,俾使該光阻材料中存在M1-R1鍵之濃度的梯度。
  34. 如請求項33之在基板上沉積光阻材料之方法,其中用以產生該電漿之功率隨時間而減小,使得在該光阻材料的底部附近具有相對較低濃度的M1-R1鍵,且在該光阻材料的頂部附近具有相對較高濃度的M1-R1鍵。
  35. 如請求項2-8之任一項之在基板上沉積光阻材料之方法,其中該光阻材料包含一垂直密度梯度。
  36. 如請求項2-8之任一項之在基板上沉積光阻材料之方法,更包含使該光阻材料暴露於EUV輻射,並使該光阻材料顯影以形成一圖案,其中使該光阻材料顯影之步驟係透過濕式處理或乾式處理進行。
  37. 如請求項2-8之任一項之在基板上沉積光阻材料之方法,其中該光阻材料提供深度相依的EUV敏感性。
  38. 一種在基板上沉積光阻材料之方法,包含: 在一反應腔室中提供該基板; 將第一反應物及第二反應物提供至該反應腔室,並引發該第一反應物與該第二反應物之間的反應,從而在該基板上沉積該光阻材料,其中該第一反應物及該第二反應物係各自以蒸氣相提供至該反應腔室,其中該光阻材料提供複數圖案化輻射吸收劑物種及/或對圖案化輻射的深度相依敏感性。
  39. 一種光阻材料,其係由如請求項1-38其中任一項之在基板上沉積光阻材料之方法所形成。
  40. 一種用以在基板上沉積光阻材料之設備,包含: 一反應腔室; 一入口,用以導入氣相反應物; 一出口,用以從該反應腔室中移除材料; 一基板支座,位於該反應腔室中;以及 一控制器,具有至少一處理器,其中該至少一處理器係配置以引致如請求項1-38其中任一項之在基板上沉積光阻材料之方法。
TW109121639A 2019-06-28 2020-06-24 具有多個圖案化輻射吸收成分及/或垂直組成梯度的光阻 TW202113146A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962868710P 2019-06-28 2019-06-28
US62/868,710 2019-06-28

Publications (1)

Publication Number Publication Date
TW202113146A true TW202113146A (zh) 2021-04-01

Family

ID=74059635

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109121639A TW202113146A (zh) 2019-06-28 2020-06-24 具有多個圖案化輻射吸收成分及/或垂直組成梯度的光阻

Country Status (7)

Country Link
US (1) US20220342301A1 (zh)
EP (1) EP3990982A4 (zh)
JP (1) JP2022540789A (zh)
KR (1) KR20220031647A (zh)
CN (1) CN114270266A (zh)
TW (1) TW202113146A (zh)
WO (1) WO2020264557A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
WO2021146138A1 (en) 2020-01-15 2021-07-22 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
US11621172B2 (en) 2020-07-01 2023-04-04 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists
TWI817463B (zh) * 2020-07-03 2023-10-01 美商恩特葛瑞斯股份有限公司 製備有機錫化合物的方法
US11886120B2 (en) 2020-07-21 2024-01-30 Applied Materials, Inc. Deposition of semiconductor integration films
US11562904B2 (en) 2020-07-21 2023-01-24 Applied Materials, Inc. Deposition of semiconductor integration films
KR20230131941A (ko) * 2021-01-28 2023-09-14 엔테그리스, 아이엔씨. 유기주석 화합물을 제조하는 방법
CN118043496A (zh) 2021-09-13 2024-05-14 盖列斯特有限公司 用于生产富含氧化锡酸盐的膜的方法和前体
WO2023235416A1 (en) * 2022-06-03 2023-12-07 Entegris, Inc. Compositions and related methods of alkyltintrihalides
WO2024024919A1 (ja) * 2022-07-29 2024-02-01 東京エレクトロン株式会社 基板処理方法及び基板処理システム

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8703386B2 (en) * 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
EP4089482A1 (en) * 2015-10-13 2022-11-16 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US10018920B2 (en) * 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
WO2018004551A1 (en) * 2016-06-28 2018-01-04 Intel Corporation Polysilane-, polygermane-, and polystannane-based materials for euv and ebeam lithography
KR20180093798A (ko) * 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
CN114365044A (zh) * 2019-06-27 2022-04-15 朗姆研究公司 用于光致抗蚀剂干式沉积的设备

Also Published As

Publication number Publication date
JP2022540789A (ja) 2022-09-20
EP3990982A4 (en) 2023-07-26
EP3990982A1 (en) 2022-05-04
KR20220031647A (ko) 2022-03-11
US20220342301A1 (en) 2022-10-27
WO2020264557A1 (en) 2020-12-30
CN114270266A (zh) 2022-04-01

Similar Documents

Publication Publication Date Title
TW202113146A (zh) 具有多個圖案化輻射吸收成分及/或垂直組成梯度的光阻
US20220244645A1 (en) Photoresist development with halide chemistries
US20220020584A1 (en) Dry development of resists
US20220344136A1 (en) Dry chamber clean of photoresist films
US20180308687A1 (en) Euv photopatterning and selective deposition for negative pattern mask
US20230290657A1 (en) Integrated dry processes for patterning radiation photoresist patterning
US20230416606A1 (en) Photoresist development with organic vapor
US20230314954A1 (en) Dry backside and bevel edge clean of photoresist
US20240036474A1 (en) Control of metallic contamination from metal-containing photoresist
WO2023147212A1 (en) Enhanced euv underlayer effect with diffusion barrier layer
WO2023009364A1 (en) Rework of metal-containing photoresist
WO2024076679A1 (en) Dry chamber clean using thermal and plasma processes
TW202401131A (zh) 含金屬光阻的顯影後處理