TW202401131A - 含金屬光阻的顯影後處理 - Google Patents

含金屬光阻的顯影後處理 Download PDF

Info

Publication number
TW202401131A
TW202401131A TW112116247A TW112116247A TW202401131A TW 202401131 A TW202401131 A TW 202401131A TW 112116247 A TW112116247 A TW 112116247A TW 112116247 A TW112116247 A TW 112116247A TW 202401131 A TW202401131 A TW 202401131A
Authority
TW
Taiwan
Prior art keywords
metal
photoresist mask
substrate
processing
containing photoresist
Prior art date
Application number
TW112116247A
Other languages
English (en)
Inventor
李英姬
趙鴻翔
暹華 陳
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202401131A publication Critical patent/TW202401131A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Metallurgy (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本文所述之諸多實施例係關於用於含金屬光阻遮罩之顯影後處理以改善微影性能的方法、設備及系統。顯影之後,可將含金屬光阻遮罩暴露於一或更多以下處理 : 熱退火、電漿暴露、暴露於反應性氣體、及保護膜的選擇性沉積。在一些實施例中,將含金屬光阻遮罩暴露於一或更多反應性氣體,以在組成上改變光阻遮罩及/或在光阻遮罩之頂表面及側壁上選擇性地沉積保護膜。經處理之光阻遮罩可展現增加的線CD,以降低劑量比上尺寸並改善抗蝕性。

Description

含金屬光阻的顯影後處理
本文的實施方式係關於光阻材料的處理,尤其是半導體製造中顯影後之含金屬光阻材料的處理。
半導體裝置(例如積體電路)的製造為涉及黃光微影的多步驟製程。一般而言,該製程包括在晶圓上沉積材料,並透過微影技術對材料進行圖案化以形成半導體裝置之結構特徵部(例如電晶體及電路)。本領域已知之典型黃光微影製程的步驟包括 : 準備基板;塗佈光阻,例如透過旋塗;以所欲圖案對光阻曝光,使光阻之曝光區變得更溶於或更不溶於顯影溶液;透過應用顯影劑溶液去除光阻之曝光或未曝光區來進行顯影;以及後續處理以在已去除光阻之基板區域上建立特徵部,例如透過蝕刻或材料沉積。
半導體設計之發展已產生在半導體基板材料上形成更小特徵部之需求並受該能力驅動。此技術的進展已在「摩爾定律」中被表徵為每兩年密集積體電路中之電晶體密度加倍。更確切地,晶片設計與製造已有進展,使得現代微處理器在單個晶片上可包含數十億個電晶體及其他電路特徵部。此等晶片上之各個特徵部可能約為22 奈米(nm)或更小,在一些例子中小於10 nm。
製造具有此等小特徵部之裝置的一項挑戰是可靠且可重複地形成具有足夠解析度之黃光微影遮罩的能力。目前黃光微影製程通常使用193 nm紫外(UV)光來對光阻曝光。光的波長明顯大於將在半導體基板上產生之特徵部的所欲尺寸,該事實造成了固有問題。達到小於光波長之特徵部尺寸需使用複雜的解析度增強技術,例如多重圖案化。 因此,對開發使用具有10 nm至15 nm(例如13.5 nm)波長之較短波長光(例如極紫外輻射(EUV))的黃光微影技術有明顯興趣與研究成效。
然而,EUV黃光微影製程可能出現挑戰,包括低功率輸出及圖案化期間的光損耗。類似於193 nm UV微影中所使用的傳統有機化學放大阻劑(CAR)在用於EUV微影時具有潛在的缺點,尤其是因為它們在EUV區域具有低吸收係數且光活化化學物質之擴散會導致模糊或線邊緣粗糙度。此外,為了提供對底層裝置層圖案化所需之抗蝕性,在傳統CAR材料中圖案化成之小特徵部可能會導致有圖案崩塌風險之高深寬比。據此,仍需具有例如減小厚度、較大吸光度及較大抗蝕性之此等特性的改良型EUV光阻材料。
本文所提供的背景描述係為了概述本技術脈絡之目的。本案發明人的成果(在此先前技術段落中所述之範圍內)、以及在申請時可能未以其他方式認定為先前技術之描述態樣,並未明示或默示地被承認為相對於本技術的先前技術。
本文提供在製程腔室中處理基板的方法。該方法包括在製程腔室中提供基板, 其中基板為包含有基板層及顯影後含金屬光阻遮罩於基板層上方之半導體基板,以及透過暴露於一或更多反應性氣體來處理顯影後含金屬光阻遮罩。
在一些實施方式中, 該方法進一步包括在處理顯影後含金屬光阻遮罩之後,使用顯影後含金屬光阻遮罩來蝕刻基板層以形成凹入特徵部, 其中在蝕刻期間維持顯影後含金屬光阻遮罩之臨界尺寸。在一些實施方式中,處理顯影後含金屬光阻遮罩包括相對於基板層在顯影後含金屬光阻遮罩上選擇性沉積保護層, 其中保護層包含碳或碳化物、氮化物、硫化物、氟化物、氧化物或元素金屬。在一些實施方式中, 選擇性沉積保護層包括將顯影後含金屬光阻遮罩暴露於金屬前驅物。在一些實施方式中,金屬前驅物包括金屬鹵化物或有機金屬前驅物。在一些實施方式中,金屬前驅物係在製程腔室中原位產生。在一些實施方式中,該一或更多反應性氣體包括含碳前驅物或金屬鹵化物。在一些實施方式中,含碳前驅物包括羰基金屬、二氧化碳或一氧化碳。在一些實施方式中, 處理顯影後含金屬光阻遮罩包括將顯影後含金屬光阻遮罩暴露於含碳前驅物,並在顯影後含金屬光阻遮罩上選擇性地沉積含金屬層。在一些實施方式中,處理顯影後含金屬光阻遮罩提高一或更多以下材料特性 : 顯影後含金屬光阻遮罩之密度、抗蝕性、及臨界尺寸。在一些實施方式中, 處理顯影後含金屬光阻遮罩包括在介於約100°C與約250°C之間的升高溫度下對顯影後含金屬光阻遮罩熱退火。在一些實施方式中,處理顯影後含金屬光阻遮罩包括將顯影後含金屬光阻遮罩暴露於電漿中之該一或更多反應性氣體。在一些實施方式中,顯影後含金屬光阻遮罩包括含金屬氧化物之極紫外(EUV)光阻遮罩。在一些實施方式中,含金屬氧化物之EUV光阻遮罩包括氧化錫。在一些實施方式中,該方法進一步包括顯影含金屬光阻以選擇性地去除含金屬光阻之一部分,以形成顯影後含金屬光阻遮罩,其中顯影含金屬光阻包括將含金屬光阻暴露於濕式 顯影化學法或乾式顯影化學法。
本文亦提供在製程腔室中處理基板的方法。該方法包括在製程腔室中提供基板,其中基板為包含有基板層及顯影後含金屬光阻遮罩於基板層上方之半導體基板,以及利用一或更多以下操作來處理顯影後含金屬光阻遮罩 : (i) 對顯影後含金屬光阻遮罩熱退火,(ii)將顯影後含金屬光阻遮罩暴露於電漿,(iii)將顯影後含金屬光阻遮罩暴露於一或更多反應性氣體,以及(iv) 相對於基板層在顯影後含金屬光阻遮罩上選擇性沉積保護層。
在一些實施方式中, 對顯影後含金屬光阻遮罩熱退火包括將顯影後含金屬光阻遮罩暴露於介於約100℃與約250℃之間的升高溫度,以降低顯影後含金屬光阻遮罩中之缺陷率及線寬粗糙度(LWR)。在一些實施方式中,將顯影後含金屬光阻遮罩暴露於電漿以緻密化並降低顯影後含金屬光阻遮罩中的LWR。在一些實施方式中,將顯影後含金屬光阻遮罩暴露於該一或更多反應性氣體包括將顯影後含金屬光阻遮罩暴露於一氧化碳、二氧化碳、羰基金屬、有機金屬、金屬鹵化物或其組合 其以提高顯影後含金屬光阻遮罩之抗蝕性及/或臨界尺寸。在一些實施方式中, 在顯影後含金屬光阻遮罩上選擇性沉積保護層包括選擇性地沉積碳或碳化物、氮化物、硫化物、氟化物、氧化物或元素膜,以提高顯影後含金屬光阻遮罩的臨界尺寸。在一些實施方式中,該方法進一步包括顯影含金屬光阻以選擇性地去除含金屬光阻之一部分以形成顯影後含金屬光阻遮罩,以及利用顯影後含金屬光阻遮罩來蝕刻基板層以形成凹入特徵部,其中處理顯影後含金屬光阻遮罩發生在顯影與蝕刻之間。在一些實施方式中,顯影後含金屬光阻遮罩包括含金屬氧化物之EUV光阻遮罩。
本文亦提供在製程腔室中處理基板的設備。該設備包括製程腔室中的基板支撐件, 其中基板支撐件配置成支撐包含有基板層及顯影後含金屬光阻遮罩於基板層上方之基板;以及反應氣體源,與製程腔室流體連通並配置成透過一或更多氣體入口朝基板支撐件輸送一或更多反應性氣體以處理顯影後含金屬光阻遮罩。
在一些實施方式中, 該設備進一步包括一或更多加熱元件,配置成在顯影後含金屬光阻遮罩之處理期間將基板加熱至一升高溫度。在一些實施方式中,該一或更多加熱元件包括一或更多LED於基板支撐件中。在一些實施方式中, 該設備進一步包括電漿源,配置成在顯影後含金屬光阻遮罩之處理期間產生電漿。在一些實施方式中, 配置成輸送一或更多反應性氣體之反應性氣體源配置成在顯影後含金屬光阻遮罩之處理期間在顯影後含金屬光阻遮罩上選擇性沉積保護膜。在一些實施方式中,配置成處理顯影後含金屬光阻遮罩之製程腔室配置成執行含金屬光阻遮罩的顯影。在一些實施方式中,配置成處理顯影後含金屬光阻遮罩之製程腔室配置成使用顯影後含金屬光阻遮罩對基板之基板層進行圖案轉移蝕刻。在一些實施方式中,該一或更多反應性氣體物質包括有機氣體物質、有機金屬氣體物質、含金屬氣體物質或其組合。在一些實施方式中,該一或更多反應性氣體物質包括含氧氣體、含碳氣體、含氫氣體、含氮氣體、含鹵素氣體或其組合。
本發明總體上是關於半導體處理領域。特別地,本發明係針對光阻(包括含金屬光阻)之顯影後處理。此等含金屬及/或金屬氧化物之光阻可在顯影之後且圖案轉移之前進行處理以改變光阻之化學、物理及/或光學性質。光阻處理增強光阻的性能。例如,光阻處理可降低劑量比上尺寸(dose to size,DtS)、降低LWR、增加線CD、改善抗蝕性、減少錫或其他元素的釋氣、及/或降低缺陷/線斷裂。
在此詳細參考本發明之具體實施例。具體實施例之示例示於附圖中。儘管將結合此些具體實施例來描述本發明,但將理解的是,其並非意欲將本發明限制於此等具體實施例。相反地,其意欲涵蓋可包括於本發明之精神及範圍內之替代、修改及均等者。在以下描述中,闡述許多具體細節以對本發明提供透徹的瞭解。可在沒有此些具體細節之一些或全部者下實行本發明。在其他實例中,不再詳細描述眾所周知的製程操作,以免不必要地模糊本發明。
半導體處理中之薄膜的圖案化經常是半導體製造中的重要步驟。圖案化涉及微影。在習知黃光微影中,例如193 nm黃光微影,圖案係透過在光罩所定義之選擇區域中使光阻曝光於光子而列印至光敏光阻上,因而在曝光光阻中引起化學反應並產生化學對比,在顯影步驟中可利用該化學對比以去除光阻的某些部分,以形成圖案。經圖案化及顯影之光阻膜接著可用作蝕刻遮罩,以將圖案轉移至金屬、氧化物等所構成之底層膜上。
先進技術節點(如國際半導體技術發展路線圖所定義)包括節點22 nm、16 nm或以外。例如,在16 nm節點中,鑲嵌(Damascene)結構中貫孔或線的寬度通常不大於約30 nm。先進半導體積體電路(IC)及其他裝置上特徵部的微縮正推動微影以改善解析度。
極紫外光(EUV)微影可透過移動至比習知黃光微影方法所能達到之更小成像源波長來擴展微影技術。約10-20 nm或11-14 nm波長(例如13.5 nm波長)之EUV光源可用於導緣(leading-edge)微影工具,亦稱為掃描儀。EUV輻射在廣泛範圍之固體及流體材料(包括石英和水蒸氣)中被強吸收,因此在真空中操作。
EUV微影利用EUV阻劑,其被圖案化以形成用於蝕刻底層的遮罩。EUV阻劑可為透過基於液體之旋塗技術所產生之基於聚合物的化學放大阻劑(CAR)。CAR之替代者為直接可光圖案化之含金屬氧化物膜,例如可獲自Inpria(科瓦利斯,奧勒岡州)並描述於例如美國專利公開案第US 2017/0102612號、第US 2016/021660號及第US 2016/0116839號中,其以引用方式併於本文,至少用於揭示可光圖案化之含金屬氧化物膜。此等膜可透過旋塗技術或乾式氣相沉積來產生。含金屬氧化物膜可在真空環境中透過EUV曝光直接圖案化(即,無需使用分別的光阻),其提供小於30 nm之圖案化解析度,例如描述於2018年6月12日公告且標題為「EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS」之美國專利案第9,996,004號中及/或2019年5月9日提申且標題為「METHODS FOR MAKING EUV PATTERNABLE HARD MASKS」之國際申請案第PCT/US19/31618號中,其揭示內容(至少關於直接可光圖案化金屬氧化物膜之組成、沉積及圖案化以形成EUV阻劑遮罩)以引用方式併於本文。一般地,圖案化涉及利用EUV輻射對EUV阻劑進行曝光以在阻劑中形成光圖案,接著根據光圖案進行顯影以去除一部分阻劑而形成遮罩。
直接可光圖案化之EUV或DUV阻劑可由金屬及/或金屬氧化物混合於有機成分內所構成或含有金屬及/或金屬氧化物混合於有機成分內。金屬/金屬氧化物可增強EUV或DUV光子吸收、產生二次電子及/或相對於底層膜堆及裝置層呈現較大蝕刻選擇性。此些阻劑可使用濕式(溶劑)方法來進行顯影,其需將晶圓移至顯影機(track),以在其中將其暴露於顯影溶劑中、進行乾燥並接著烘烤。此等阻劑亦可利用乾式方法或濕式與乾式方法的組合來顯影,如本文所述。
一般地,透過控制阻劑之化學性及/或顯影劑之溶解度或反應性,可將阻劑用作正型阻劑或負型阻劑。具有可用作負型阻劑或正型阻劑之EUV或 DUV阻劑將是有利的。
儘管下文可能是描述與EUV製程相關的技術,但此等技術亦可應用至其他下一代微影技術。可採用諸多輻射源,包括EUV(一般約13.5 nm)、DUV(深UV,一般在準分子雷射源之248 nm或193 nm範圍內)、X射線(包括X射線範圍之較低能量範圍處的EUV)、以及電子束(包括寬能量範圍)。
此等方法包括使具有暴露羥基之基板與烴基取代之錫覆蓋劑接觸以形成烴基封端之SnO x膜作為基板表面上之成像/光阻層的彼等方法。具體方法可取決於半導體基板及最終半導體裝置中所使用的特定材料及應用。因此,本申請中所述之方法僅是本技術中可使用之方法及材料的示例。
本發明係關於光阻之顯影後處理。含金屬或金屬氧化物之光阻可濕式或乾式沉積。含金屬或金屬氧化物之光阻可具有高EUV輻射吸收,使得光阻可透過EUV曝光來圖案化以形成曝光與未曝光區域。在顯影選擇性地去除光圖案化之含金屬或金屬氧化物光阻的曝光或未曝光區域之後,可對顯影後光阻進行處理。此等處理可包括以下操作之一或更多者 : (i) 熱退火、(ii) 電漿暴露、(iii) 反應性氣體暴露、以及 (iv) 保護層之選擇性沉積。此等處理可達到一或更多以下優點 : 降低缺陷率、降低LWR、降低DtS、降低釋氣(例如,錫釋氣)、增加抗蝕性及增加線CD,因而改善含金屬或金屬氧化物光阻在蝕刻期間的性能。
圖1呈現根據一些實施例用於沉積、顯影並處理光阻之示例方法的流程圖。製程100的操作可以不同順序及/或利用不同、較少或額外操作來執行。 製程100之一或更多操作可使用圖10-13之任一者中所述的設備來執行。在一些實施例中,製程100之操作可至少部分地根據儲存在一或更多非暫態電腦可讀媒體中的軟體來實施。
於製程100之方塊102,沉積一層光阻。此可為例如氣相沉積製程之乾式沉積製程或例如旋塗沉積製程之濕式沉積製程。在一實施例中,透過使用基於液體之旋塗技術來沉積呈溶液的含金屬前驅物。在另一實施例中,透過使用乾式技術(例如,化學氣相沉積)來沉積蒸氣形式之含金屬前驅物。
於製程100之方塊104,可視情況地清潔基板的背側表面或斜邊,及/或可去除先前步驟中沉積光阻之邊緣珠。此等清潔或去除步驟可用於去除沉積光阻層之後可能存在的顆粒。去除步驟可包括利用濕式金屬氧化物(MeO x)邊緣珠去除(EBR)步驟來處理晶圓。
於製程100之方塊106,可視情況地執行塗佈後烘烤(PAB)或塗佈後處理。此等處理可改善未曝光材料對水性或非水性溶液之抗蝕性。在一實例中,此等處理可增強未曝光與曝光區域之間的化學組成差異(或對比度),因而進行PAB操作。在另一實例中,此等處理可降低未曝光與曝光區域之間的化學組成差異(或對比度),因而不進行PAB操作。在又另一實例中,利用PAB從該層中去除殘留的水分以形成硬化阻劑膜。PAB可涉及熱處理、化學暴露及/或水分之一些組合,以增加膜的EUV敏感性,因而降低在膜中顯影圖案之EUV劑量。在特定實施例中,PAB步驟係於大於約100℃的溫度或在約100℃至約200℃或約100℃至約250℃的溫度下進行。在其他實施例中,PAB步驟係在約190℃至約350℃的溫度下且不存在含O氣體下進行。在另一實例中,塗佈後處理包括將膜暴露於惰性氣體或CO 2,其可視情況地包括冷卻或加熱。使用惰性氣體可提供金屬-氧-金屬物質,而使用CO 2可在膜內提供金屬碳酸鹽物質。
於製程100之方塊108,將膜曝光於EUV輻射以形成圖案。一般地,EUV曝光導致膜之化學組成發生變化,從而產生蝕刻選擇性對比,其可用於去除膜的一部分。此等對比可提供正型阻劑。然而,將理解,EUV曝光可替代地引起使得未曝光區域被選擇性地去除的對比。此等對比可提供負型阻劑,如本文所述。EUV曝光可包括,例如,在真空環境中具有約10 nm至約20 nm範圍內之波長(例如,在真空環境中約13.5 nm)的曝光。
於製程100之方塊110,對曝光膜執行可選的曝光後烘烤(PEB), 因而進一步去除殘餘水分,促進膜內之化學縮合,或增加曝光膜之蝕刻選擇性對比;或以任何有用的方式對膜進行後處理。在一實例中,此等處理會降低未曝光與曝光區域間之化學組成差異(或對比),因此不進行PEB操作。在另一實例中,可對曝光膜進行熱處理(例如,在低溫下及/或視情況地存在諸多化學物質下),以在暴露於剝除劑或正型顯影劑(例如,基於鹵化物之水性酸,例如HCl、HBr、HI或其組合)時促進光阻之EUV曝光或未曝光部分內的反應性。在另一實例中,曝光膜可進行熱處理(例如,在低溫下),以進一步使阻劑之EUV未曝光部分內的配位基交聯,因而提供可在暴露於剝除劑(例如,正型顯影劑)後被選擇性去除之EUV曝光部分。在又另一實例中,省略PEB。
於製程100之方塊112,透過正型顯影或負型顯影來顯影光阻圖案。在諸多顯影實施例中,選擇性地去除未曝光區域(以在負型阻劑內提供圖案)。此些步驟可為使用一或更多顯影劑或顯影溶液之濕式製程,後接可選之清洗操作(例如,使用去離子水或另一溶劑)或可選之乾燥操作(例如,利用空氣或在惰性條件下利用可選的熱)。在特定實施例中,顯影步驟為應用至基於錫之膜的濕式製程。在其他實施例中,顯影步驟為應用至基於錫之膜的乾式製程。例如,乾式製程包括含鹵化物化學物質。
於製程100之方塊114,光阻在圖案轉移之前進行處理。處理可為熱處理、電漿處理、化學處理、選擇性沉積處理或前述處理之組合。熱處理可將光阻暴露於約200℃與約300℃之間的升高溫度以降低缺陷率及LWR。電漿處理可將光阻暴露於電漿,例如直接(原位)電漿或遠端電漿,以將光阻緻密化並降低LWR。化學處理可將光阻暴露於反應性化學物質,例如基於鹵化物之物質(例如六氟化鎢)或含碳前驅物(例如,一氧化碳、金屬有機前驅物)以改善抗蝕性、降低釋氣並增加線CD。選擇性沉積處理可將光阻暴露於化學前驅物,用於在光阻上選擇性地沉積保護塗層,以降低DtS、改善抗蝕性、降低釋氣並增加線CD。顯影後對光阻應用任何一或更多前述處理,以改善圖案轉移期間之光阻的性能。
於製程100之方塊116,使用光阻遮罩蝕刻一或更多基板層以進行圖案轉移。此等基板層位於光阻遮罩下方並可透過微影蝕刻去除。圖案轉移蝕刻可將材料蝕刻至所欲深度以形成複數圖案化特徵部。在一些實施例中,該一或更多基板層可包括非晶碳(a-C)、非晶矽(a-Si)、氧化錫(例如SnO x)、氧化矽(例如SiO 2)、氮氧化矽(例如SiO xN y)、碳氧化矽(例如SiO xC y)、氮化矽(例如Si 3N 4)、氧化鈦(例如TiO 2)、氮化鈦(例如TiN)、鎢(例如W)、摻雜碳(例如W摻雜C)、氧化鎢(例如WO x)、氧化鉿(例如HfO 2)、氧化鋯(例如ZrO 2)、及氧化鋁(例如Al 2O 3)。光阻遮罩中CD的任何缺陷或變異在圖案轉移蝕刻期間皆被複製於正被圖案化之材料中。此外,較差的抗蝕性在蝕刻過程中對圖案轉移至下伏基板層產生不利影響。光阻遮罩之顯影後處理降低上述問題,以確保圖案轉移蝕刻期間成功的圖案轉移。
圖2呈現根據一些實施例在顯影之後處理基板上光阻之示例方法的流程圖。製程200之操作可以不同順序及/或利用不同、較少或額外操作來執行。製程200之態樣可參考圖3A-3D、4A-4D、5A-5D及6-9來描述。製程200之一或更多操作可使用圖10-13之任一者中所述的設備來執行。在一些實施例中,製程200之操作可至少部分地根據儲存在一或更多非暫態電腦可讀媒體中的軟體來實施。
於製程200之方塊210,對含金屬光阻進行顯影以選擇性地去除含金屬光阻的一部分,以形成顯影後含金屬光阻遮罩。在一些實施例中,含金屬光阻可為含金屬EUV光阻。在一些實施例中,含金屬EUV光阻包括氧化錫。
含金屬光阻係設置於基板上。在顯影之前,使用濕式或乾式沉積製程在基板上沉積含金屬光阻膜。含金屬光阻膜根據所欲圖案曝光於例如EUV輻射的輻射,以形成含金屬光阻膜之曝光及未曝光區域。一般來說,曝光於輻射導致膜之化學組成發生變化,從而產生蝕刻選擇性對比,其可用於去除膜的一部分。之後,含金屬光阻膜透過利用濕式或乾式顯影劑化學法之正型顯影或負型顯影來顯影。以下描述光阻膜沉積、曝光、顯影及其他製程的細節。 含金屬阻劑材料之沉積
光阻膜可沉積在基板上。此等膜可透過利用濕式或乾式沉積製程來沉積,其中在基板附近提供含金屬前驅物(例如,含錫前驅物,如本文所述之任何前驅物)。在一實施例中,透過利用基於液體之旋塗技術來沉積呈溶液之含金屬前驅物。在另一實施例中,透過利用乾式技術(例如,化學氣相沉積) 來沉積蒸氣形式之含金屬前驅物。雖然本發明經常示出含金屬前驅為含錫前驅物,但亦可採用其他金屬原子。
本文所述之層及膜可包括具有高光吸收截面(例如等於或大於1x10 7cm 2/mol)之元素(例如,金屬原子或非金屬原子)。可透過沉積一或更多前驅物來提供此等元素,以提供成像層。
在一些實施例中,該膜為輻射敏感膜(例如,EUV敏感膜)。此膜又可作為EUV阻劑,如本文進一步所述。在特定實施例中,該層或膜可包括一或更多配位基(例如,EUV不穩定配位基),其可透過輻射(例如,EUV或DUV輻射)去除、裂解或交聯。
前驅物可提供對輻射敏感之可圖案化膜(或圖案化輻射敏感膜或可光圖案化膜)。此等輻射可包括EUV輻射、DUV輻射、或UV輻射,其透過照射穿過圖案化遮罩來提供,因此為圖案化輻射。膜本身可透過暴露於此等輻射而改變,使得該膜為輻射敏感或光敏感。在特定實施例中,前驅物為有機金屬化合物,其包括至少一金屬中心。
前驅物可具有任何有用數量及類型的配位基。在一些實施例中,配位基之特徵可在於其在相對反應物存在下或在圖案化輻射存在下進行反應之能力。例如,前驅物可包括與相對反應物反應之配位基,其可在金屬中心之間引入鍵(例如-O-鍵)。在另一實例中,前驅物可包括在圖案化輻射存在下消去之配位基。此等EUV不穩定配位基可包括具有β-氫之支鏈或直鏈烷基,以及本文對式( I)或( II)中R所述之任一者。在一實施例中,前驅物為具有兩個、三個或更多疏水性配位基(例如,有機配位基,包括C 2-6視情況取代之烷基、烯基或炔基)的覆蓋劑。
其他EUV不穩定配位基包括烷基、烯基或炔基,其可為支鏈或直鏈。另其他EUV不穩定配位基包括芳基,例如具有一個、兩個或三個環之彼等。 此等烷基、烯基、炔基及芳基可經一或更多鹵素(例如,一或更多氟)取代。非限定不穩定配位基包括視情況取代之C 1-12烷基、視情況取代之C 2-12烯基、視情況取代之C 2-12炔基、視情況取代之C 1-12鹵代烷基、視情況取代之C 2-12鹵代烯基、視情況取代之C 2-12鹵代炔基、視情況取代之芳基、或視情況取代之鹵代芳基。
前驅物可為任何有用之含金屬前驅物,例如有機金屬劑、金屬鹵化物或覆蓋劑(例如,如本文所述)。在非限定實例中,前驅物包括具有式( I)之結構: M aR b( I), 其中 : M為具有高EUV吸收截面之金屬或原子;每一R獨立為H、鹵素、視情況取代之烷基、視情況取代之環烷基、視情況取代之環烯基、視情況取代之烯基、視情況取代之炔基、視情況取代之烷氧基、視情況取代之烷醯氧基、視情況取代之芳基、視情況取代之胺基、視情況取代之雙(三烷基矽烷基)胺基、視情況取代之三烷基矽烷基、側氧基(oxo)、陰離子配位基、中性配位基或多牙配位基;a≥1;且b≥1。
在另一非限定實例中,前驅物包括具有式( II)之結構 : M aR bL c( II), 其中 : M為具有高EUV吸收截面之金屬或原子;每一R獨立為鹵素、視情況取代之烷基、視情況取代之芳基、視情況取代之胺基、視情況取代之烷氧基、或L: 每一L獨立為配位基、陰離子配位基、中性配位基、多牙配位基、離子或與相對反應物具反應性之其他部分,其中R及L與M一起可視情況地形成雜環基或其中R與L一起可視情況地形成雜環基; a≥1;b≥1;且c≥1。
在一些實施例中,前驅物中之每一配位基可為與相對反應物具反應性之配位基。在一實例中,前驅物包括具有式( II)之結構,其中每一R獨立為L。在另一實例中,前驅物包括具有式( IIa)之結構: M aL c( IIa), 其中 : M為具有高EUV吸收截面之金屬或原子;每一L獨立為配位基、離子或與相對反應物具反應性之其他部分,其中兩個L一起可視情況地形成雜環基; a≥1;且c≥1。在式( IIa)之特定實施例中,a為1。在進一步實施例中,c為2、3或4。
對於本文中之任一式,M可為具有高圖案化輻射吸收截面(例如,等於或大於1x10 7cm 2/mol之EUV吸收截面)之金屬或類金屬或原子。在一些實施例中,M為錫(Sn)、鉍(Bi)、碲(Te)、銫(Cs)、銻(Sb)、銦(In)、鉬(Mo)、鉿(Hf)、碘(I)、鋯(Zr)、鐵(Fe)、鈷(Co)、鎳(Ni)、銅(Cu)、鋅(Zn)、銀(Ag)、鉑(Pt)及鉛(Pb)。在進一步實施例中,於式( I)、( II)或( IIa)中,M為Sn,a為1,且c為4。在其他實施例中,於式( I)、( II)或( IIa)中,M為Sn,a為1,且c為2。在特定實施例中,M為Sn(II)(例如,於式( I)、( II)或( IIa)中),因而提供為基於Sn(II)之化合物的前驅物。在其他實施例中,M為Sn(IV)(例如,於式( I)、( II)或( IIa)中),因而提供為基於Sn(IV)之化合物的前驅物。在特定實施例中,前驅物包括碘(例如過碘酸鹽)。
對於本文之任一式,每一R獨立為H、鹵素、視情況取代之烷基、視情況取代之環烷基、視情況取代之環烯基、視情況取代之烯基、視情況取代之炔基、視情況取代之烷氧基(例如-OR 1,其中R 1可為視情況取代之烷基) 、視情況取代之烷醯氧基、視情況取代之芳基、視情況取代之胺基、視情況取代之雙(三烷基矽烷基)胺基、視情況取代之三烷基矽烷基、側氧基(oxo)、陰離子配位基(例如,氧負離子基(oxido)、氯代基(chlorido)、氫化基(hydrido)、醋酸根、亞胺二醋酸根、丙酸根、丁酸根、苯甲酸根等)、中性配位基、或多牙配位基。
在一些實施例中,視情況取代之胺基為‑NR 1R 2,其中每一R 1及R 2獨立為H或烷基;或其中R 1及R 2與各自連接之氮原子一起形成如本文所定義之雜環基。在其他實施例中,視情況取代之雙(三烷基矽烷基)胺基為‑N(SiR 1R 2R 3) 2,其中每一R 1、R 2及R 3獨立為視情況取代之烷基。在另其他實施例中,視情況取代之三烷基矽烷基為‑SiR 1R 2R 3,其中每一R 1、R 2及R 3獨立為視情況取代之烷基。
在其他實施例中,該式包括為-NR 1R 2之第一R(或第一L)以及為-NR 1R 2之第二R(或第二L),其中每一R l及R 2獨立為H或視情況取代之烷基;或其中來自第一R(或第一L)之R 1及來自第二R(或第二L)之R 1與各自連接之氮原子及金屬原子一起形成如本文所定義之雜環基。在另其他實施例中,該式包括為‑OR 1之第一R及為‑OR 1之第二R,其中每一R 1獨立為H或視情況取代之烷基;或其中來自第一R之R 1及來自第二R之R 1與各自連接之氧原子及金屬原子一起形成如本文所定義之雜環基。
在一些實施例中,R或L中之至少一者(例如,在式( I)、( II)或( IIa)中)為視情況取代之烷基。非限定烷基包括例如C nH 2n+1,其中n為1、2、3或更大,例如甲基、乙基、正丙基、異丙基、正丁基、異丁基、仲丁基或叔丁基。在諸多實施例中,R或L具有至少一β-氫、β-鹵素或β-氟。在其他實施例中,R或L中之至少一者為經鹵素取代之烷基(例如,經氟取代之烷基)。
在一些實施例中,每一R或L或至少一R或L(例如,在式( I)、( II)或( IIa)中)為鹵素。尤其,前驅物可為金屬鹵化物。非限定金屬鹵化物包括SnBr 4、SnCl 4、SnI 4、及SbCl 3
在一些實施例中,每一R或L或至少一R或L(例如,在式( I)、( II)或( IIa)中)可包含氮原子。在特定實施例中,一或更多R或L可為視情況取代之胺基、視情況取代之單烷基胺基(例如,‑NR 1H,其中R 1為視情況取代之烷基)、視情況取代之二烷基胺基(例如‑NR 1R 2,其中每一R 1及R 2獨立為視情況取代之烷基)或視情況取代之雙(三烷基矽烷基)胺基。非限定R及L取代基可包括例如-NMe 2、-NHMe、-NEt 2、-NHEt、-NMeEt、-N( t-Bu)-[CHCH 3] 2-N( t-Bu)- (tbba) 、‑N(SiMe 3) 2、及‑N(SiEt 3) 2
在一些實施例中,每一R或L或至少一R或L(例如,在式( I)、( II)或( IIa)中)可包含矽原子。在特定實施例中,一或更多R或L可為視情況取代之三烷基矽烷基或視情況取代之雙(三烷基矽烷基)胺基。非限定R或L取代基可包括例如-SiMe 3、-SiEt 3、-N(SiMe 3) 2、及-N(SiEt 3) 2
在一些實施例中,每一R或L或至少一R或L(例如,在式( I)、( II)或( IIa)中)可包含氧原子。在特定實施例中,一或更多R或L可為視情況取代之烷氧基或視情況取代之烷醯氧基。非限定R或L取代基包括例如甲氧基、乙氧基、異丙氧基( i-PrO)、叔丁氧基( t-BuO)、醋酸根(-OC(O)-CH 3)及-O=C(CH 3)-CH=C (CH 3)-O-(acac)。
本文中任一式可包含一或更多中性配位基。非限定中性配位基包括視情況取代之胺基(例如,NR 3或R 2N-Ak-NR 2,其中每一R可獨立為H、視情況取代之烷基、視情況取代之烴基或視情況取代之芳基,且Ak為視情況取代之亞烷基)、視情況取代之膦(例如PR 3或R 2P-Ak-PR 2,其中每一R可獨立為H、視情況取代之烷基、視情況取代之烴基或視情況取代之芳基,且Ak為視情況取代之亞烷基)、視情況取代之醚(例如,OR 2,其中每一R可獨立為H、視情況取代之烷基、視情況取代之烴基或視情況取代之芳基)、視情況取代之烷基、視情況取代之烯、視情況取代之炔、視情況取代之苯、側氧基或一氧化碳。
本文中任一式可包含一或更多多牙(例如,雙牙)配位基。非限定多牙配位基包括二酮根(例如,乙醯丙酮根(acac)或‑OC(R 1)-Ak-(R 1)CO-或‑OC(R 1)-C(R 2)-(R 1)CO-)、雙牙螯合二氮(例如-N(R 1)-Ak-N(R 1)-或-N(R 3)-CR 4-CR 2=N(R 1)-)、芳香族 (例如-Ar-)、脒根(amidinate)(例如-N(R 1)-C(R 2)-N(R 1)-)、胺基烷氧根(例如-N(R 1)-Ak-O-或- N(R 1) 2-Ak-O-)、二氮雜二烯基(diazadienyl)(例如,-N(R 1)-C(R 2)-C(R 2)-N(R 1)-)、環戊二烯基(cyclopentadienyl)、吡唑特(pyrazolate)、視情況取代之雜環基、視情況取代之亞烷基或視情況取代之亞雜烷基。在特定實施例中,每一R 1獨立為H、視情況取代之烷基、視情況取代之鹵代烷基、或視情況取代之芳基;每一R 2獨立為H或、視情況取代之烷基; R 3及R 4一起形成視情況取代之雜環基; Ak為視情況取代之亞烷基;且Ar為視情況取代之亞芳基。
在特定實施例中,前驅物包括錫。在一些實施例中,錫前驅物包括SnR或SnR 2或SnR 4或R 3SnSnR 3,其中每一R獨立為H、鹵素、視情況取代之C 1-12烷基、視情況取代之C 1-12烷氧基、視情況取代之胺基(例如‑NR 1R 2) 、視情況取代之C 2-12烯基、視情況取代之C 2-12炔基、視情況取代之C 3-8環烷基、視情況取代之芳基、環戊二烯基、視情況取代之雙(三烷基矽烷基)胺基(例如‑N(SiR 1R 2R 3) 2) 、視情況取代之烷醯氧基(例如醋酸根)、二酮根(例如‑OC(R 1)-Ak-(R 2)CO-)或雙牙螯合二氮(例如-N(R 1)-Ak-N(R 1)-)。在特定實施例中,每一R 1、R 2及R 3獨立為H或C 1-12烷基(例如,甲基、乙基、異丙基、叔丁基或新戊基);且Ak為視情況取代之C 1-6亞烷基。在特定實施例中,每一R獨立為鹵素、視情況取代之C 1-12烷氧基、視情況取代之胺基、視情況取代之芳基、環戊二烯基或二酮根。非限定錫前驅物包括SnF 2、SnH 4、SnBr 4、SnCl 4、SnI 4、四甲基錫(SnMe 4)、四乙基錫(SnEt 4)、三甲基氯化錫(SnMe 3Cl)、二甲基二氯化錫(SnMe 2Cl 2)、甲基三氯化錫(SnMeCl 3)、四烯丙基錫、四乙烯基錫、六苯基二錫(IV)( Ph 3Sn-SnPh 3,其中Ph為苯基)、二丁基二苯基錫(SnBu 2Ph 2)、三甲基(苯基)錫(SnMe 3Ph)、三甲基(苯基乙炔基)錫、三環己基氫化錫、三丁基氫化錫(SnBu 3H )、二醋酸二丁基錫(SnBu 2(CH 3COO) 2)、乙醯丙酮錫(II)( Sn(acac) 2))、三丁基乙氧基锡(SnBu 3(OEt))、二丁基二甲氧基錫(SnBu 2(OMe) 2)、三丁基甲氧基錫(SnBu 3(OMe))、叔丁氧基錫(IV)(Sn( t-BuO) 4)、正丁基三丁氧基錫(Sn( n-Bu)( t-BuO) 3)、四(二甲基胺基)錫(Sn(NMe 2) 4)、四(乙基甲基胺基)錫(Sn(NMeEt) 4)、四(二乙基胺基)錫(IV)(Sn(NEt 2) 4)、(二甲基胺基)三甲基錫(IV)( Sn(Me) 3(NMe 2)、Sn( i-Pr)(NMe 2) 3、Sn( n-Bu)(NMe 2) 3、Sn( s-Bu)(NMe 2) 3、 Sn( i-Bu)(NMe 2) 3、Sn( t-Bu)(NMe 2) 3、Sn( t-Bu) 2(NMe 2) 2、Sn( t-Bu)(NEt 2) 3、Sn(tbba)、Sn(II)(1,3-雙(1,1-二甲基乙基)-4,5-二甲基-(4 R,5 R)-1,3,2-二氮雜史坦諾啶-2-亞基)( Sn(II) (1,3-bis(1,1-dimethylethyl)-4,5-dimethyl-(4 R,5 R)-1,3,2- diazastannolidin-2-ylidene))、或雙[雙(三甲基矽烷基)胺基]錫(Sn[N(SiMe 3) 2] 2)。
在其他實施例中,前驅物包括鉍,例如於BiR 3中,其中每一R獨立為鹵素、視情況取代之C 1-12烷基、單-C 1-12烷基胺基(例如,‑NR 1H)、二-C 1-12烷基胺基(例如‑NR 1R 2) 、視情況取代之芳基、視情況取代之雙(三烷基矽烷基)胺基(例如‑N(SiR 1R 2R 3) 2)、或二酮根(例如‑OC(R 4)-Ak-(R 5)CO-)。在特定實施例中,每一R 1、R 2及R 3獨立為C 1-12烷基(例如甲基、乙基、異丙基、叔丁基或新戊基);且每一R 4及R 5獨立為H或視情況取代之C 1-12烷基(例如甲基、乙基、異丙基、叔丁基或新戊基)。非限定鉍前驅物包括BiCl 3、BiMe 3、BiPh 3、Bi(NMe 2) 3、Bi[N(SiMe 3) 2] 3、及Bi(thd) 3,其中thd為2,2,6,6-四甲基-3,5-庚二酮根(2,2,6,6-tetramethyl-3,5-heptanedionate)。
在其他實施例中,前驅物包括碲,例如TeR 2或TeR 4,其中每一R獨立為鹵素、視情況取代之C 1-12烷基(例如,甲基、乙基、異丙基、叔丁基及新戊基) 、視情況取代之C 1-12烷氧基、視情況取代之芳基、羥基、側氧基或視情況取代之三烷基矽烷基。非限定碲前驅物包括二甲基碲(TeMe 2)、二乙基碲(TeEt 2)、二(正丁基)碲(Te( n-Bu) 2)、二(異丙基)碲(Te( i-Pr) 2)、二(叔丁基)碲(Te( t-Bu) 2)、叔丁基氫化碲(Te( t-Bu)(H))、Te(OEt) 4、雙(三甲基矽烷基)碲(Te(SiMe 3) 2)及雙(三乙基矽烷基)碲(Te(SiEt 3) 2)。
前驅物可包括銻,例如在SbR 3中,其中每一R獨立為鹵素、視情況取代之C 1-12烷基(例如甲基、乙基、異丙基、叔丁基及新戊基) 、視情況取代之C 1-12烷氧基或視情況取代之胺基(例如,‑NR 1R 2,其中每一R 1及R 2獨立為H或視情況取代之C 1-12烷基)。非限定銻前驅物包括SbCl 3、Sb(OEt) 3、Sb(O n-Bu) 3、及 Sb(NMe 2) 3
其他前驅物包括銦前驅物,例如InR 3中,其中每一R獨立為鹵素、視情況取代之C 1-12烷基(例如,甲基、乙基、異丙基、叔丁基及新戊基)或二酮根(例如,‑OC(R 4)-Ak-(R 5)CO-,其中每一R 4及R 5獨立為H或C 1-12烷基)。非限定銦前驅物包括InCp(其中Cp為環戊二烯基)、InCl 3、InMe 3、In(acac) 3、In(CF 3COCHC OCH 3) 3及In(thd) 3
前驅物可包括碘,例如RI,其中R為碘(I)或視情況取代之C 1-12烷基、或過碘酸根。非限定碘前驅物包括碘氣(I 2)、二碘甲烷(CH 2I 2)及過碘酸鹽。
本文描述另其他前驅物及非限定取代基。例如,前驅物可為具有如上所述之式( I)、( II)及( IIa);或如下所述之式( III)、( IV) 、( V)、( VI) 、( VII)或( VIII) 之結構的任一者。本文所述之任一取代基M、R、X或L可用於式( I)、( II)、( IIa)、( III)、( IV) 、( V)、( VI) 、( VII)或( VIII)中。
存在於前驅物及/或相對反應物中的諸多原子可提供於梯度膜內。在本文討論之技術的一些實施例中,非限定策略可透過產生其中膜組成垂直漸變的膜來進一步改善光阻膜內之疏水性對比,從而產生深度相依的疏水特性。在均質光阻中,膜的疏水特性在整個膜的大部分及膜的頂表面皆相同。透過增加膜頂部相對於膜底部(較靠近基板)之膜的疏水性,變得可能更有效地避免水性酸溶液穿過膜之頂表面溶解。
在光阻膜中設計垂直組成梯度之策略特別適用於乾式沉積方法,例如CVD及ALD,並可透過在沉積期間調整不同反應物之間的流量比來實現。可設計之組成梯度類型包括 : 前驅物之不同R或L配位基之間的比率、使用具有更疏水R配位基的不同前驅物、含有含碳元素之相對反應物的百分比、及上述組合。
此外,此等組成梯度可包括較高比例之大體積末端取代基位於膜頂表面處。例如,在基於Sn之阻劑例子中,可在頂表面處摻入具有兩個或更多R基團的錫前驅物,因而在PR膜之頂表面處呈現額外的疏水性R基團。
在一實施例中,梯度膜可包括第一濃度之碳含量於膜頂部(遠離基板)中以及第二濃度之碳含量於膜底部(靠近基板)中,其中第一與第二濃度值不同。在一實例中,第一濃度大於第二濃度。非限定梯度包括線性梯度、指數梯度、S形梯度及類似者。在特定實施例中,EUV響應式有機部分(moiety)的梯度密度膜在膜之所有深度處可產生EUV曝光區之更均質的膜特性,其可能改善顯影製程、改善EUV敏感性及/或改善圖案化品質(例如,具有改善之線寬粗糙度(LWR)及/或線邊緣粗糙度 (LER))。
此等梯度膜可利用本文所述之任何前驅物(例如錫或非錫前驅物)及/或相對反應物來形成。另其他膜、方法、前驅物及其他化合物描述於2019年10月2日提申之美國臨時專利申請案第62/909,430號及2020年10月1日提申之國際申請案第PCT/US20/53856號(公開為國際公開案第WO 2021/067632號),其中每一案的標題皆為SUBSTRATE SURFACE MODIFICATION WITH HIGH EUV ABSORBERS FOR HIGH PERFORMANCE EUV PHOTORESISTS;以及2020年6月24日提申之國際申請案第PCT/US20/70172號(公開為國際公開案第WO 2020/264557號,標題為PHOTORESIST WITH MULTIPLE PATTERNING RADIATION-ABSORBING ELEMENTS AND/OR VERTICAL COMPOSITION GRADIENT),其至少關於直接可光圖案化之金屬氧化物膜的組成、沉積及圖案化以形成EUV阻劑遮罩之揭示內容以引用方式併入本文。
存在於前驅物及/或相對反應物中之諸多原子可提供於覆蓋層內,覆蓋層又設置於任何有用的層或結構上。覆蓋層可為任何有用的厚度(例如,本文所述之任何厚度,包括約0.1 nm至約5 nm)。
此外,可在每一層(例如,膜或覆蓋層)內使用兩個或更多不同前驅物。例如,可使用本文之兩個或更多任何含金屬前驅物來形成合金。在一非限定實例中,碲化錫可透過使用包含-NR 2配位基之錫前驅物與RTeH、RTeD或TeR 2前驅物來形成,其中R為烷基,特別是叔丁基或異丙基。在另一實例中,金屬碲化物可透過使用包含有烷氧基或鹵素配位基之第一前驅物(例如,SbCl 3)與包含有三烷基矽烷基配位基之含碲前驅物(例如,雙(三甲基矽烷基)碲)來形成。
另其他示例性EUV敏感材料以及處理方法及設備描述於美國專利案第9,996,004號;國際專利公開案第WO 2020/102085號;以及國際專利公開案第WO 2019/217749號中,其每一者整體內容均以引用方式併於本文。
如本文所述,本文之膜、層及方法可與任何有用之前驅物一起使用。在一些實例中,前驅物包括具有下式( III)之金屬鹵化物 : MX n( III), 其中,M為金屬,X為鹵素,n為2至4(取決於M之選擇)。用於M之示例性金屬包括Sn、Te、Bi或Sb。示例性金屬鹵化物包括SnBr 4、SnCl 4、SnI 4及SbCl 3
另一非限定前驅物包括具有式( IV)之結構 : MR n( IV), 其中M為金屬;每一R獨立為H、視情況取代之烷基、胺基(例如,‑NR 2,其中每一R獨立為烷基)、視情況取代之雙(三烷基矽烷基)胺基(例如-N(SiR 3) 2,其中每一R獨立為烷基 )、或視情況取代之三烷基矽烷基(例如,-SiR 3,其中每一R獨立為烷基);且n為2至4(取決於M之選擇)。M之示例性金屬包括Sn、Te、Bi或Sb。烷基可為C nH 2n+1,其中n為1、2、3或更大。示例性有機金屬劑包括SnMe 4、 SnEt 4、TeR n、RTeR、叔丁基氫化碲(Te( t-Bu)(H))、二甲基碲(TeMe 2)、二叔丁基碲(Te( t-Bu) 2)、二(異丙基)碲(Te( i-Pr) 2)、雙(三甲基矽烷基)碲(Te(SiMe 3) 2)、雙(三乙基矽烷基)碲(Te(SiEt 3) 2)、三(雙(三甲基矽烷基)醯胺基)鉍(Bi[N(SiMe 3) 2] 3)、Sb(NMe 2) 3及類似者。
另一非限定前驅物可包括具有下式( V)之覆蓋劑: ML n( V), 其中M為金屬;每一L獨立為視情況取代之烷基、胺基(例如,‑NR 1R 2,其中每一R 1及R 2可為H或烷基,例如本文所述之任一者)、烷氧基(例如-OR,其中R為烷基,例如本文所述之任一者)、鹵素或其他有機取代基;n為2至4,取決於M之選擇。M之示例性金屬包括Sn、Te、Bi或Sb。示例性配位基包括二烷基胺基(例如二甲基胺基、甲基乙基胺基及二乙基胺基)、烷氧基(例如叔丁氧基及異丙氧基)、鹵素(例如F、Cl、Br及I)、或其他有機取代基(例如乙醯丙酮或 N 2 , N 3-二叔丁基-丁烷-2,3-二胺基)。非限定覆蓋劑包括SnCl 4;SnI 4;Sn(NR 2) 4,其中每一R獨立為甲基或乙基;或Sn( t-BuO) 4。在一些實施例中,存在多種類型之配位基。
前驅物可包括具有下式( VI)之被烴基取代的覆蓋劑 : R nMX m( VI), 其中M為金屬,R為具有β-氫之C 2-10烷基或經取代烷基,且X為與暴露羥基中之羥基反應後合適的離去基。在諸多實施例中,只要m>0(或m≥1),則n=1至3,且m=4 – n、3 – n或2 – n。例如,R可為叔丁基、叔戊基、叔己基、環己基、異丙基、異丁基、仲丁基、正丁基、正戊基、正己基或其在β位置中具有雜原子取代基之衍生物。合適的雜原子包括鹵素(F、Cl、Br或I)、或氧(-OH或-OR)。X可為二烷基胺基(例如二甲基胺基、甲基乙基胺基或二乙基胺基)、烷氧基(例如叔丁氧基、異丙氧基)、鹵素(例如F、Cl、Br或I)、或另一有機配位基。被烴基取代之覆蓋劑的示例包括叔丁基三(二甲基胺基)錫(Sn( t-Bu)(NMe 2) 3)、正丁基三(二甲基胺基)錫(Sn( n-Bu)(NMe 2) 3)、叔丁基三(二乙基胺基)錫(Sn( t-Bu)(NEt 2) 3)、二(叔丁基)二(二甲基胺基)錫(Sn( t-Bu) 2(NMe 2) 2)、仲丁基三(二甲基胺基)錫(Sn( s-Bu)(NMe 2) 3)、正戊基三(二甲基胺基)錫(Sn(n-pentyl)(NMe 2) 3)、異丁基三(二甲基胺基)錫(Sn( i-Bu)(NMe 2) 3)、異丙基三(二甲基胺基)錫(Sn(i-Pr)(NMe 2) 3)、叔丁基三(叔丁氧基)錫(Sn( t-Bu)( t-BuO) 3)、正丁基(三(叔丁氧基)錫(Sn( n-Bu)( t-BuO) 3)或異丙基三(叔丁氧基)錫(Sn( i-Pr)( t-BuO) 3)。
在諸多實施例中,前驅物在每一金屬原子上包括至少一可在氣相反應中留存之烷基,而與該金屬原子配位之其他配位基或離子可被相對反應物取代。據此,另一非限定前驅物包括具有式( VII)之有機金屬劑 : M aR bL c( VII), 其中M為金屬;R為視情況取代之烷基;L為與相對反應物具反應性之配位基、離子或其他部分;a≥1;b≥1;且c≥1。在特定實施例中,a = 1,且b + c = 4。在一些實施例中,M為Sn、Te、Bi或Sb。在特定實施例中,每一L獨立為胺基(例如,-NR 1R 2,其中每一R 1及R 2可為H或烷基,例如本文所述之任一者)、烷氧基(例如-OR,其中R為烷基,例如本文所述之任一者)、或鹵素(例如F、Cl、Br或I)。示例性試劑包括SnMe 3Cl、SnMe 2Cl 2、SnMeCl 3、SnMe(NMe 2) 3、SnMe 2(NMe 2) 2、SnMe 3(NMe 2)及類似者。
在其他實施例中,非限定前驅物包括具有式( VIII)之有機金屬劑 : M aL c( VIII), 其中M為金屬;L為與相對反應物具反應性之配位基、離子或其他部分; a≥1;且c≥1。在特定實施例中,c = n-1,且n為2、3或4。在一些實施例中,M為Sn、Te、Bi或Sb。相對反應物較佳具有取代反應性部分、配位基或離子(例如,本文式中之L)以透過化學鍵結連接至少兩金屬原子之能力。
在本文任一實施例中,R可為視情況取代之烷基(例如,C 1-10烷基)。在一實施例中,烷基被一或更多鹵素取代(例如,經鹵素取代之C 1-10烷基,其包括一個、兩個、三個、四個或更多鹵素,例如F、Cl、Br或I)。示例性R取代基包括C nH 2n+1,較佳為其中n≥3;C nF xH (2n+1-x),其中2n+1 ≥ x ≥ 1 。在諸多實施例中,R具有至少一β-氫、β-鹵素或β-氟。例如,R可選自由異丙基、正丙基、叔丁基、異丁基、正丁基、仲丁基、正戊基、異戊基、叔戊基、仲戊基及其混合物所組成之群組。
在本文任一實施例中,L可為易被相對反應物取代以產生M-OH部分之任何部分,例如選自由胺基(例如,-NR 1R 2,其中每一R 1及R 2可為H或烷基,例如本文所述之任一者)、烷氧基(例如-OR,其中R為烷基,例如本文所述之任一者)、羧酸根、鹵素(例如F、Cl、Br或I)及其混合物所組成之群組的部分。
相對反應物較佳具有取代反應性部分、配位基或離子(例如,本文式中的L)以透過化學鍵結連接至少兩金屬原子之能力。示例性相對反應物包括含氧之相對反應物,例如氧(O 2)、臭氧(O 3)、水、過氧化物(例如過氧化氫)、氧電漿、水電漿、醇、二羥基醇、多羥基醇、氟化二羥基醇、氟化多羥基醇、氟化二醇(fluorinated glycols)、甲酸及其他羥基部分之來源、以及其組合。在諸多實施例中,相對反應物透過在相鄰金屬原子之間形成氧橋而與前驅物反應。其他可能的相對反應物包括硫化氫及二硫化氫,其可透過硫橋使金屬原子交聯;以及雙(三甲基矽烷基)碲,其可透過碲橋使金屬原子交聯。另外,可利用碘化氫將碘結合至膜中。
另其他非限定之相對反應物包括具有式ZR 2之硫屬化物前驅物,其中 : Z為硫、硒或碲;且每一R獨立為H、視情況取代之烷基(例如甲基、乙基、正丙基、異丙基、正丁基、叔丁基等) 、視情況取代之烯基、視情況取代之芳基、視情況取代之胺基、視情況取代之烷氧基、或視情況取代之三烷基矽烷基。
示例性有機金屬劑包括SnMeCl 3、( N 2, N 3-二叔丁基-丁烷-2,3-二胺基)錫(II)(Sn(tbba))、雙(雙(三甲基矽烷基)醯胺基)錫(II)、四(二甲基胺基)錫(IV)(Sn(NMe 2) 4)、叔丁基三(二甲基胺基)錫(Sn( t-butyl)(NMe 2) 3)、異丁基三(二甲基胺基)錫(Sn( i-Bu)(NMe 2) 3)、正丁基三(二甲基胺基)錫(Sn( n-Bu)(NMe 2) 3)、仲丁基三(二甲基胺基)錫(Sn( s-Bu)(NMe 2) 3)、異丙基三(二甲基胺基)錫(Sn( i-Pr)(NMe 2) 3)、正丙基三(二乙基胺基)錫(Sn( n-Pr)(NEt 2) 3)及類似物烷基(三)(叔丁氧基)錫化合物,例如叔丁基三(叔丁氧基)錫(Sn( t-Bu)( t-BuO) 3)。在一些實施例中,有機金屬劑為部分氟化。
在一些實施例中,圖案化結構可包括含有暴露羥基或羥基封端SnO x之表面層或膜。在不限制本技術之機制、功能或實用性下,據信羥基封端SnO x層可提供例如改善沉積在基板表面上之材料黏附及增強圖案化期間EUV(或其他輻射)吸收之益處。對EUV或其他輻射之敏感性及解析度可取決於 SnO x層的特性,例如厚度、密度及短程電荷轉移特性。在諸多實施例中,SnO x層具有0.1 nm至20 nm、或0.2 nm至10 nm、或0.5 nm至5 nm的厚度。
在一些實施例中,羥基封端SnO x層透過氣相沉積沉積於基板的表面上。在此等方法中,沉積包括使Sn-X n與含氧相對反應物反應,其中X為配位基,例如二烷基胺基(例如,二甲基胺基、甲基乙基胺基及二乙基胺基)、醇(例如,叔丁氧基及異丙氧基)、鹵素(例如 F、Cl、Br及I)、或其他有機取代基(例如乙醯丙酮、N2,N3-二叔丁基-丁烷-2,3-二胺基)。例如,Sn-X n可為SnCl 4、SnI 4或Sn(NR 2) 4(其中R為甲基或乙基)、或Sn( t-BuO) 4。在一些實施例中,存在多種類型的配位基。含氧相對反應物可選自水、過氧化氫、甲酸、醇、氧、臭氧及其組合所組成之群組。
合適的氣相沉積製程包括化學氣相沉積(CVD)、原子層沉積(ALD)、電漿增強化學氣相沉積(PECVD)或電漿增強原子層沉積(PEALD)。在一些實施例中,在沉積Sn-X n及沉積含氧相對反應物的循環製程中,該沉積為ALD。 在一些實施例中,該沉積為CVD,其透過同時流動Sn-X n與含氧相對反應物。本文可用於沉積Sn-X n層之彼等中的材料及製程描述於Nazarov等人之「Atomic Layer Deposition of Tin Dioxide Nanofilms:  A Review, 40 Rev. Adv. Mater. Sci.262 (2015)」。SnO x基板可透過CVD或ALD製程來沉積,如本文所述。
可使用表面活化操作來活化用於後續操作的表面。例如,對於SiO x表面,可使用水或氧/氫電漿在表面上產生羥基。對於基於碳或烴的表面,可使用水、氫/氧或CO 2電漿或臭氧處理來產生羧酸/或羥基。此等方法可改善阻劑特徵部對基板的黏附,否則基板可能會在用於顯影的溶劑中分層或剝離。
亦可透過在基板表面中引起粗糙度以增加可用於相互作用之表面積以及直接改善機械黏附來提高黏附。例如,首先可利用使用Ar之濺射製程或其他非反應性離子轟擊來產生粗糙表面。接著,該表面可用如上所述之所欲表面官能性(例如羥基及/或羧酸基)進行封端。在碳上,可採用組合方法,其中可使用具化學反應性之含氧電漿,例如CO 2、O 2、H 2O(或H 2與O 2之混合物)來蝕刻去除局部不均勻之膜的薄層,同時以-OH、-OOH或-COOH基團封端。此可在有偏壓或無偏壓下完成。結合上述表面改質策略,此方法可發揮表面粗糙化及基板表面化學活化之雙重目的,用於直接黏附至基於無機金屬氧化物之阻劑上,或作為中間表面改質以進一步官能化。
圖案化結構可包括任何有用的基板。例如,進入晶圓可製備為具有所欲材料之基板表面,其最上面材料為阻劑圖案被轉印至其中的層。儘管材料選擇可能會根據整合度而變化,但一般需選擇可以相對於EUV阻劑或成像層具高選擇性(即比其快得多地)來進行蝕刻之材料。在一些實施例中,基板為硬遮罩,其用於底層半導體材料之微影蝕刻。硬遮罩可包括各種材料中之任一者,包括非晶碳(a-C)、氧化錫(例如SnO x)、氧化矽(例如SiO x,包括SiO 2)、氮氧化矽(例如SiO xN y)、碳氧化矽(例如SiO xC y)、氮化矽(例如Si 3N 4)、氧化鈦(例如TiO 2)、氮化鈦(例如TiN)、鎢(例如W)、摻雜的C(例如W摻雜的C)、氧化鎢(例如WO x)、氧化鉿(例如HfO 2)、氧化鋯(例如ZrO 2)及氧化鋁(例如Al 2O 3)。合適的基板材料可包括諸多基於碳的膜(例如可灰化之硬遮罩(AHM)、基於矽的膜(例如SiO x、SiC x、SiO xC y、SiO xN y、SiO xC yN z)、非晶Si:H、多晶Si或SiN)、或塗佈以促進圖案化製程之任何其他(一般是犧牲性的)膜)。例如,基板可較佳地包括SnO x,例如SnO 2。在諸多實施例中,該層可為1 nm至100 nm厚、或2 nm至10 nm厚。
在諸多實施例中,該表面(例如,基板及/或膜的表面)在其表面上包含暴露的羥基。一般而言,該表面可為包含或已被處理以產生暴露羥基表面之任何表面。可透過使用氧電漿、水電漿或臭氧之基板的表面處理而在表面上形成此等羥基。在其他實施例中,可對膜之表面進行處理,以提供暴露的羥基,其上可塗佈覆蓋層。在諸多實施例中,羥基封端金屬氧化物層具有0.1 nm至20 nm、或0.2 nm至10 nm、或0.5 nm至5 nm的厚度。
本文所揭示之實施方式描述材料在基板(例如晶圓、基板或其他工件)上之沉積。工件可具有諸多形狀、尺寸及材料。在本申請中,術語「半導體晶圓」、 「晶圓」、 「基板」、 「晶圓基板」及「部分已製成之積體電路」可互換使用。本領域普通技術人員將理解,術語「部分已製成之積體電路」可指其上積體電路製造之許多階段中任一者期間的矽晶圓。半導體裝置產業中所使用之晶圓或基板通具有200 mm或300 mm或450 mm的直徑。除非另有說明,否則本文所述之處理細節(例如,流率、功率位準等)與處理300 mm直徑基板或配置成處理300 mm直徑基板之處理腔室有關,並可適當微縮用於其他尺寸之基板或腔室。除了半導體晶圓之外,可用於本文揭示之實施方式中的其他工件包括諸多製品,例如印刷電路板及其類似者。該等製程及設備可用於半導體裝置、顯示器及其類似者之製造中。
如上所討論,本發明提供用於半導體基板上之膜的方法,其可使用EUV或其他下一代微影技術來圖案化。方法包括以蒸氣產生聚合之有機金屬材料並將其沉積在基板上之彼等方法。在一些實施例中,乾式沉積可採用任何有用的前驅物(例如,本文所述之金屬鹵化物、覆蓋劑或有機金屬劑)。在其他實施例中,可使用旋塗製劑。沉積製程可包括塗佈EUV敏感材料作為阻膜或EUV敏感膜。
此等EUV敏感膜包括暴露於EUV後即發生變化之材料,例如失去鍵結至金屬原子之大體積側基配位基。若未曝光區包含緻密之富含M-O-M的材料,則EUV引起之裂解可提供更易被正型顯影劑去除之中間體。
透過EUV圖案化,產生相對於未曝光區而有物理或化學性質變化之膜的區域。此些特性可在後續處理中加以利用,例如溶解未曝光或曝光區,或在曝光或未曝光區上選擇性地沉積材料。在一些實施例中,在進行此等後續處理之條件下,未曝光膜具有疏水性表面,而曝光膜具有親水性表面(已知悉曝光與未曝光區之親水性是彼此相對的)。例如,可透過利用膜之化學組成、密度及交聯上的差異來進行材料的去除。可透過濕式處理或乾式處理去除,如本文進一步所述。
形成在基板表面上之EUV可圖案化膜的厚度可根據表面特性、所使用之材料及處理條件而變化。在諸多實施例中,膜厚度可為約0.5 nm至約100 nm之範圍。較佳為,膜具有得以在EUV圖案化之條件下吸收大部分EUV光的足夠厚度。例如,阻膜之總吸收可為30%或更少(例如10%或更少、或5%或更少),使得阻膜底部之阻劑材料充分曝光。在一些實施例中,膜厚度為10 nm至20 nm。在不限制本發明之機制、功能或實用性下,據信本發明之製程可應用至多種基板。再者,如上所討論,所沉積之膜可緊密地符合表面特徵部,從而提供在基板(例如具有底層特徵部之基板)上形成遮罩的優點,而無需「填充」或以其他方式使此等特徵部平坦化。
膜可由以任何有用方式沉積之金屬氧化物層所構成。此等金屬氧化物層可透過使用本文所述之任何EUV敏感材料來沉積或塗佈,例如前驅物(如,含金屬前驅物、金屬鹵化物、覆蓋劑或有機金屬劑)與相對反應物組合。在示例性製程中,聚合之有機金屬材料係在基板表面上以氣相或原位形成,以提供金屬氧化物層。金屬氧化物層可用作膜、黏附層或覆蓋層。
可選地,金屬氧化物層可包括羥基封端金屬氧化物層,其可透過使用覆蓋劑(如本文所述之任一者)與含氧相對反應物來沉積。此等羥基封端金屬氧化物層可用作例如兩其他層之間的黏附層,例如基板與膜之間及/或光阻層與覆蓋層之間。
示例性沉積技術(例如,用於膜)包括本文所述之任一者,例如ALD(例如,熱ALD及電漿增強ALD)、旋塗沉積、包括PVD共濺鍍之PVD、CVD(例如,PE-CVD或LP-CVD)、濺鍍沉積、包括電子束共蒸鍍之電子束沉積等,或其組合,例如具有CVD組分之ALD,例如前驅物與相對反應物在時間或空間上分開之不連續類ALD製程。
沉積作為可應用至本發明EUV光阻膜之前驅物及方法的進一步描述可見於國際申請案第PCT/US19/31618號,其公開為國際公開案第WO2019/217749號,於2019年5月9日申請,標題為「METHODS FOR MAKING EUV PATTERNABLE HARD MASKS」。除了前驅物及相對反應物之外,薄膜還可包括可選的材料,以對膜的化學或物理特性進行改質,例如以改質膜對EUV的敏感性或增強抗蝕性。可引入此等可選材料,例如透過在沉積於基板上之前的氣相形成期間、沉積於基板上期間、及/或膜沉積之後進行摻雜。在一些實施例中,可引入溫和的遠端H 2電漿,以例如用Sn-H取代一些Sn-L鍵,其可增強阻劑在EUV下的反應性。 在其他實施例中,可引入CO 2,以用Sn-CO 3鍵取代一些Sn-O鍵,其可對濕式顯影可更具抗性。
一般而言,方法可包括將前驅物(例如,含金屬前驅物,例如有機金屬劑)蒸氣流與可選之相對反應物蒸氣流混合,以形成聚合之有機金屬材料,並將有機金屬材料沉積至半導體基板之表面上。在一些實施例中,將前驅物與可選之相對反應物混合可形成聚合之有機金屬材料。如本領域具有通常技藝者將理解,製程之混合與沉積方面可在實質上連續的製程中同時進行。
在示例性連續CVD製程中,將前驅物與可選相對反應物源之兩個或更多氣流(於分開入口路徑中)引至CVD設備之沉積腔室中,在此其於氣相中混合並反應,以在基板上形成團聚之聚合物材料(例如,透過金屬-氧-金屬鍵形成)或膜。可例如使用分開噴射入口或雙氣室噴淋頭來引入氣流。該設備配置成使前驅物與可選相對反應物流於腔室中混合,從而允許前驅物與可選相對反應物反應,以形成聚合之有機金屬材料或膜(例如,金屬氧化物塗層或團聚之聚合物材料,例如透過金屬-氧-金屬鍵形成)。
為了沉積金屬氧化物,CVD製程一般是在減壓下進行,例如從0.1 托耳(Torr)至10托耳(Torr)。在一些實施例中,該製程係於1 Torr至2 Torr之壓力下進行。基板之溫度較佳是低於反應物流之溫度。例如,基板溫度可為0℃至250℃,或環境溫度(例如23℃)至150℃。
為了沉積團聚之聚合物材料,CVD製程一般在減壓下進行,例如從10 mTorr至10 Torr。在一些實施例中,該製程係於0.5至2 Torr下進行。基板之溫度較佳是等於或低於反應物流之溫度。例如,基板溫度可為0℃至250℃,或環境溫度(例如23℃)至150℃。在諸多製程中,聚合之有機金屬材料的沉積係以與表面溫度成反比的速率發生。在不限制本技術之機制、功能或實用性下,據信來自此等氣相反應之產物因金屬原子透過相對反應物交聯而變成更大分子量,並接著凝結或以其他方式沉積在基板上。在諸多實施例中,大體積烷基之立體障礙進一步阻止形成緻密堆積網,並產生具較大孔隙率之低密度膜。
使用乾式沉積方法之潛在優點為,膜的組成可在其生長時進行調變。在CVD製程中,此可透過在沉積期間改變第一前驅物與第二前驅物之相對流量來完成。沉積可於介於30℃與200℃之間且壓力介於0.01 Torr至100 Torr之間發生,但更一般係介於約0.1 Torr與10 Torr之間。
膜(如,例如透過金屬-氧-金屬鍵形成之金屬氧化物塗層或團聚之聚合材料)亦可透過ALD製程來沉積。例如,在分開時間引入前驅物及可選相對反應物,其代表ALD循環。前驅物在表面上反應,從而在每一循環一次形成多達一層材料。此可允許很好地控制整個表面上膜厚度的均勻性。ALD製程一般是在減壓下進行,例如從0.1 Torr至10 Torr。在一些實施例中,該製程於1 Torr至2 Torr進行。基板溫度可為0℃至250℃,或環境溫度(例如23℃)至150℃。該製程可為熱製程,或較佳為電漿輔助沉積。
本文中任一沉積方法均可被修改成得以允許使用兩者或更多不同前驅物。在一實施例中,前驅物可包括相同金屬但不同配位基。在另一實施例中,前驅物可包括不同金屬基團。在一非限定實例中,諸多揮發性前驅物之交替流動可提供混合的含金屬層,例如使用具有第一金屬(例如Sn)之金屬烷氧化合物前驅物與具有不同第二金屬(例如Te)之基於矽烷基的前驅物。
本文之製程可用於實現表面改質。在一些反覆法中,前驅物之蒸氣可通過晶圓。可加熱晶圓以提供熱能使反應進行。在一些反覆法中,加熱可介於約50℃至約250℃之間。在一些例子中,可使用前驅物的脈衝,透過泵及/或沖洗步驟將其隔開。例如,可在第二前驅物脈衝之脈衝之間脈衝第一前驅物,從而導致ALD或類-ALD生長。在其他例子中,兩者前驅物可同時流動。可用於表面改質之元素的示例包括I、F、Sn、Bi、Sb、Te、及此些化合物之氧化物或合金。
本文之製程可用於透過ALD或CVD沉積薄金屬氧化物或金屬。示例包括氧化錫(SnO x)、氧化鉍(BiO x)及Te。沉積之後,可用式M aR bL c之經烷基取代的前驅物覆蓋膜,如本文其他地方所述。可使用相對反應物以更佳地去除配位基,且可重複多個循環以確保基板表面完全飽和。該表面接著可準備用於沉積EUV敏感膜。一可能的方法是產生SnO x薄膜。可能的化學方法包括透過使四(二甲基胺基)錫與相對反應物(例如水或O 2電漿)循環來生長SnO 2。生長後,可使用覆蓋劑。例如,可使異丙基三(二甲基胺基)錫蒸氣流過該表面。
可在任何有用的表面上採用沉積製程。如本文所提,「表面」為其上將沉積本技術之膜或在處理期間將暴露於EUV之表面。此等表面可存在於基板上(例如,膜將沉積於其上)或膜上(例如,覆蓋層可沉積於其上)。
可在任何有用的表面上採用沉積製程。如本文所提,「表面」為其上將沉積本技術之膜或在處理期間將暴露於EUV之表面。此等表面可存在於基板上(例如,膜將沉積於其上)或膜上(例如,覆蓋層可沉積於其上)。
此等底層形貌特徵部可包括在進行本技術方法之前已在處理期間去除材料(例如,透過蝕刻)的區域或者已添加材料(例如,透過沉積)的區域。此等先前處理可包括本技術之方法或反覆製程(iterative process)中之其他處理方法,藉此得以在基板上形成兩層或更多層特徵部。在不限制本技術之機制、功能或實用性下,據信在一些實施例中,本技術之方法提供優點,例如本技術之膜對底層特徵部的一致性,而無需「填充」或以其他方式使此等特徵部平坦化,以及在廣泛材料表面上沉積膜的能力。 含金屬阻劑材料之曝光
光阻膜可曝光於輻射。光阻膜根據所欲圖案曝光於輻射以形成光阻膜之曝光與未曝光區域。曝光會導致光阻膜之化學組成變化及交聯,從而產生蝕刻選擇性的對比,其可用於後續的顯影。
膜之EUV曝光可提供具有包括金屬原子(M)之活化反應中心(其透過EUV介導之裂解事件而產生)的EUV曝光區。此等反應中心可包括懸空金屬鍵、M-H基團、裂解的M-配位基基團、二聚化之M-M鍵或M-O-M橋。
EUV曝光在真空環境中可具有約10 nm至約20 nm的波長,例如10 nm至15 nm的波長,如13.5 nm。尤其,圖案化可提供EUV曝光區及EUV未曝光區,以形成圖案。 在一些實施例中,此等圖案化包括約1-50 mJ/cm 2、1-40 mJ/cm 2、1-30 mJ/cm 2、1-20 mJ/cm 2或1-10 mJ/cm 2之輻射劑量。
本發明可包括使用EUV以及DUV或電子束進行圖案化。在此等圖案化中,輻射被聚焦於成像層之一或更多區上。曝光通常係執行為使得成像層包括一或更多未暴露於輻射之區域。所得之成像層可包括複數曝光及未曝光區,從而建立與電晶體或半導體裝置之其他特徵部圖案之建立一致的圖案,其在後續基板之處理中透過從基板添加或移除材料而形成。本文中有用之EUV、DUV及電子束輻射方法及裝備包括本領域已知之方法及裝備。
在一些EUV微影技術中,有機硬遮罩(例如PECVD非晶氫化碳之可灰化硬遮罩)係使用光阻製程進行圖案化。在光阻曝光期間,EUV輻射在阻劑及下方基板中被吸收,從而產生高能量的光電子(例如約100 eV)而後是側向擴散數奈米之一連串低能量的二次電子(例如約10 eV)。此些電子增加阻劑中化學反應的程度,其增強其EUV劑量敏感性。然而,本質上為隨機之二次電子圖案被疊加於光學圖像上。此不希望有的二次電子曝光在圖案化阻劑中導致解析度下降、顯著的線邊緣粗糙度(LER)以及線寬變異。此些缺陷在隨後圖案轉移蝕刻期間複製到待圖案化的材料中。
將膜形成(沉積/凝結)與光學微影結合因而大幅改善EUV微影(EUVL)效能(例如,降低線邊緣粗糙度)之真空整合金屬硬遮罩製程及相關真空整合硬體揭示於本文中。
在本文所述之諸多實施例中,可使用沉積(例如,凝結)製程(例如,在如Lam Vector®之PECVD工具中執行的ALD或MOCVD),以形成含金屬膜之薄膜,例如光敏金屬鹽或含金屬之有機化合物(有機金屬化合物),其在EUV中(例如,在約10 nm至20 nm之波長處)有強吸收,例如在EUVL光源之波長處(例如,13.5 nm = 91.8 eV)。此膜在EUV曝光後即發生光分解,並形成金屬遮罩,該金屬遮罩在後續蝕刻期間(例如,在導體蝕刻工具中,例如Lam 2300® Kiyo®)中作為圖案轉移層。
在沉積之後,EUV可圖案化薄膜透過暴露於EUV光束(於一些實例中在相對高真空下)而被圖案化。為了EUV曝光,含金屬膜可接著在與微影平台整合之腔室中(例如,晶圓步進機,如荷蘭-費爾德霍溫(Veldhoven)的ASML供應之TWINSCAN NXE: 3300B®平台)進行沉積,並在真空下轉移以不在曝光前反應。考慮到環境氣體(例如H 2O、O 2等)對入射光子之強烈光吸收,且EUVL亦需大幅下降的壓力,因而促使與微影工具整合。在其他實施例中,光敏金屬膜沉積與EUV曝光可在同一腔室中進行。 顯影製程
對光阻膜顯影以相對於未曝光區域選擇性地去除曝光區域(即,正型顯影)或相對於曝光區域選擇性地去除未曝光區域(即,負型顯影)。光阻膜之顯影形成圖案化光阻膜。可利用濕式或乾式化學法進行顯影。
EUV曝光或未曝光區域可透過任何有用之顯影製程去除。在一實施例中,EUV曝光區可具有活化的反應中心,例如懸空金屬鍵、M-H基團或二聚化M-M鍵。在其他實施例中,透過使用濕式顯影去除EUV曝光區。
在特定實施例中,可利用濕式顯影製程來去除EUV曝光區以提供正型光阻。示例性非限定濕式顯影可包括使用顯影劑(例如水性酸性顯影劑、非水性酸性顯影劑、或於有機溶液中酸性顯影劑),其包括鹵化物(例如HF、HCl、或HBr)、有機酸 (例如,甲酸、醋酸、草酸或檸檬酸)、或有機鹵化物化合物(例如有機氟化合物,包括三氟醋酸;有機氯化合物;有機溴化合物;或有機碘化合物);或使用有機顯影劑,例如酮(如2-庚酮、環己酮或丙酮)、酯(例如γ-丁內酯或3-乙氧基丙酸乙酯(EEP))、醇(例如異丙醇(IPA))、或醚,例如乙二醇醚(例如丙二醇甲醚(PGME)或丙二醇甲醚醋酸酯(PGMEA))以及其組合。其他酸(例如水性酸)描述於本文。
其他顯影方法可包括使用水性顯影劑、非水性顯影劑、鹼性顯影劑(例如水性鹼性顯影劑或非水性鹼性顯影劑),例如包括銨,例如氫氧化銨([NH 4] +[OH] );基於銨的離子液體,例如四甲基氫氧化銨(TMAH)、四乙基氫氧化銨(TEAH)、四丙基氫氧化銨(TPAH)、四丁基氫氧化銨(TBAH)或其他季烷基氫氧化銨;有機胺,例如一級、二級及三級有機胺(例如二甲基胺、二乙基胺、乙二胺、三乙烯四胺);或烷醇胺,例如單乙醇胺、二乙醇胺、三乙醇胺或二甘醇胺之彼等顯影劑。在其他實施例中,鹼性顯影劑可包括含氮鹼,例如具有式R N1NH 2、R N1R N2NH、R N1R N2R N3N、或R N1R N2R N3R N4N +X N1−之化合物,其中每一R N1、R N2、R N3及R N4獨立為有機取代基(例如,視情況取代之烷基、視情況取代之羥烷基、或本文所述之任一者)、或可連接在一起之兩個或更多有機取代基,且X N1-可包含OH 、F 、Cl 、Br 、I 或其他本領域已知之季銨陽離子物種。此些鹼亦可包含本領域已知之雜環氮化合物,其中一些描述於本文中。
另其他顯影方法可包括使用去保護溶劑。非限定去保護溶劑包括有機酸(例如,本文之任一者,例如草酸)或包括膽鹼([N(CH 3) 3CH 2CH 2OH] +),例如氫氧化膽鹼([N(CH 3) 3CH 2CH 2OH] +[OH] )。
顯影劑可以任何有用的濃度來使用。在一實施例中,顯影劑溶液包含約0.5重量%至約30重量%的顯影劑於溶劑(例如,水性溶劑、非水性溶劑、有機溶劑或其組合)中 ,包括約1重量%至約20重量%以及1.1重量%至10重量%的濃度。
顯影劑可與一或更多添加劑一起使用,例如氧化劑、界面活性劑、鹽及螯合劑。添加劑可視情況地以小於10重量%或小於5重量%的量存在於顯影溶液中。非限定氧化劑包括過氧化物或過酸,例如過氧化氫、過氧化苯甲醯、過氧化脲或其混合物。非限定界面活性劑包括陰離子、陽離子及非離子界面活性劑,例如乙氧基烷基酚(例如,Triton TMX-100(聚乙二醇叔辛基苯基醚)、乙氧基辛基酚、或乙氧基壬基酚)、乙氧基化醇(例如 BRIJ® 56 (C 16H 33(OCH 2CH 2) 10OH)、BRIJ® 58 (C 16H 33(OCH 2CH 2) 20OH)或乙氧基化脂肪醇)、乙氧基化脂肪酸、泊洛沙姆(poloxamer)、甘油脂肪酸酯、炔二醇、乙氧基胺、苷(glycoside)、葡糖醯胺、聚乙二醇或聚(乙二醇-共-丙二醇)、全氟烷基銨(例如全氟烷基磺酸銨或羧酸銨)及其組合。
非限定鹽包括選自由銨、d區金屬陽離子(鉿、鋯、鑭或其類似者)、f區金屬陽離子(鈰、鎦或其類似者)、 p區金屬陽離子(鋁、錫或其類似者)、鹼金屬(鋰、鈉、鉀或其類似者)及其組合所組成之群組的陽離子;以及選自由氟根、氯根、溴根、碘根、硝酸根、硫酸根、磷酸根、矽酸根、硼酸根、過氧化物、丁氧根、甲酸根、草酸根、乙二胺-四乙酸(EDTA)、鎢酸根、鉬酸根或其類似者及其組合所組成之群組的陰離子。非限定螯合劑可包括多胺、醇胺、胺基酸、羧酸或其組合。
在特定實施例中,正型顯影劑為於水性溶劑中之酸性顯影劑;於有機溶劑中之酸性顯影劑;水性鹼性顯影劑(例如,包括NH 4OH、TMAH、TEAH、TPAH或TBAH,其可有或不具有H 2O 2);水性酸性顯影劑(例如,包括HCl或HF);有機顯影劑;或去保護溶劑(例如,包括草酸、膽鹼或氫氧化膽鹼)。顯影劑可包括一溶劑或溶劑組合。
如本文所述,乾式顯影製程可用於處理膜(例如,其中可在濕式顯影之前或濕式顯影之後僅使用乾式顯影)。非限定製程可包括使用鹵化物,例如基於HCl或HBr之製程。儘管本發明不限於任何特定理論或操作機制,但該方法當理解為使用蒸氣或電漿,利用乾式沉積之EUV光阻膜與清潔化學物(例如HCl、HBr及BCl 3)之化學反應性,以形成揮發性產物。此等揮發性產物可利用任何方式(例如透過以水性酸處理,如本文所述)去除。乾式沉積之EUV光阻膜可以高達1 nm/s之蝕刻速率來去除。透過此些化學方法所進行之乾式沉積EUV光阻膜的快速去除可用於腔室清潔、背側清潔、斜邊清潔及PR顯影。雖然可使用諸多溫度下的蒸氣(例如,溫度高於-10°C之HCl或HBr、或溫度高於80°C之BCl 3,作示例)去除膜,但亦可使用電漿以進一步加快或增強反應性。
電漿製程包括採用本領域已知之裝備及技術的變壓耦合式電漿(TCP)、感應耦合式電漿(ICP)或電容耦合式電漿(CCP)。例如,可在>0.5 mTorr(例如,1 mTorr至100 mTorr)之壓力下,在<1000W(例如,<500W)之功率位準下進行製程。溫度可為30℃至300℃(例如30℃至120℃),流率為100至1000每分鐘標準立方公分(sccm),例如約500 sccm,持續1至3000秒(例如10秒至600秒)。
在鹵化物反應物流為氫氣及鹵化物氣體下,使用遠端電漿/ UV輻射,以從H 2與Cl 2及/或Br 2產生自由基,且氫及鹵化物自由基流至反應腔室,以接觸晶圓之基板層上的圖案化EUV光阻。合適的電漿功率可於100 W至500 W範圍內,無偏壓。應當理解,儘管此些條件適用於一些處理反應器,例如可獲自加州費里蒙科林研發公司(Lam Research Corporation)之Kiyo蝕刻工具,但根據處理反應器之能力可使用廣泛範圍之製程條件。
在熱顯影製程中,基板係在真空腔室(例如爐)中暴露於乾式顯影化學物(例如路易斯酸)。合適之腔室可包括真空管線、乾式顯影鹵化氫化學氣體(例如,HBr、HCl)管線及用於溫度控制之加熱器。在一些實施例中,腔室內部可塗有抗腐蝕膜,例如有機聚合物或無機塗層。此等塗層為聚四氟乙烯((PTFE),例如,Teflon TM)。此等材料可用於本發明之熱製程中而無透過電漿暴露去除的風險。
乾式顯影之製程條件可為100 sccm至500 sccm反應物流量(例如500 sccm HBr或HCl)、-10°C至120°C(例如-10°C) 溫度、1 mTorr至500 mTorr (例如300 mTorr)壓力、無電漿下且持續約10秒至1 分鐘時間,其取決於光阻膜及其組成與特性。
在諸多實施例中,本發明之方法結合膜沉積、透過氣相沉積之形成、及(EUV)微影光圖案化之所有乾式步驟,但其後接利用水性酸的濕式顯影。在其他實施例中,本發明之方法結合膜沉積、透過氣相沉積之形成、(EUV)微影光圖案化及乾式顯影之所有乾式步驟,但其後接利用水性酸的濕式處理。在此等製程中,在EUV掃描儀中進行光圖案化之後,基板可直接進入乾式顯影/蝕刻腔室。 其他製程
本文之方法可包括任何有用之製程,如下所述。
對於背側及斜邊清潔製程,可將蒸氣及/或電漿限制於晶圓之特定區域,以確保僅去除背側及斜邊,而晶圓之前側上未有任何膜降解。去除之乾式沉積EUV光阻膜一般係由Sn、O及C所構成,但相同的清潔方法可擴展至其他金屬氧化物阻劑及材料之膜上。此外,此方法亦可用於膜剝離及PR重工(rework)。
用於乾式斜邊及背側清潔之合適製程條件可為100 sccm至500 sccm反應物流量(例如500 sccm HCl、HBr、或H 2與Cl 2或Br 2、BCl 3或H 2)、-10°C至120°C(例如20°C) 溫度、20 mTorr至500 mTorr(例如300 mTorr)壓力、高頻下(例如13.56 MHz)之0至500 W電漿功率、持續約10秒至20秒時間,其取決於光阻膜及組成與特性。應當理解,儘管此些條件適用於一些處理反應器,例如可獲自加州費里蒙科林研發公司(Lam Research Corporation)之Kiyo蝕刻工具,但根據處理反應器之能力可使用廣泛範圍之製程條件。
黃光微影製程可涉及一或更多烘烤步驟,以促進在光阻之曝光與未曝光區之間產生化學對比所需之化學反應。對於大量製造(HVM),此等烘烤步驟通常在顯影機上執行,其中晶圓在環境空氣或一些例子中在N 2流下於預設溫度之熱板上烘烤。在此些烘烤步驟期間,更仔細地控制烘烤環境以及在環境中引入額外反應性氣體成分可助於進一步降低劑量要求及/或改善圖案真確度(fidelity)。
根據本發明之諸多態樣,對基於金屬及/或金屬氧化物之光阻進行一或更多後處理(在沉積之後 : 例如塗佈後烘烤(PAB)或另一塗佈後處理、及/或在曝光之後 : 例如曝光後烘烤(PEB),其可省略;或另一曝光後處理、及/或在顯影之後 : 例如顯影後烘烤(PDB)或另一顯影後處理)能夠提高曝光與未曝光光阻之間的材料性質差異,因而減小劑量比上尺寸(DtS)、改善PR輪廓、並改善後續乾式顯影後之線邊緣及寬度粗糙度(LER/LWR)。此等處理可涉及控制溫度、氣體環境及水分之熱處理,因而改善後續處理中之乾式顯影效能。在一些實例中,可使用遠端電漿。另在某些實例中,不執行PAB及/或PEB及/或PDB。
在塗佈後處理(例如,PAB)之例子中,可在沉積後且曝光前使用控制溫度(例如,利用加熱或冷卻)、氣體環境(例如,空氣、H 2O、CO 2、CO、O 2、O 3、CH 4、CH 3OH、N 2、H 2、NH 3、N 2O、NO、Ar、He或其混合物)或真空下、及水分之熱製程,以改變未曝光金屬及/或金屬氧化物光阻的組成。該改變可增強材料之EUV敏感性,並因此可在曝光且乾式顯影後達到較低劑量比上尺寸及邊緣粗糙度。
在曝光後處理(例如,PEB)之例子中,可利用控制溫度、氣體環境(例如,空氣、H 2O、CO 2、CO、O 2、O 3、CH 4、CH 3OH、N 2、H 2、NH 3、N 2O、NO、Ar、He或其混合物)或真空下、及水分之熱製程,以改變未曝光及曝光光阻兩者之組成。該改變可提高未曝光與曝光光阻之間的組成/材料性質差異以及未曝光與曝光光阻之間乾式顯影蝕刻氣體的蝕刻速率差異。因而可達到更高的蝕刻選擇性。由於改善選擇性,故可獲得具有改善之表面粗糙度及/或較少光阻殘留/浮渣的方形PR輪廓。在特定實施例中,PEB可在空氣中以及可選地存在水分及CO 2下進行。 在其他實施例中,可省略PEB。
在顯影後處理(例如,顯影後烘烤或PDB)之例子中,可利用控制溫度、氣體環境(例如,空氣、H 2O、CO 2、CO、O 2、O 3、CH 4、CH 3OH、N 2、H 2、NH 3、N 2O、NO、Ar、He或其混合物)或真空下(例如有UV)、及水分之熱製程,以改變未曝光光阻之組成。在特定實施例中,該條件亦包括使用電漿(例如,包括O 2、O 3、Ar、He或其混合物)。該改變可增強材料的硬度,若在蝕刻底層基板時將使用該膜作為阻劑遮罩,則其可能是有利的。
在此些例子中,於可替代實施方式中,熱製程可被遠端電漿製程代替來增加反應性物質,以降低反應之能障並提高生產率。遠端電漿可產生更多反應性自由基,因而降低用於處理之反應溫度/時間,從而提高生產率。
據此,可應用一或更多製程來改質光阻本身以增加濕式或乾式顯影選擇性。此熱或自由基改質可增加未曝光與曝光材料之間的對比,因而增加後續顯影步驟的選擇性。未曝光與曝光材料之材料特性之間所得的差異可透過調整製程條件(包括溫度、氣體流量、水分、壓力及/或RF功率)來調變。
對於濕式顯影或乾式顯影阻膜,可變化PAB、PEB或PDB之處理溫度以調整處理製程並將其最佳化,例如PAB約90°C至250°C以及PEB及/或PDB約170°C至250°C或更高。在特定實施例中,省略PEB。
在特定實施例中,PAB、PEB及/或PDB處理可在氣體環境流量為100 sccm至10000 sccm範圍內、水分含量為幾個百分比至高達100%(例如20%-50%)、壓力介於大氣壓與真空之間、且持續時間約30秒至15分鐘(例如約1至2分鐘)下執行。在特定實施例中,省略PEB。
取決於半導體處理操作之選擇性要求/限制,可使用例如本文所述之熱處理以降低所需之EUV劑量。或者,若需更高之選擇性且可容許更高的劑量,則可獲得更高得多的選擇性,其曝光比上未曝光高達100倍。
另其他步驟可包括原位度量,其中物理及結構特性(例如,臨界尺寸、膜厚度等)可在黃光微影製程期間評估。實施原位度量之模組包括,例如散射儀、橢圓偏光儀、下游質譜、及/或電漿增強下游光放射光譜模組。
返回圖2,於製程200之方塊220,在製程腔室中提供基板, 其中基板為半導體基板,其包括基板層及基板層上方之顯影後光阻遮罩。基板層可位於顯影後光阻下遮罩下方並可包括任何合適材料以促進圖案化製程。基板層可在相對於顯影後光阻遮罩呈高選擇性下進行蝕刻。在一些實施方式中,基板層可包括旋塗碳(SoC)、旋塗玻璃(SOG)、非晶碳(a-C)、氧化錫(例如SnO x)、矽(例如a-Si)、氧化矽(例如SiO x)、氮氧化矽(例如SiO xN y)、碳氧化矽(例如SiO xC y)、氮化矽(Si 3N 4)、碳化矽(SiC x)、氧化鈦(例如TiO 2)、氮化鈦(例如TiN)、鎢(例如W)、摻雜碳(例如W摻雜C)、氧化鎢(例如WO x)、氧化鉿(HfO 2)、氧化鋯(例如ZrO 2)、或氧化鋁(Al 2O 3)。
含金屬光阻可乾式或濕式沉積在基板層上。可提供含金屬光阻作為EUV曝光後具有EUV曝光與EUV未曝光區域之正型或負型阻劑。沉積後,含金屬光阻可在EUV微影腔室(掃描儀)中進行光圖案化。在曝光及可選PEB處理之後,含金屬光阻可進行顯影以選擇性地去除含金屬光阻之一部分(例如EUV未曝光部分),以在基板層上方形成圖案化光阻遮罩。在一些實施方式中,含金屬光阻為含金屬EUV光阻,其中含金屬EUV光阻為有機金屬氧化物或含有機金屬膜。 例如,含金屬EUV光阻可包括Sn、O及C原子。
製程腔室可提供用於在顯影之後處理基板的封閉空間。製程腔室中的腔室壁可由不銹鋼、鋁、塑料或其他合適材料製成。在一些實施例中,腔室壁塗有耐腐蝕膜,例如聚合物或無機塗層。製程腔室可包括基板支撐件(例如基座或靜電吸盤),基板支撐於其上。在一些實施例中,用於顯影後處理之製程腔室可為沉積腔室、斜邊及/或背側清潔腔室、PAB處理腔室、PEB處理腔室、顯影腔室或蝕刻腔室。那樣,用於顯影後處理之製程腔室可為用於光阻處理之先前操作中所使用的相同腔室,或者用於光阻處理之後續操作中所使用的相同腔室,因而將基板轉移減至最少,以降低操作之間暴露於空斷(air break)。製程腔室可包括一或更多加熱元件,用於將基板暴露於升高溫度。在一些實施例中, 該一或更多加熱元件可包括位於基板支撐件中之一或更多紅外(IR)燈或一或更多發光二極體(LED),以用於控制基板的溫度。製程腔室可包括用於將氣體輸送至製程腔室中之一或更多氣體管線。例如,該一或更多氣體管線可包括用於朝製程腔室中之基板供應反應性氣體的噴淋頭。在一些實施方式中,製程腔室可為電漿產生腔室或可耦接至與製程腔室分離之電漿產生腔室。電漿產生腔室可為感應耦合式電漿(ICP)反應器、變壓耦合式電漿(TCP)反應器、或電容耦合式電漿(CCP)反應器。在一些例子中,製程腔室進一步包括用於排出氣體之一或更多氣體出口,其可耦接或可不耦接至真空泵以維持製程腔室內之所欲壓力。
於製程200之方塊230,利用以下操作之一或更多者來處理顯影後含金屬光阻遮罩 : (i) 對顯影後含金屬光阻遮罩熱退火,(ii)將顯影後含金屬光阻遮罩暴露於電漿,(iii)將顯影後含金屬光阻遮罩暴露於一或更多反應性氣體,以及(iv)在顯影後含金屬光阻遮罩上選擇性沉積保護層。基板之顯影後處理可利用前述熱退火、電漿、化學或選擇性沉積處理操作之一者、或前述處理的組合。顯影後處理改善含金屬光阻遮罩在圖案轉移蝕刻期間的性能。以下詳細討論前述的熱退火、電漿、化學及選擇性沉積處理技術。
於製程200之方塊240,基板層利用顯影後含金屬光阻遮罩而蝕刻形成凹入特徵部。此製程可稱為圖案轉移或圖案轉移蝕刻。蝕刻可選擇性地去除基板層的一部分,而不去除顯影後含金屬光阻遮罩。可採用濕式或乾式蝕刻劑來蝕穿顯影後含金屬光阻遮罩所顯露之基板層的一部分。含金屬光阻遮罩可定義待蝕刻特徵部的圖案。特徵部係根據含金屬光阻遮罩所定義的圖案蝕穿基板層而成。在顯影後處理之後,含金屬光阻遮罩可在圖案轉移蝕刻期間具有增加的線CD及/或改善的抗蝕性。待蝕刻之特徵部可維持或實質上維持含金屬光阻遮罩所提供的線CD。在一些例子中,含金屬光阻遮罩可具有降低的缺陷率及/或粗糙度。因此,缺陷及粗糙度不會轉移至圖案轉移蝕刻後所形成之特徵部上。 熱處理
在一些實施方式中,可透過將基板加熱至升高溫度來對基板進行熱處理。基板的熱處理可用於在圖案轉移蝕刻之前降低含金屬光阻遮罩的缺陷並降低粗糙度。特別地,基板之熱處理可透過去除浮渣來改善含金屬光阻遮罩中的化學對比。
在濕式或乾式顯影之後,殘留物或浮渣可能留在基板上。殘留物或浮渣可能留在光阻遮罩中透過顯影去除的區域中。殘留物或浮渣可包括吸附在基板表面上之殘留蝕刻副產物。例如,某些顯影化學物質中所使用的鹵素蒸氣可能與水分或氧反應,以形成難以去除的殘留蝕刻副產物。濕式處理技術通常採用水分及/或氧,其更容易導致浮渣及殘留物的形成。在一些例子中,殘留物可包含高金屬濃度或金屬氧化物(例如SnO x)顆粒或團簇,其可能導致圖案轉移期間化學對比減損並污染下游處理工具。
在濕式或乾式顯影之後,可能在光阻遮罩之顯影圖案中的蝕刻特徵部側壁上形成粗糙度。其中一些可能歸因於光的隨機或非最佳高斯分佈,導致在光阻應保持未曝光之區域處形成部分或完全曝光材料,反之亦然。此外,光阻遮罩之蝕刻特徵部側壁上的浮渣可能加劇粗糙度。
在熱處理期間,基板可被加熱至約50°C與約500°C之間、約100°C與約400°C之間、約100°C與約300°C之間、或約100℃與約250℃之間的升高溫度 。 可使用製程腔室中之一或更多溫度可控元件將基板加熱至升高溫度。壓力可維持在約0.1 Torr與約760 Torr之間,例如在一些例子中於約0.1 Torr與約1 Torr之間。基板可暴露於升高溫度約1分鐘與約10分鐘之間的持續時間,例如在一些例子中約2分鐘與約5分鐘之間。在一些實施方式中,熱處理係在一或更多惰性氣體下進行。例如,熱處理可在氮(N 2)、氦(He)、氖(Ne)、氬(Ar)或氙(Xe)流下進行。在一些實施方式中,熱處理係在大氣中進行。
顯影後熱處理中的較高溫度可能導致提高除渣、降低缺陷率及降低粗糙度。然而,較高的溫度可能同時導致線CD減小。已觀察到熱退火期間較高溫度導致光阻橫向收縮及光阻高度收縮。減小的線CD導致較高的劑量比上尺寸。顯影後熱處理可能在降低缺陷率及粗糙度與較高劑量比上尺寸之間遇到取捨問題。此將熱處理限制於所欲溫度範圍及所欲處理持續時間,以將降低缺陷率及粗糙度的優點最佳化,並將劑量比上尺寸的增加降至最低。 電漿處理
在一些實施方式中,基板可暴露於電漿以進行顯影後處理。電漿處理可用於在圖案轉移蝕刻之前使含金屬光阻遮罩緻密化並降低粗糙度。在一些例子中,電漿處理可透過去除浮渣進一步改善含金屬光阻遮罩中之化學對比。電漿處理可採用惰性氣體物質的電漿或反應性氣體物質的電漿。反應性氣體物質的電漿可與含金屬光阻遮罩發生化學反應或在含金屬光阻遮罩上選擇性地沉積保護膜。
可透過在遠端電漿產生器中產生電漿或在正處理基板之製程腔室中產生電漿來促進暴露於電漿。一或更多氣體可流至可為遠端電漿產生器或製程腔室之電漿產生區域,並點燃電漿。電漿產生腔室可為感應耦合式電漿(ICP)、變壓耦合式電漿(TCP)或電容耦合式電漿(CCP)反應器。提供電漿能以將一或更多氣體活化成離子、自由基、中性物質及其他電漿活化物質。離子、自由基、中性物質及其他電漿激活物質可與含金屬光阻遮罩相互作用,以改善圖案轉移蝕刻期間之含金屬光阻遮罩的性能。
該一或更多氣體可包括含氧物質,例如氧(O 2)、二氧化碳(CO 2)及一氧化碳(CO)。額外或可替代地,該一或更多氣體可包括含鹵素物質,例如三氯化硼(BCl 3)、四氯化矽(SiCl 4)、四氯化錫(SnCl 4)、六氟化鎢(WF 6)、及二氟甲烷(CH 2F 2)。額外或可替代地,該一或更多氣體可包括惰性氣體物質,例如氮(N 2)、氦(He)、氖(Ne)、氬(Ar)及氙(Xe)。其他氣體可包括氫(H 2)、氨(NH 3)、鹵化氫(HCl、HBr、HF、HI)、以及諸多烴(C xH y),例如甲烷(CH 4)。在一些例子中,電漿可為基於氧的電漿、基於氮的電漿、惰性氣體電漿及/或基於碳的電漿。在一些實施方式中,電漿為遠端電漿。在一些其他實施方式中,電漿為原位電漿。
可調整電漿處理之製程條件以達到所欲結果。此等製程條件包括但不限於,電漿功率、 電漿頻率、電漿暴露時間、偏電壓、工作週期、溫度(例如基座溫度)、壓力(例如腔室壓力)、以及一或更多氣體的流率。操作中的電漿可在小於約6 kW的電漿功率下產生,例如約50 W與約4000 W之間、約50 W與約1000 W之間、或約100 W與約500 W之間。在一些例子中,可在低電漿功率且高離子能量下提供電漿。電漿的方向性可透過偏電壓控制。在一些實施方式中,可施加介於約1 V與約500 V之間、介於約10 V與約400 V之間、或介於約30 V與約300 V之間的偏電壓。電漿處理可施加約0.5秒與約120秒、約1秒與約60秒、或約2秒與約40秒之間的持續時間。電漿處理可調變操作中之電漿的工作週期以達到所欲結果,其中RF功率供應源可在任何合適之工作週期下輸送電漿,例如介於約1%與約99%之間、或介於約10%與約90%之間。在一些實施例中,腔室壓力可介於約0.1 Torr與約760 Torr之間、或在一些例子中介於約0.1 Torr與約1 Torr之間。在一些實施例中,基板溫度可介於約0℃與約400℃之間、約50℃與約300℃之間、或約100℃與約250℃之間。
如下所討論,電漿處理可伴有反應性氣體物質。反應性氣體物質的電漿可在含金屬光阻遮罩中引發化學反應,以改善遮罩性能,例如抗蝕性。 反應性氣體物質的電漿可選擇性地在含金屬光阻遮罩上沉積保護膜,以增加線CD並降低劑量比上尺寸。 化學處理
在一些實施方式中,含金屬光阻遮罩可暴露於一或更多反應性氣體物質。反應性氣體物質可與含金屬光阻遮罩發生化學反應。事實上,某些反應性氣體物質可與含金屬光阻遮罩反應,但不與基板之基板層反應。 在一些實施方式中,反應性氣體物質可將整個或實質上整個含金屬光阻遮罩從第一材料轉變成第二材料。含金屬光阻遮罩的化學變化可改變含金屬光阻遮罩之一或更多特性。在一些實施方式中,反應性氣體物質可僅將含金屬光阻遮罩的外部從第一材料轉變成第二材料,第二材料可用作保護膜,如下進一步描述。
反應性氣體物質可與含金屬光阻遮罩反應以增加線CD並降低劑量比上尺寸。反應性氣體物質可與含金屬光阻遮罩反應以降低粗糙度(例如,LWR/LER)或至少保持相同的粗糙度。反應性氣體物質可將含金屬光阻遮罩緻密化。在一些例子中,反應性氣體物質可與含金屬光阻遮罩反應以降低缺陷率(例如,浮渣)。另外,反應性氣體物質可減少釋氣,例如來自含金屬光阻遮罩的錫釋氣。在一些例子中,反應性氣體物質可與含金屬光阻遮罩反應,以增強後續蝕刻操作期間之光阻遮罩的抗蝕性。舉例來說,反應性氣體物質可增加線CD並在圖案轉移蝕刻之後至少實質上維持光阻遮罩之增加的線CD。
相對於底下基板層,反應性氣體物質可與含金屬光阻更具反應性。在特定實施方式中,使用活性氣體物質之化學處理利用EUV光阻遮罩的化學性質。EUV光阻遮罩可由有機金屬氧化物膜構成,例如具有Sn、O及C原子的有機錫氧化物膜。有機錫氧化物膜可由Sn-Sn鍵、Sn-H鍵、Sn-C鍵、Sn-OH鍵、Sn-O鍵、Sn-O-Sn鍵及Sn-O-C鍵的網路組成。反應性氣體物質可透過氧化、還原、插入、抽取(abstraction)或其他化學反應機制而與有機錫氧化物膜之一或更多元素反應,以在EUV光阻遮罩中引起化學變化。在一些實例中,反應性氣體物質可包括一氧化碳(CO),其中錫物質可與一氧化碳發生催化反應。不受限於任何理論,SnOC x化合物與CO反應形成新化合物SnOC x(CO) y。化學反應引起EUV光阻遮罩的變化,使得線CD增大。在一些實施方式中,改善EUV光阻遮罩中新化合物的抗蝕性。
可採用除CO之外的反應性氣體物質來引發EUV光阻遮罩中的化學反應。有用之反應性氣體物質的示例可包括但不限於空氣、水蒸氣(H 2O)、過氧化氫(H 2O 2)、二氧化碳(CO 2)、氧(O 2)、臭氧(O 3)、甲烷(CH 4)、甲醇(CH 3OH)、乙醇(CH 3CH 2OH)、氮(N 2)、氫(H 2)、氨(NH 3)、一氧化二氮(N 2O)、一氧化氮(NO)、二氧化氮(NO 2)、乙醯丙酮(C 5H 8O 2)、甲酸(CH 2O 2)、乙酸(CH 3COOH)、氰化氫(HCN)、三氯化硼(BCl 3)、四氯化矽(SiCl 4)、氯(Cl 2)、溴(Br 2)、氯化氫(HCl)、溴化氫(HBr)、碘化氫(HI)、氟化氫(HF)、氟代甲烷 (CH 3F)、二氟甲烷(CH 2F 2)及其組合。在一些例子中,反應性氣體物質可包括含氧氣體、含碳氣體、含氫氣體、含氮氣體、含鹵素氣體或其組合。其他反應性氣體物質可包括金屬前驅物,例如六氟化鎢(WF 6)、四氯化錫(SnCl 4)、六氟化鉬(MoF 6)、二氧化二氯化鉬(MoO 2Cl 2)及氯化鉬(MoCl 5)。其他反應性氣體物質可包括金屬有機前驅物,例如四(二甲基醯胺)錫(Sn(N(CH 3) 2) 4)、四(二甲基醯胺)鉿(Hf(N(CH 3) 2) 4)、二甲基鋁((CH 3) 2Al)、三甲基鋁((CH 3) 3Al)、異丙氧基鈦(Ti(OCH(CH 3) 2) 4)、羰基鎢(W(CO x))、羰基鉬 (Mo(CO) x)、羰基釕(Ru(CO) x)、羰基鐵(Fe(CO) x)及其組合。因此,在一些例子中,反應性氣體物質可包括金屬鹵化物或有機金屬前驅物,例如羰基金屬前驅物。習知基於聚合物之光阻材料可能不會與金屬鹵化物或某些有機金屬前驅物反應,但本發明之含金屬或含金屬氧化物光阻材料可能更易與金屬鹵化物及有機金屬前驅物反應。不受限於任何理論,在有機金屬光阻中存在M-OH鍵時,可形成M-O-M’橋,其中M’來自金屬前驅物(例如,金屬鹵化物或有機金屬前驅物)。
反應性氣體物質可與其他氣體共同流動。在一些實施方式中,反應性氣體物質可與惰性氣體物質(例如氦、氖、氬或氙)共同流動。在一些實施方式中,反應性氣體物質之組合可彼此共同流動。作示例說明,含鹵素氣體(例如三氯化硼)可與含碳氣體(例如甲烷)共同流動。在另一示例中,金屬前驅物(例如六氟化鎢)可與含碳氣體(例如二氟甲烷)共同流動。反應性氣體物質(單獨或與其他反應性氣體物質組合)可將光阻遮罩轉變成另一材料或在光阻遮罩上選擇性地沉積保護膜。
在一些實施方式中,反應性氣體物質可從流體耦接至製程腔室之氣體源供應至製程腔室。氣體源(例如儲氣罐)可透過氣體供應管線流體耦接至製程腔室。氣體反應物可在進入製程腔室之前預混合或在進入製程腔室後混合。在一些實施方式中,反應性氣體物質可在製程腔室中原位產生。氣體反應物可相互反應形成反應產物,該反應產物與含金屬光阻遮罩反應以引發化學變化。或者氣體反應物可與一或更多腔室組成件(例如,基於金屬的腔室管線)反應以形成反應產物,該反應產物與含金屬光阻遮罩反應以引發化學變化。氣體反應物可為與金屬腔室組成件反應以形成有機金屬前驅物的含碳前驅物。此反應可透過熱方式驅動以產生有機金屬前驅物。例如,供應至製程腔室中之一氧化碳可與含鐵腔室管線反應以形成易與EUV光阻遮罩反應的羰基鐵(Fe(CO) x),因而增加EUV光阻遮罩的線CD。不受限於任何理論,羰基鐵導致氧化鐵沉積在EUV光阻遮罩上。在另一示例中,供應至製程腔室中之一氧化碳或二氧化碳可與含鎢腔室管線(例如,熱絲)反應以形成易與EUV光阻遮罩反應的羰基鎢(W(CO) x)。
涉及一或更多反應性氣體物質之含金屬光阻遮罩的化學處理可與熱處理與電漿處理之一者或兩者一起使用。鑑於單獨的熱處理或電漿處理可能展現利弊問題,此等利弊問題可透過對含金屬光阻遮罩進一步應用化學處理來抵消。具體地,化學處理可結合熱處理,使得一或更多反應性氣體物質在升高溫度下流至含金屬光阻遮罩。儘管升高溫度可能降低線CD,但該一或更多反應性氣體物質可增加含金屬光阻遮罩中的線CD。事實上,該一或更多反應性氣體物質所導致之線CD增加可能超過升高溫度所導致之線CD減小。此減小劑量比上尺寸,並降低含金屬光阻遮罩中之缺陷率及粗糙度。在一些實施例中,化學處理可組合電漿處理,使得反應性氣體物質的自由基及/或離子流至含金屬光阻遮罩。自由基及/或離子可提高反應性氣體物質與含金屬光阻遮罩的反應性。含金屬光阻遮罩可暴露於電漿中之一或更多反應性氣體物質,其可改變含金屬光阻遮罩之化學組成並增加線CD及密度。此可在無需減損含金屬光阻遮罩之缺陷性或粗糙度下完成。可在避免損壞基板之功率下施加電漿。
可透過對反應施加能量來引發含金屬光阻遮罩中之表面反應或主體反應(bulk reaction)。來自熱暴露及/或電漿暴露之一些能量可能足以引發表面反應或主體反應。據此,可調整製程條件(例如溫度及電漿功率)以達到所欲結果。在一些實施方式中,利用一或更多反應性氣體物質進行化學處理期間之基板溫度可介於約0°C與約400°C之間、約50°C與約300°C之間、或約100°C與約250°C之間。在一些實施方式中,利用一或更多反應性氣體物質進行化學處理期間之電漿功率可小於約6 kW、約50 W與約4000 W之間、約50 W與約1000 W之間、或約100 W與約500 W之間。
可調整例如電漿頻率、暴露時間、偏電壓、壓力及流率之其他製程條件以促進利用一或更多反應性氣體物質的化學處理。在一些實施方式中,可施加小於約800 V、約0 V與約500 V之間、約10 V與約400 V之間、或約30 V與約300 V之間的偏電壓。在一些實施方式中,暴露於該一或更多反應性氣體物質可實施約1秒與約10分鐘之間、約5秒與約8分鐘之間、或約30秒與約4分鐘之間的持續時間。在一些實施方式中,腔室壓力可介於約0.1 Torr與約760 Torr之間、或在一些例子中介於約1 mTorr與約100 mTorr之間。第一反應性氣體物質可在約1 sccm與約1000 sccm之間、約2 sccm與約500 sccm之間、或約5 sccm與約300 sccm之間的流率下流入製程腔室中。可選的第二反應性氣體物質可在約5 sccm與約1000 sccm之間、約10 sccm與約500 sccm之間、或約20 sccm與約300 sccm之間的流率下共同流入製程腔室中。可選的惰性氣體物質可在約20 sccm與約2000 sccm之間、約30 sccm與約1000 sccm之間、或約50 sccm與約500 sccm之間的流率下共同流入製程腔室中。舉例來說,一氧化碳可在約240℃的基板溫度下以約500 sccm的流率流入製程腔室中達約20秒至約5分鐘之間的持續時間。一氧化碳可與EUV光阻遮罩反應以改變EUV光阻遮罩的化學組成。在可替代示例中,六氟化鎢可代替一氧化碳與EUV光阻遮罩反應,以改變光阻遮罩的化學組成。EUV光阻遮罩可在隨後圖案轉移蝕刻期間展現增加的抗蝕性。
圖3A-3D示出根據一些實施例之諸多處理階段的剖面示意圖,包括光阻的顯影及處理,其中該處理改變光阻之一或更多特性。如圖3A所示,晶圓300包括基板302及待蝕刻之基板層304。在一些實施例中,基板層304包括可灰化硬遮罩,例如非晶碳、旋塗碳或其他材料,例如矽、氧化矽、氮化矽、碳化矽等。在一些實施例中,基板層304可為設置在基板302上之層堆疊。晶圓300進一步包括光圖案化含金屬EUV阻劑膜306。例如,光圖案化含金屬EUV阻劑膜306可為設置在待蝕刻之基板層304上的含有機金屬層。光圖案化含金屬EUV阻劑膜306可具有約5 nm與約50 nm之間或約10 nm與約30 nm之間的厚度。在EUV掃描儀中光圖案化之後及/或PEB處理之後,可在製程腔室中提供光圖案化含金屬EUV阻劑膜306。光圖案化含金屬EUV阻劑膜306包括非EUV曝光區域306a與EUV曝光區域306b。
如圖3B所示,光圖案化含金屬EUV阻劑膜306之非EUV曝光區域306a在顯影製程中被去除。顯影可利用濕式顯影化學法或乾式顯影化學法。在應用乾式顯影化學法下,乾式顯影可在撞擊或不撞擊電漿下進行。在一些實施方式中,乾式顯影化學法可包括含鹵化物化學物質。光圖案化含金屬EUV阻劑膜306之光阻遮罩係在透過去除非EUV曝光區域306a之顯影之後來形成。儘管圖3A-3D繪出負型顯影,但將理解,正型顯影可替代地應用在本發明中。
如圖3C所示,顯影後光阻遮罩可進行處理以改變一或更多材料特性,以產生化學改質光阻遮罩308。化學改質光阻遮罩308可具有與光圖案化含金屬EUV阻劑膜306不同的化學組成。不同於基於聚合物之光阻遮罩,光圖案化含金屬EUV阻劑膜306可由金屬氧化物構成。光圖案化含金屬EUV阻劑膜306可由Sn-Sn鍵、Sn-H鍵、Sn-C鍵、Sn-OH鍵、Sn-O鍵、Sn-O-Sn鍵及Sn-O-C鍵之網路構成。有機氣體物質、有機金屬氣體物質、含金屬氣體物質及其他反應性氣體物質可與光圖案化含金屬EUV阻劑膜306反應。許多上述氣體物質通常不會與習知基於聚合物之光阻遮罩反應。本發明之反應性氣體物質利用光圖案化含金屬EUV阻劑膜306之化學性來產生化學改質光阻遮罩308。
與光圖案化含金屬EUV阻劑膜306的反應為擴散控制反應。如此一來,僅光圖案化含金屬EUV阻劑膜306的一些部分轉變成新的組成,或者整個光圖案化含金屬EUV阻劑膜306轉變成新的組成。擴散控制反應可透過參數來控制,例如製程時間、壓力及溫度。舉例來說,較長的暴露時間會導致較深的擴散。此外,較高的溫度可能有助於提高擴散及反應性。透過調整一些前述參數,一些或全部光圖案化含金屬EUV阻劑膜306被轉化成化學改質光阻遮罩308。
在一些實施方式中,反應性氣體物質在升高溫度下與光圖案化含金屬EUV阻劑膜306反應,使得該反應以熱方式驅動。如此一來,化學改質光阻遮罩308可在熱處理及化學處理下產生。在一些實施方式中,反應性氣體物質在電漿暴露下(在原位電漿暴露下或在遠端電漿暴露下)與光圖案化含金屬EUV阻劑膜306反應。反應性氣體物質的自由基及/或離子可更易與光圖案化含金屬EUV阻劑膜306反應。據此,化學改質光阻遮罩308可在電漿處理及化學處理下產生。
化學改質光阻遮罩308可具有一或更多新的材料特性。在一些實施方式中,相較於光圖案化含金屬EUV阻劑膜306,化學改質光阻遮罩308可具有增加的線CD,其可降低劑量比上尺寸。在一些實施方式中,相較於光圖案化含金屬EUV阻劑膜306,化學改質光阻遮罩308可具有增加的密度。在一些實施方式中,相較於光圖案化含金屬的EUV阻劑膜306,化學改質光阻遮罩308可具有增加的抗蝕性。在一些實施方式中,相較於光圖案化含金屬EUV阻劑膜306,化學改質光阻遮罩308可具有降低的粗糙度。
如圖3D所示,使用化學改質光阻遮罩308蝕刻基板層304以在晶圓300中形成化學改質光阻遮罩308所定義的凹入特徵部。晶圓300進行圖案轉移蝕刻,使得蝕刻劑相對於化學改質光阻遮罩308選擇性地去除基板層304。圖案轉移蝕刻可利用乾式蝕刻或濕式蝕刻來進行。例如,乾式蝕刻可利用基於氟之電漿蝕刻製程或基於氧之電漿蝕刻製程。圖案轉移蝕刻可根據化學改質光阻遮罩308所定義之圖案來蝕穿基板層304。在一些實施例中,化學改質光阻遮罩308在圖案轉移蝕刻之後維持或至少實質上維持增加的線CD。此顯示化學處理產生之一或更多新材料特性(例如,增加的線CD)在圖案轉移蝕刻期間得以維持。 選擇性沉積處理
在一些實施方式中,含金屬光阻遮罩可暴露於一或更多反應性氣體物質,以在含金屬光阻遮罩上選擇性地沉積保護層。儘管以上討論之一些反應性氣體物質可與含金屬光阻遮罩反應以透過改變含金屬光阻遮罩之化學組成來改變材料特性,但一些反應性氣體物質可在含金屬光阻遮罩上沉積材料。在一些例子中,材料之沉積可透過相對於基板之基板層選擇性地與含金屬光阻遮罩表面反應來進行,因而在含金屬光阻遮罩上形成保護層。在一些實例中,材料的沉積可透過驅動氣體反應物之間的反應或分解含金屬光阻遮罩表面上的氣體反應物來進行,以在含金屬光阻遮罩上形成保護層。在一些實例中,材料之沉積可透過轉變外表面以形成反應位點來進行,反應性氣體物質可透過該反應位點在其上沉積材料。無論沉積如何進行,沉積可透過熱輔助反應、電漿輔助反應、或熱輔助反應與電漿輔助反應兩者來驅動。保護層可包括 碳(例如硼摻雜碳)或碳化物、氮化物(例如氮化硼)、硫化物、氟化物、氧化物(例如金屬氧化物(例如氧化鐵))、或元素金屬(例如,鎢)層。
保護層可選擇性地沉積在含金屬光阻遮罩上以增加線CD並降低劑量比上尺寸。可替代地,可在含金屬光阻遮罩上沉積保護層,以在後續處理期間維持線CD。保護層在含金屬光阻遮罩的表面提供後續圖案轉移蝕刻期間不揮發之一或更多材料。所得的光阻遮罩更抗蝕並在光阻遮罩與周圍材料之間提供增加的選擇性。保護層可用於鈍化光阻遮罩。因此,在圖案轉移蝕刻之後可維持或實質上維持線CD。在一些例子中,保護層可沉積在含金屬光阻遮罩上以降低粗糙度(例如,LWR/LER)或至少維持相同粗糙度。在一些實施例中,保護層亦可降低含金屬光阻遮罩中線斷裂/橋接的發生。
保護層可透過將前驅物引入製程腔室中以吸附在含金屬光阻遮罩上並用電漿能或熱能轉化前驅物來形成。在一些實施方式中,轉化步驟與含金屬光阻遮罩之外部反應以形成保護層。例如,前驅物可為有機前驅物或有機金屬前驅物,例如羰基金屬前驅物。儘管有機前驅物或有機金屬前驅物通常不與習知基於聚合物之光阻材料反應,但本發明之有機或有機金屬前驅物可與含金屬或含金屬氧化物之光阻材料反應以形成保護膜。有機前驅物或有機金屬前驅物可透過熱轉化與含金屬光阻遮罩的外部反應以形成金屬氧化物。換言之,有機前驅物或有機金屬前驅物可透過熱氣相沉積製程在含金屬光阻遮罩的表面上沉積金屬氧化物。此等金屬氧化物可包括氧化鐵、氧化鎢、氧化鉬及類似者。熱氣相沉積製程之示例可包括但不限於熱物理氣相沉積(PVD)、熱化學氣相沉積(CVD)及熱原子層沉積(ALD)。
保護層可透過將含金屬前驅物引入製程腔室中並用電漿能或熱能轉化含金屬前驅物來形成。例如,含金屬前驅物可包括金屬鹵化物,例如六氟化鎢、六氟化鉬、四氯化錫或氯化鉬。其他含金屬前驅物可具有諸多配位基。此等含金屬前驅物的實例可包括四(二甲基醯胺)錫、四(二甲基醯胺)鉿、二甲基鋁、三甲基鋁及異丙氧基鈦。如上所討論,含金屬前驅物可透過擴散至光阻遮罩中而與含金屬光阻遮罩反應,以改變含金屬光阻遮罩的化學組成。然而,在一些實施方式中,含金屬前驅物可額外地或可替代地在含金屬光阻遮罩表面上沉積保護層。儘管含金屬前驅物(例如六氟化鎢)通常不與習知基於聚合物之光阻材料反應,但本發明知含金屬前驅物可與含金屬或含金屬氧化物之光阻材料反應以形成保護膜。含金屬前驅物可透過熱轉化與含金屬光阻遮罩的外部反應以形成金屬氧化物或純金屬層。因此,金屬氧化物或純金屬層的保護層可透過熱PVD、CVD、ALD或其他熱氣相沉積製程沉積在含金屬光阻遮罩上。
保護層可透過基於電漿的沉積製程形成。一或更多反應氣體可流入製程腔室以吸附在含金屬光阻遮罩上。在一些實施方式中,電漿可在製程腔室中點燃以驅動反應性物質之間的反應,從而在含金屬光阻遮罩上形成保護層。在一些實施方式中,電漿可遠離製程腔室點燃,以致於可將一或更多電漿活化物質引入製程腔室中以在含金屬光阻遮罩表面處反應,因而在含金屬光阻遮罩上形成保護層。據此,保護層可使用原位電漿或遠端電漿來沉積。保護層可透過電漿增強化學氣相沉積(PECVD)、電漿增強原子層沉積(PEALD)、離子植入或其他基於電漿的沉積製程來沉積。反應物氣體可包括但不限於空氣、水蒸氣、過氧化氫、二氧化碳、一氧化碳、氧、臭氧、甲烷、甲醇、乙醇、氮、氫、氨、一氧化二氮、一氧化氮、二氧化氮、乙醯丙酮、甲酸、乙酸、氰化氫、三氯化硼、四氯化矽、氯、溴、氯化氫、溴化氫、氟化氫、碘化氫、氟甲烷、二氟甲烷或其組合。在一些實施例中,保護層係由碳或碳化物組成。例如,反應物氣體(包括三氯化硼與甲烷之混合物)的電漿可用於在含金屬或含金屬氧化物的光阻材料上沉積硼摻雜碳。在一些實施例中,保護層係由氮化物組成。例如,反應物氣體(包括三氯化硼與氮之混合物)的電漿可用於在含金屬或含金屬氧化物的光阻材料上沉積氮化硼。在一些實施例中,保護層係由元素金屬組成。舉例來說,含金屬前驅物之電漿可用於沉積包含鎢、鈦、鉭、釕、鋁、鐵、鉿或其組合的保護層。在一些例子中,含金屬前驅物之電漿可用於沉積包含金屬氧化物(例如,氧化鈦)、金屬氮化物(例如,氮化鎢)或金屬碳化物(例如,碳化鎢)的保護層。
保護層可相對於周圍材料(例如,基板層)選擇性地沉積在含金屬光阻遮罩上。選擇性沉積係在相較於周圍材料優先在含金屬光阻遮罩上沉積保護層下發生。此意味比起佔據含金屬光阻遮罩間之空間的溝槽或間隙中,有較多保護層沉積在含金屬光阻遮罩之側壁及頂表面上。換言之,選擇性沉積實質上避免在溝槽或間隙中沉積。選擇性沉積可在該一或更多反應性氣體物質與含金屬光阻遮罩比周圍材料更具反應性下發生。在一些例子中,該一或更多反應性物質可透過在蝕刻基板層時選擇性沉積在含金屬光阻遮罩上來實現選擇性沉積。
熱能、電漿能、或熱能與電漿能之組合可驅動反應以選擇性地將保護層沉積在含金屬光阻遮罩上。可調整諸多製程條件以達到保護層的選擇性沉積。在一些實施方式中,基板溫度在保護層選擇性沉積期間可介於約0℃與約400℃之間、介於約50℃與約300℃之間、或介於約100℃與約250℃之間。在一些實施方式中,電漿功率在保護層選擇性沉積期間可小於約6kW、介於約50 W與約4000 W之間、介於約50 W與約1000 W之間、或介於約100 W與約500 W之間。可調整例如電漿頻率、暴露時間、偏電壓、壓力及流率之其他製程條件以促進保護層的選擇性沉積。在一些實施方式中,可施加小於約800 V、約0 V與約500 V之間、約10 V與約400 V之間、或約30 V與約300 V之間的偏電壓。在一些實施方式中,暴露於該一或更多反應性氣體物質以進行選擇性沉積可進行約1秒與約10分鐘、約5秒與約8分鐘、或約30秒與約4分鐘的持續時間。在一些實施方式中,腔室壓力可介於約0.1 Torr與約760 Torr之間、或在一例子中介於約1 mTorr與約100 mTorr之間。第一反應性氣體物質可在約1 sccm與約1000 sccm之間、約2 sccm與約500 sccm之間、或約5 sccm與約300 sccm之間的流率下流入製程腔室中。可選的第二反應性氣體物質可在約5 sccm與約1000 sccm之間、約10 sccm與約500 sccm之間、或約20 sccm與約300 sccm之間的流率下共同流入製程腔室中。可選的惰性氣體物質可在約20 sccm與約2000 sccm之間、約30 sccm與約1000 sccm之間、或約50 sccm與約500 sccm之間的流率下共同流入製程腔室中。舉例來說,三氯化硼可在約0 sccm與約80 sccm之間的流率下流入製程腔室,而甲烷可在約0 sccm與約80 sccm之間的流率下共同流入製程腔室中。使用約100 W 的電漿功率點燃電漿。暴露於三氯化硼與甲烷之電漿持續約5秒與約40秒之間的持續時間,其中基板溫度保持在約120℃。三氯化硼與甲烷之電漿與EUV光阻遮罩反應,以在EUV光阻遮罩上選擇性地沉積硼摻雜碳。EUV光阻遮罩可在隨後圖案轉移蝕刻期間展現降低的粗糙度、增加的線CD以及增加的抗蝕性。
圖4A-4D示出根據一些實施例之諸多處理階段的剖面示意圖,包括光阻的顯影及處理,其中該處理選擇性地在光阻上沉積保護膜。如圖4A所示,晶圓400包括基板402及待蝕刻之基板層404。晶圓400進一步包括光圖案化含金屬EUV阻劑膜406。例如,光圖案化含金屬EUV阻劑膜406可為設置在待蝕刻之基板層404上的含有機金屬層。在EUV掃描儀中光圖案化之後及/或PEB處理之後,可在製程腔室中提供光圖案化含金屬EUV阻劑膜406。光圖案化含金屬EUV阻劑膜406包括非EUV曝光區域406a與EUV曝光區域406b。
如圖4B所示,光圖案化含金屬EUV阻劑膜406之非EUV曝光區域406a在顯影製程中被去除。顯影可利用濕式顯影化學法或乾式顯影化學法。光圖案化含金屬EUV阻劑膜406之光阻遮罩係在透過去除非EUV曝光區域406a之顯影之後來形成。儘管圖4A-4D繪出負型顯影,但將理解,正型顯影可替代地應用在本發明中。
如圖4C所示,保護膜410選擇性地沉積在光圖案化含金屬EUV阻劑膜406之光阻遮罩上。保護膜410可選擇性地沉積在光圖案化含金屬EUV阻劑膜406之頂表面與側壁上。保護膜410避免沉積在基板層404的表面上。那樣,光圖案化含金屬EUV阻劑膜406之光阻遮罩所定義的溝槽或間隙不具或實質上不具保護膜410。在沉積保護膜410之後,光圖案化含金屬EUV阻劑膜406之大部分在組成上保持相同。
在一些實施方式中,保護膜410包括碳膜,例如硼摻雜碳膜。在一些實施方式中,保護膜410包括氮化物膜,例如氮化硼膜。在一些實施方式中,保護膜410包括元素金屬,其中該金屬可包括鎢、鉬、鉿、鈦、釕、鐵或其組合。 在一些實例中,保護膜410包括氧化物,例如金屬氧化物。在一些實施方式中,保護膜410包括硫化物。在一些實施方式中,保護膜410包括氟化物。氣體反應物可流入製程腔室朝向晶圓400。氣體反應物可相互反應或與光圖案化含金屬EUV阻劑膜406反應,以選擇性地沉積在光圖案化含金屬EUV阻劑膜406之暴露表面上。示例氣體反應物可包括含氧氣體、含碳氣體、含氫氣體、含氮氣體、含鹵素氣體、含金屬氣體或其組合。有機氣體物質、有機金屬氣體物質、含金屬氣體物質或其他反應性氣體物質可流入製程腔室以選擇性地沉積保護膜410。
在一些實施方式中,保護膜410係在升高溫度下沉積,使得沉積係以熱方式驅動。在升高溫度下,保護膜410之沉積伴有熱處理。在一些實施方式中,保護膜410係在電漿暴露下沉積,或者在原位電漿或遠端電漿暴露下。 反應性氣體物質之自由基及/或離子可促進保護膜410在光圖案化含金屬EUV阻劑膜406上選擇性沉積。如此一來,電漿暴露提高保護膜410的沉積。在一些例子中,電漿暴露提高保護膜410相對於基板層404在光圖案化含金屬EUV阻劑膜406上沉積的選擇性。
保護膜410可鈍化光圖案化含金屬EUV阻劑膜406。保護膜410可對光圖案化含金屬EUV阻劑膜406提供增強的抗蝕性。此防止光圖案化含金屬EUV阻劑膜406中之材料損耗,以在蝕刻期間保持或至少實質上保持線CD。在一些實施方式中,保護膜410可對光圖案化含金屬EUV阻劑膜406維持或提供減小的粗糙度。在一些實施方式中,保護膜410可對光圖案化含金屬EUV阻劑膜406維持或提供增加的線CD,其可降低劑量比上尺寸。在一些實施方式中,保護膜410降低釋氣,例如錫的釋氣。
如圖4D所示,使用光圖案化含金屬EUV阻劑膜406作為遮罩來蝕刻基板層404,以在晶圓300中形成凹入特徵部。晶圓400進行圖案轉移蝕刻,使得蝕刻劑相對於光圖案化含金屬EUV阻劑膜406之光阻遮罩選擇性地去除基板層404。圖案轉移蝕刻可透過乾式蝕刻或濕式蝕刻來進行。在一些實施方式中,保護膜410在圖案轉移蝕刻之後維持或至少實質上維持光圖案化含金屬EUV阻劑膜406的線CD。
圖5A-5D示出根據一些實施例之諸多處理階段的剖面示意圖,包括光阻的顯影及處理,其中該處理改變光阻之一或更多特性並選擇性地在光阻上沉積保護層。如圖5A所示,晶圓500包括基板502及待蝕刻之基板層504。晶圓500進一步包括光圖案化含金屬EUV阻劑膜506。例如,光圖案化含金屬EUV阻劑膜506可為設置在待蝕刻之基板層504上的含有機金屬層。在EUV掃描儀中光圖案化之後及/或在PEB處理之後,可在製程腔室中提供光圖案化含金屬EUV阻劑膜506。光圖案化含金屬EUV阻劑膜506包括非EUV曝光區域506a與EUV曝光區域506b。
如圖5B所示,光圖案化含金屬EUV阻劑膜506之非EUV曝光區域506a在顯影製程中被去除。顯影可利用濕式顯影化學法或乾式顯影化學法。 光圖案化含金屬EUV阻劑膜506之光阻遮罩係在透過去除非EUV曝光區域506a之顯影之後來形成。儘管圖5A-5D繪出負型顯影,但將理解,正型顯影可替代地應用在本發明中。
如圖5C所示,顯影後光阻遮罩可進行處理以改變一或更多材料特性,以產生化學改質光阻遮罩508,並使保護膜510選擇性地沉積在化學改質光阻遮罩508上。化學改質光阻遮罩508具有與光圖案化含金屬EUV阻劑膜506不同的化學組成。此外,保護膜510選擇性地沉積在化學改質光阻遮罩508的頂表面及側壁上,並避免沉積在基板層504的表面上。化學改質光阻遮罩508所定義之溝槽或間隙不具或實質上不具保護膜510。有機氣體物質、有機金屬氣體物質、含金屬氣體物質及其他反應性氣體物質可與光圖案化含金屬EUV阻劑膜506反應。本發明之反應性氣體物質利用光圖案化含金屬EUV阻劑膜506的化學性來產生化學改質光阻遮罩508並同時沉積保護膜510。
在一些實施方式中,反應性氣體物質在升高溫度下與光圖案化含金屬EUV阻劑膜506反應,使得該反應係以熱方式驅動。化學改質光阻遮罩508及保護膜510可在熱處理及化學處理下形成。在一些實施方式中,反應性氣體物質係在電漿暴露下(在原位電漿或遠端電漿暴露下)與光圖案化含金屬EUV阻劑膜506反應。反應性氣體物質之自由基及/或離子可促進保護膜510之沉積以及光圖案化含金屬EUV阻劑膜506轉變成化學改質光阻遮罩508。化學改質光阻遮罩508及保護膜510可在電漿處理及化學處理下形成。在一些實施方式中,電漿暴露增強轉化率並提高保護膜510相對於基板層504沉積在化學改質光阻遮罩508上的選擇性。
在一些實施方式中,保護膜510包括碳膜、碳化物膜、氮化物膜、硫化物膜、氟化物膜、氧化物膜、或含金屬膜(例如元素金屬膜),其中含金屬膜的金屬可包括鎢、鉬、鉿、鈦、釕、鐵或其組合。在一些實施例中,保護膜510包括金屬氧化物。反應性氣體物質(包括含氧氣體、含碳氣體、含氫氣體、含氮氣體、含鹵素氣體、含金屬氣體或其組合)可相互反應及/或與光圖案化含金屬EUV阻劑膜506反應,以選擇性地沉積保護膜510並形成化學改質光阻遮罩508。
具有保護膜510之化學改質光阻遮罩508可相對於光圖案化含金屬EUV阻劑膜506展現一或更多新的材料特性。在一些實施方式中,相較於光圖案化含金屬EUV阻劑膜506,具有保護膜510之化學改質光阻遮罩508可具有增加的線CD,其可降低劑量比上尺寸。在一些實施方式中,相較於光圖案化含金屬EUV阻劑膜506,具有保護膜510之化學改質光阻遮罩508可具有增加的密度。保護膜510可鈍化化學改質光阻遮罩508。在一些實施方式中,相較於光圖案化含金屬EUV阻劑膜506,化學改質光阻遮罩508及保護膜510可具有增加的抗蝕性。 此防止化學改質光阻遮罩508中之材料損耗,以在蝕刻期間保持或至少實質上保持線CD。在一些實施方式中,相較於光圖案化含金屬的EUV阻劑膜506,化學改質光阻遮罩508及保護膜510可具有減小的粗糙度。在一些實施方式中,化學改質光阻遮罩508可具有降低的釋氣,例如降低之錫的釋氣。
如上所討論,含金屬光阻遮罩之顯影後處理可涉及一或更多方法(例如熱、電漿、化學、沉積)以達成若干特定優點。此些方法中的一些或全部可降低缺陷或線斷裂、增加密度、降低粗糙度、減少釋氣、達到較佳抗蝕性、增加線CD及/或降低劑量比上尺寸。含金屬光阻遮罩(例如含金屬氧化物之EUV光阻遮罩)的性能可由其劑量比上尺寸、粗糙度、抗蝕性、缺陷及線斷裂來決定。以下參考圖6-9詳細描述一些此等改進。
圖6示出根據一些實施例顯示用於除渣之光阻顯影後處理的剖面示意圖。基板600包括濕式或乾式顯影後之圖案化含金屬光阻遮罩610。在濕式或乾式顯影之後,基板600之部分上可能存在浮渣。浮渣可呈現金屬氧化物(例如,SnO x)之顆粒或團簇620的形式,其佔據基板600之未遮蔽區域。隨著濕式或乾式顯影進行,金屬氧化物團簇變得更加聚集。顯影一般係選擇性去除有機材料,導致顆粒或團簇620留在基板600表面上成為浮渣。一些顆粒或團簇620佔據圖案化含金屬光阻遮罩610之溝槽或間隙,且一些顆粒或團簇620留在圖案化含金屬光阻遮罩610的側壁上,其導致粗糙度增加。
基板600可暴露於顯影後處理以進行除渣。在一些實施方式中,顯影後處理包括對基板600進行熱退火以去除顆粒或團簇620。對基板600進行熱退火可將基板600暴露於等於或大於約50°C、等於或大於約100°C、約100°C與約300°C之間、或約100℃與約250℃之間的溫度。在一些實施方式中,顯影後處理包括將基板600暴露於電漿以去除顆粒或團簇620。電漿處理可將基板600暴露於惰性氣體電漿,例如氦電漿或氬電漿。可替代地,電漿處理可將基板600暴露於反應性氣體電漿。電漿處理可使圖案化含金屬光阻遮罩610硬化且緻密化、去除顆粒或團簇620以進行除渣、並使圖案化含金屬光阻遮罩610的側壁平坦。
圖7示出根據一些實施例顯示用於降低LWR/LER之光阻顯影後處理的剖面示意圖。基板700包括濕式或乾式顯影後之圖案化含金屬光阻遮罩710。 顯影後之遮罩邊緣可能不直,導致偏離線性。遮罩中偏離線性導致圖案化特徵部中產生非線性,其對裝置性能產生不利影響。此等偏離線性可在遮罩中表徵為LWR/LER。在一些例子中,圖案化含金屬光阻遮罩710中的LWR/LER可至少部分歸因於非所欲的缺陷,例如圖案化含金屬光阻遮罩710側壁上的浮渣。
基板700可暴露於顯影後處理以降低LWR/LER。如圖7所示,圖案化含金屬光阻遮罩710在顯影後處理後呈現平坦的側壁及表面。對基板700進行熱退火可降低圖案化含金屬光阻遮罩710中之LWR/LER ,其透過使基板700暴露於等於或大於約50°C、等於或大於約100°C、約100°C與約300°C之間、或約100℃與約250℃之間的溫度。在一些實施方式中,將基板700暴露於電漿可實現圖案化含金屬光阻遮罩710中之LWR/LER降低。在一些實施方式中,保護膜可選擇性地沉積在圖案化含金屬光阻遮罩710上以降低LWR/LER。
圖8示出根據一些實施例顯示透過與反應性氣體物質進行化學反應以增加線CD之光阻顯影後處理的剖面示意圖。基板800包括濕式或乾式顯影後之圖案化含金屬光阻遮罩810。微影性能的改進可與圖案化含金屬光阻遮罩810之劑量比上尺寸的減小相關。劑量比上尺寸至少部分地以目標線CD來確定。一些顯影後處理可在施加相同輻射劑量下增加線CD以降低劑量比上尺寸,而不是降低用於特定線CD之輻射劑量 (mJ/cm 2)以降低劑量比上尺寸。
基板800可暴露於諸多反應性氣體物質,以與圖案化含金屬光阻遮罩810反應,從而增加線CD。反應性氣體物質可以改變圖案化含金屬光阻遮罩810之化學組成的方式而與圖案化含金屬光阻遮罩810相互作用。此產生具有增大線CD之化學改質光阻遮罩820。線CD可能增加等於或大於約5%、等於或大於約10%、等於或大於約15%、等於或大於約20%、或等於或大於約25%的量。在一些例子中,反應性氣體物質與圖案化含金屬光阻遮罩810的反應(用於增大線CD)可透過熱能及/或電漿能來增強。
圖9示出根據一些實施例顯示利用選擇性沉積保護層來增加線CD之光阻顯影後處理的剖面示意圖。基板900包括濕式或乾式顯影後之圖案化含金屬光阻遮罩910。保護膜920可相對於基板900之周圍材料選擇性地沉積在圖案化含金屬光阻遮罩910的頂表面及側壁上。諸多反應性氣體物質可相互反應或與圖案化含金屬光阻遮罩910之暴露表面反應以形成保護膜920。保護膜920對圖案化含金屬光阻遮罩910提供增大的線CD。線CD可能增加等於或大於約5%、等於或大於約10%、等於或大於約15%、等於或大於約20%、或等於或大於約25%的量。在一些例子中,可透過熱能及/或電漿能來提高保護膜920的沉積及在圖案化含金屬光阻遮罩910之暴露表面上沉積的選擇性。 設備
本發明之設備係配置用於對圖案化含金屬光阻遮罩進行顯影後處理。該設備可配置用於其他處理操作,例如沉積、斜邊及背側清潔、塗佈後烘烤、EUV掃描、曝光後烘烤、顯影、蝕刻及其他操作。在一些實施方式中,該設備配置成執行多個乾式操作。在一些實施方式中,該設備配置成執行濕式操作與乾式操作的組合。該設備可包括單個晶圓腔室或同一製程腔室中的多個站。對於同一製程腔室中之多個站,諸多處理操作(例如本發明中所述之彼等)可在同一製程腔室中的不同站中執行。在一些實施例中,用於本發明顯影後處理之製程腔室可在與顯影相同的腔室中、在與圖案轉移蝕刻相同的腔室中、或在與顯影及圖案轉移蝕刻兩者相同的腔室中進行。
配置用於顯影後處理之設備包括具有基板支撐件的製程腔室。該設備可包括與製程腔室流體連通之至少一反應性氣體源。該設備可包括用於輸送一或更多反應性氣體物質之一或更多氣體管線。在一些實施例中,該一或更多反應性氣體物質可包括有機氣體物質、有機金屬氣體物質、含金屬氣體物質或其組合。在一些實施例中,該一或更多反應性氣體物質可包括含氧氣體、含碳氣體、含氫氣體、含氮氣體、含鹵素氣體或其組合。該一或更多反應性氣體物質可以透過該一或更多氣體管線輸送至製程腔室以處理顯影後含金屬光阻遮罩。該設備可包括用於溫度控制之一或更多加熱元件。此等加熱元件可提供於製程腔室中及/或基板支撐件中。或者,此等加熱元件可提供於製程腔室外。在一些實施方式中,該設備可包括在處理顯影後含金屬光阻遮罩期間產生電漿的電漿源。在一些實施方式中,該一或更多反應性物質可選擇性地在顯影後含金屬光阻遮罩上沉積保護膜。該設備可進一步包括一或更多感測器,用於感測顆粒計數、晶圓計數、厚度計數或用於觸發顯影後處理終點的其他參數。
圖10繪出根據一些實施例用以維持適於進行光阻顯影、光阻處理及/或蝕刻操作之環境的示例製程站示意圖。複數製程站1000可包含於共同低壓製程工具環境中。例如,圖11繪出多站處理工具1100之實施方式,例如可獲自加州費里蒙科林研發公司(Lam Research Corporation)之VECTOR®處理工具。在一些實施方式中,製程工具1100之一或更多硬體參數(包括以下詳細討論之彼等參數)可由一或更多電腦控制器1150以程式化方式進行調整。
製程站可配置成叢集工具中的模組。圖13繪出具有適於實施本文所述實施方式之真空整合沉積與圖案化模組的半導體製程叢集工具架構。此等叢集製程工具架構可包括阻劑沉積、阻劑曝光(EUV掃描儀)、阻劑顯影、阻劑重工(rework)及蝕刻模組,如上及進一步如下參考圖12及13所述。
返回圖10,製程站1000與反應物輸送系統1001流體連通,以將製程氣體輸送至噴淋頭1006。反應物輸送系統1001可選地包括混合容器1004,用於混合及/或調節製程氣體,以輸送至噴淋頭1006。一或更多混合容器入口閥1020可控制製程氣體引入混合容器1004。在利用電漿暴露下,電漿亦可被傳送至噴淋頭1006,或者可在製程站1000中產生。如上所述,在至少一些實施方式中,非電漿熱暴露是有利的。
圖10包括可選汽化點1003,用於汽化待供應至混合容器1004之液體反應物。在一些實施方式中,可提供在汽化點1003上游之液體流量控制器(LFC),用於控制液體的質量流量以汽化並輸送至製程站1000。例如,LFC可包括位於LFC下游之熱質量流量計(MFM)。LFC之柱塞閥可接著被調整以響應於與MFM電性連通之比例積分微分(PID)控制器所提供的反饋控制訊號。
噴淋頭1006向基板1012分佈製程氣體。在圖10所示之實施方式中,基板1012位於噴淋頭1006下方,且示為留置於基座1008上。噴淋頭1006可具有任何適當的形狀,且可具有用於將製程氣體分佈至基板1012之任何適當數量及佈設的埠。
在一些實施例中,基座1008可被升高或下降,以使基板1012暴露於基板1012與噴淋頭1006之間的容積。將知悉,在一些實施方式中,基座高度可透過合適之電腦控制器1050以程式化方式進行調整。在一些實施方式中,噴淋頭1006可具有帶多個溫度控制之多個氣室容積。
在一些實施方式中,基座1008可透過加熱器1010進行溫度控制。在一些實施方式中,在顯影後處理期間,基座1008可被加熱至大於0℃且高達300℃的溫度,舉例為50°C至280°C,例如約100°C至240°C,如所揭示之實施方式中所述。在一些實施方式中,基座1008之加熱器1010可包括複數獨立可控溫度控制區。
此外,在一些實施方式中,對製程站1000之壓力控制可透過蝶閥1018來提供。如圖10之實施方式中所示,蝶閥1018對下游真空泵(未示出)所提供之真空進行節流。然而,在一些實施方式中,製程站1000之壓力控制亦可透過改變引入製程站1000之一或更多氣體的流率來調整。
在一些實施方式中,可相對於基座1008調整噴淋頭1006的位置,以改變基板1012與噴淋頭1006之間的容積。進一步地,將知悉,基座1008及/或噴淋頭1006的垂直位置可在本發明之範圍內透過任何合適的機構來變化。在一些實施例中,基座1008可包括用於旋轉基板1012方位之旋轉軸。將知悉,在一些實施方式中,此些示例性調整中之一或更多者可透過一或更多合適的電腦控制器1050以程式化方式來執行。
在可使用電漿下(例如在除渣、處理、沉積或平坦化操作中),噴淋頭1006及基座1008與射頻(RF)功率供應源1014及匹配網路1016電性通訊,用以對電漿供電。在一些實施方式中,電漿能可透過控制製程站壓力、氣體濃度、RF源功率、RF源頻率及電漿功率脈衝時序中之一或更多者來控制。例如,RF功率供應源1014及匹配網路1016可按任何合適功率來進行操作,以形成具有所欲自由基物種組成之電漿。合適功率之示例高達約1000 W。
在一些實施方式中,用於電腦控制器1050之指令可透過輸入/輸出控制(IOC)定序指令來提供。在一示例中,用於針對製程階段設定條件的指令可包含於製程配方之對應配方階段中。在一些例子中,製程配方階段可依序排列,使得針對製程階段之所有指令與該製程階段同時執行。在一些實施方式中,用於設定一或更多反應器參數之指令可包含於配方階段中。例如,配方階段可包括用於設定蝕刻氣體(例如,鹵化氫)之流率的指令以及用於配方階段之時間延遲指令。在一些實施方式中,控制器1050可包括以下關於圖11之控制器1150所述之任何特徵。
如上所述,一或更多製程站可包含於多站處理工具中。圖11示出具有入站裝載室1102及出站裝載室1104(其一者或兩者可包括遠端電漿源)之多站處理工具1100的實施例示意圖。處於大氣壓下之機器人1106配置成將晶圓從透過傳送盒(pod)1108裝載之晶舟通過大氣埠1110移動至入站裝載室1102。晶圓透過機器人1106被放置在入站裝載室1102中之基座1112上,大氣埠1110被關閉,接著裝載室被泵抽。在入站裝載室1102包括遠端電漿源之情況下,晶圓可在引入處理腔室1114之前暴露於遠端電漿處理,以在裝載室中處理基板表面。進一步地,晶圓亦可在入站裝載室1102中被加熱,例如以去除水分及吸附的氣體。接著,打開往處理腔室1114之腔室轉移埠1116,且另一機器人(未示出)將晶圓放入反應器中第一站之基座上(示於反應器中)以進行處理。儘管繪於圖11中之實施方式包括裝載室,但將知悉,在一些實施方式中,可提供晶圓直接進入製程站。
所繪之處理腔室1114包括四個製程站,在圖11所示之實施方式中從1到4編號。每一站具有一加熱基座(示於站1之1118)及氣體管線入口。將知悉,在一些實施方式中,每一製程站可具有不同或多個目的。例如,在一些實施方式中,製程站可在顯影與蝕刻製程模組之間切換。額外地或可替代地,在一些實施方式中,處理腔室1114可包括一或更多對匹配的顯影及蝕刻製程站。儘管所繪之處理腔室1114包括四個站,但將理解,根據本發明之處理腔室可具有任何合適數量的站。例如,在一些實施方式中,處理腔室可具有五個或更多站,而在其他實施方式中,處理腔室可具有三個或更少站。
圖11繪出用於在處理腔室1114內轉移晶圓之晶圓搬運系統1190的實施方式。在一些實施方式中,晶圓搬運系統1190可在諸多製程站之間及/或在製程站與裝載室之間轉移晶圓。將知悉,可採用任何合適之晶圓搬運系統。非限定示例包括晶圓轉盤及晶圓搬運機器人。圖11亦繪出用於控制製程條件及製程工具1100之硬體狀態的控制器1150實施方式。控制器1150可包括一或更多記憶體裝置1156、一或更多大容量儲存裝置1154以及一或更多處理器1152。處理器1152可包括中央處理器(CPU)或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等。
在一些實施方式中,控制器1150控制製程工具1100之所有活動。控制器1150執行儲存在大容量儲存裝置1154中、加載至記憶體裝置1156中並在處理器1152上執行之系統控制軟體1158。可替代地,可將控制邏輯硬編碼於控制器1150中。特殊應用積體電路、可程式化邏輯裝置(例如場可程式化閘陣列或FPGAs)及其類似者可用於該些目的。在以下討論中,無論「軟體」或「編碼」用於何種情況,功能上相當之硬碼化邏輯皆可用於該狀況。系統控制軟體1158可包含用以控制時序、氣體之混合、氣體流率、腔室及/或站壓力、腔室及/或站溫度、晶圓溫度、目標功率位準、RF功率位準、基板基座、吸盤及/或晶座位置、及由製程工具1100所執行之特定製程的其他參數。系統控制軟體1158可以任何合適的方式來配置。例如,可寫入諸多製程工具組成件子程式或控制物件,以控制用以實現諸多製程工具製程之製程工具組成件的操作。系統控制軟體1158可以任何合適的電腦可讀取程式語言來進行編碼。
在一些實施方式中,系統控制軟體1158可包含用以控制上述諸多參數之輸入/輸出控制(IOC)定序指令。在一些實施方式中,可採用儲存於與控制器1150相關聯之大容量儲存裝置1154及/或記憶體裝置1156上之其他電腦軟體及/或程式。用於此目的之程式或程式片段的示例包括基板定位程式、製程氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含用於製程工具組成件之程式編碼,製程工具組成件係用於將基板裝載至基座1118上並控制基板與製程工具1100之其他部件之間的間隔。
製程氣體控制程式可包含編碼,其用以控制製程氣體組成及流率,並可選地用以在沉積前使氣體流入一或更多製程站中以穩定製程站中之壓力。壓力控制程式可包含編碼,其係藉由調節例如該製程站之排出系統中的節流閥、進入該製程站之氣體流量等,以控制該製程站中之壓力。
加熱器控制程式可包含編碼,用於控制流至用於加熱基板之加熱單元的電流。可替代地,加熱器控制程式可控制熱傳導氣體(例如,氦)往基板的輸送。
電漿控制程式可包含編碼,用於根據本文實施方式來設定施加至一或更多製程站中之製程電極的RF功率位準。
壓力控制程式可包含編碼,用於根據本文實施方式來維持反應腔室內壓力。
在一些實施方式中,可有與控制器1150相關聯之使用者介面。該使用者介面可包含顯示螢幕、設備及/或製程條件之圖形化軟體顯示器、及使用者輸入裝置(例如指向裝置、鍵盤、觸控螢幕、麥克風等)。
在一些實施方式中,由控制器1150調整之參數可與製程條件有關。非限定示例包含製程氣體組成及流率、溫度、壓力、電漿條件(例如RF偏壓功率位準)等。可以配方(其可利用使用者介面來輸入)形式將此等參數提供至使用者。
透過控制器1150之類比及/或數位輸入連接,可從諸多製程工具感測器提供用以監測製程的訊號。用以控制製程之訊號可在製程工具1100之類比及/或數位輸出連接上輸出。可被監測之製程工具感測器的非限定示例包括質量流量控制器、壓力感測計(例如壓力計)、熱電偶等。經適當程式化之反饋及控制演算法可與來自此些感測器的數據一起使用,以維持製程條件。
控制器1150可提供用以實施上述沉積製程之程式指令。該等程式指令可控制各種製程參數,如DC功率位準、RF偏壓功率位準、壓力、溫度等。該等指令可控制該等參數,以根據本文所述之諸多實施方式操作顯影、清潔及/或蝕刻製程。
控制器1150可包括一或更多記憶體裝置及一或更多處理器,其配置成執行該等指令,使得該設備將根據所揭示之實施方式來執行方法。機器可讀媒體(含有用以根據所揭示之實施方式來控制製程操作之指令)可耦接至控制器1150。
在一些實施方式中,控制器1150為系統之一部份,其可為上述示例之一部分。此等系統可包括半導體處理裝備,其包含一處理工具或複數工具、一腔室或複數腔室、一處理平台或複數平台、及/或特定處理組成件(晶圓基座、氣流系統等)。此等系統可與電子設備結合,以控制半導體晶圓或基板處理前、處理期間及處理後之其操作。此等電子設備可指「控制器」,其可控制該系統或複數系統之諸多組成件或次部件。取決於處理條件及/或系統類型,控制器1150可程式化以控制本文所揭示之任何製程,包括處理氣體之輸送、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具、及/或裝載室)。
廣泛地講,控制器1150可定義為具有用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測以及類似者之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含 : 儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processor)、定義為特殊應用積體電路(ASIC,application specific integrated circuit)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以諸多各別設定(或程式檔案)之形式而傳送至控制器1150的指令,該各別設定(或程式檔案)為實行(半導體晶圓上,或針對半導體晶圓,或對系統之)特定的製程而定義操作參數。在一些實施方式中,操作參數可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分 : 層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒。
控制器1150在一些實施方式中可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合。舉例而言,控制器1150可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查其趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的製程。在一些示例中,遠端電腦(例如,伺服器)可通過網路而提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含能夠進行參數及/或設定輸入或程式設計之使用者介面,接著該參數及/或設定可自遠端電腦傳送至系統。在一些示例中,控制器1150接收數據形式指令,該指令為即將於一或更多操作期間進行之每一處理步驟指定參數。應當理解,參數可特定針對待執行之製程類型、及控制器1150與之接合或加以控制之工具類型。因此,如上所述,控制器1150可為分散式,例如藉由包含以網路方式接在一起、且朝向共同目的(例如,本文所述之製程及控制)運作之一或更多離散的控制器。用於此目的之分散式控制器舉例為,腔室上與位於遠端的一或更多積體電路(例如,於平臺水平處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上的製程。
示例性系統可包含,但不限於,電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬鍍覆腔室或模組、清潔腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、顯影機(track)腔室或模組、EUV微影腔室(掃描儀)或模組、顯影腔室或模組、及可在半導體晶圓的製造及/或加工中相關聯的、或使用的任何其他半導體處理系統。
如上所述,取決於待藉由工具而執行之製程步驟或複數步驟,控制器1150可與半導體製造工廠中的一或更多以下者進行通訊 : 其他工具電路或模組、其他工具組成件、叢集工具、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具攜帶晶圓容器往返工具位置及/或裝載埠。
現描述感應耦合式電漿(ICP)反應器,其在某些實施方式中可能適用於適於實施某些實施方式之蝕刻操作。儘管本文描述ICP反應器,但在一些實施方式中,應當理解,亦可使用電容耦合式電漿反應器。
圖12示意性地示出感應耦合式電漿設備1200之剖面圖,其適合於實施某些實施方式或實施方式態樣,例如乾式顯影、顯影後處理及/或蝕刻,其示例為加州費里蒙科林研發公司(Lam Research Corporation)所生產之Kiyo®反應器。在其他實施方式中,具有執行本文所述乾式顯影、顯影後處理及/或蝕刻製程之功能的其他工具或工具類型可用於實施。
感應耦合式電漿設備1200包括結構上由腔室壁1201及窗口1211所定義之整個製程腔室1224。腔室壁1201可由不銹鋼、鋁或塑料製成。窗口1211可由石英或其他介電材料製成。可選的內部電漿格柵1250將整個製程腔室分成上部次腔室1202及下部次腔室1203。在大多數實施方式中,可移除電漿格柵1250,因而利用次腔室1202及1203所構成之腔室空間。吸盤1217位於下部次腔室1203內靠近底部內表面處。吸盤1217配置成接收並固持在其上執行蝕刻及沉積製程之半導體晶圓1219。吸盤1217可為用於支撐晶圓1219(當存在時)的靜電吸盤。在一些實施方式中,邊緣環(未示出)環繞吸盤1217,並具有與晶圓1219(當存在於吸盤1217上方時)之頂表面大致平坦的上表面。吸盤1217亦包括用於對晶圓1219進行吸附及脫附的靜電電極。為此目的,可提供濾波器及直流(DC)箝位功率供應源(未示出)。亦可提供用於將晶圓1219提高離開吸盤1217之其他控制系統。吸盤1217可使用RF功率供應源1223進行充電。RF功率供應源1223透過連接1227連接至匹配電路1221。匹配電路1221透過連接1225連接至吸盤1217。以此方式,RF功率供應源1223連接至吸盤1217。在諸多實施方式中,取決於根據所揭示之實施方式所執行的製程,靜電吸盤的偏壓功率可設為約50 V或可設為不同的偏壓功率。例如,偏壓功率可介於約20 V與約100 V之間,或介於約30 V與約150 V之間。
用於產生電漿之元件包括位於窗口1211上方之線圈1233。在一些實施方式中,所揭示之實施方式中不使用線圈。線圈1233係由導電材料製成並包括至少一整匝。圖12所示之線圈1233示例包括三匝。線圈1233之剖面用符號示出,且具有「X」之線圈旋轉延伸進入頁面,而具有「●」之線圈旋轉延伸出頁面外。用於產生電漿之元件亦包括配置成供應RF功率至線圈1233之RF功率供應源1241。一般而言,RF功率供應源1241透過連接1245連接至匹配電路1239。匹配電路1239透過連接1243連接至線圈1233。以此方式,RF功率供應源1241連接至線圈1233。可選的法拉第屏蔽1249位於線圈1233與窗口1211之間。法拉第屏蔽1249可相對於線圈1233保持間隔開的關係。在一些實施方式中,法拉第屏蔽1249設置在窗口1211正上方。在一些實施方式中,法拉第屏蔽1249位於窗口1211與吸盤1217之間。在一些實施方式中,法拉第屏蔽1249未相對於線圈1233保持間隔開的關係。例如,法拉第屏蔽1249可在窗口1211正下方而無間隙。線圈1233、法拉第屏蔽1249及窗口1211各自配置成相互呈實質上平行。法拉第屏蔽1249可防止金屬或其他物質沉積在製程腔室1224之窗口1211上。
製程氣體可透過設於上部次腔室1202中之一或更多主氣流入口1260及/或透過一或更多側氣流入口1270流入製程腔室。同樣地,儘管未明確示出,但類似氣流入口可用於將製程氣體供應至電容耦合式電漿處理腔室。真空泵(例如一階或二階機械乾式及/或渦輪分子泵)1240可用於將製程氣體從製程腔室1224中抽出並維持製程腔室1224內的壓力。例如,在沖洗操作期間,真空泵可用於抽空下部次腔室1203。閥控制導管可用於將真空泵流體連接至製程腔室1224,以選擇性地控制真空泵所提供之真空環境的施加。此可在操作電漿處理期間採用閉環控制之限流裝置來完成,例如節流閥(未示出)或鐘擺錘(未示出)。同樣地,對電容耦合式電漿處理腔室亦可採用真空泵及閥控式流體連接。
在設備1200之操作期間,一或更多製程氣體可透過氣流入口1260及/或1270來供應。在某些實施方式中,製程氣體可僅透過主氣流入口1260或僅透過側氣流入口1270來供應。在一些例子中,圖中所示之氣流入口可用更複雜的氣流入口(例如一或更多噴淋頭)代替。法拉第屏蔽1249及/或可選格柵1250可包括內部通道及孔,其允許製程氣體輸送至製程腔室1224。法拉第屏蔽1249與可選格柵1250中之一者或兩者可作為用於製程氣體之輸送的噴淋頭。在一些實施方式中,液體汽化及輸送系統可位於製程腔室1224的上游,如此一旦液體反應物或前驅物被汽化,汽化反應物或前驅物即透過氣流入口1260及/或1270引入製程腔室1224中。
射頻功率係從RF功率供應源1241供應至線圈1233,以使RF電流流過線圈1233。流過線圈1233之RF電流在線圈1233周圍產生電磁場。電磁場在上部次腔室1202內產生感應電流。諸多產生之離子及自由基與晶圓1219之物理及化學相互作用蝕刻晶圓1219之特徵部並選擇性地在晶圓1219上沉積層。
若使用電漿格柵1250而有上部次腔室1202及下部次腔室1203兩者,則感應電流作用在上部次腔室1202中存在的氣體上,以在上部次腔室1202中產生電子-離子電漿。該可選之內部電漿格柵1250限制下部次腔室1203中之熱電子數。在一些實施方式中,設備1200係設計並操作成使得存在於下部次腔室1203中之電漿為離子-離子電漿。
上部電子-離子電漿與下部離子-離子電漿兩者均可含有正及負離子,但離子-離子電漿將具有更大的負離子比上正離子的比率。揮發性蝕刻及/或沉積副產物可透過埠1222從下部次腔室1203中去除。本文所揭示之吸盤1217可在介於約10℃與約250℃之間的升高溫度下操作。溫度將取決於製程操作及特定配方。
當設備1200安裝在無塵室或製造設施中時,其可耦接至設施(未示出)。設施包括提供處理氣體、真空、溫度控制及環境顆粒控制之配管。當安裝在目標製造設施中時,此些設施耦接至設備1200。另外,設備1200可耦接至轉移腔室,其允許機器人使用自動化來轉移半導體晶圓進出設備1200。
在一些實施方式中,控制器1230(其可包括一或更多物理或邏輯控制器)控制製程腔室1224之一些或全部操作。控制器1230可包括一或更多記憶體裝置及一或更多處理器。在一些實施方式中,設備1200包括切換系統,用於在執行所揭示之實施方式時控制流率及持續時間。在一些實施方式中,設備1200可具有高達約500 ms或高達約750 ms的切換時間。切換時間可能取決於流動化學、所選配方、反應器結構及其他因素。
在一些實施方式中,控制器1230為系統之一部份,其可為上述示例之一部分。控制器1230之諸多態樣描述於上。
EUVL圖案化可使用通常稱為掃描儀之任何合適的工具來執行,例如由ASML(荷蘭Veldhoven)所供應之TWINSCAN NXE:3300B®平台。EUVL圖案化工具可為供基板移動進出以進行本文所述沉積及蝕刻之獨立裝置。或者,如下所述,EUVL圖案化工具可為較大多組成件工具上的模組。圖13繪出具有真空整合沉積、EUV圖案化、及乾式顯影/蝕刻模組、及顯影後處理模組(與真空轉移模組相接)之半導體製程叢集工具架構,其適用於實施本文所述之製程。儘管可在沒有此等真空整合設備下執行製程,但此等設備在一些實施方式中可能是有利的。
圖13繪出具有真空整合沉積、圖案化及處理模組(其與真空轉移模組相接)之半導體製程叢集工具架構,其適用於實施本文所述之製程。用於在多個儲存設施與處理模組之間「轉移」晶圓之轉移模組的佈設可稱為「叢集工具架構」系統。沉積、圖案化及處理模組根據特定製程之要求而為真空整合。其他模組(例如用於蝕刻)亦可包含於該叢集中。
真空轉移模組(VTM)1338與四個處理模組1320a-1320d(其可分別被最佳化以執行諸多製造製程)相接。舉例來說,處理模組1320a-1320d可實施為執行沉積、蒸發、ELD、乾式顯影、清潔、蝕刻、處理、剝離及/或其他半導體製程。例如,模組1320a可為ALD反應器,其可被操作以在非電漿中執行如本文所述之熱原子層沉積,例如可獲自加州費里蒙科林研發公司(Lam Research Corporation)之Vector工具。又,模組1320b可為PECVD工具,例如Lam Vector®。應當理解,圖不一定按比例繪製。
氣室1342及1346(亦稱為裝載室或轉移模組)與VTM 1338及圖案化模組1340相接。例如,如上所述,合適之圖案化模組可為ASML(荷蘭-費爾德霍溫)所供應之TWINSCAN NXE:3300B®平台。此工具架構允許工件(例如半導體基板或晶圓)在真空下轉移,以不在曝光前反應。考慮到環境氣體(如H 2O、O 2等)對入射光子之強烈光吸收,且EUVL亦需大幅下降的壓力,因而促使沉積模組與微影工具整合。
如上所述,此整合架構僅是用於實施所述製程之工具的可能實施方式。該等製程亦可使用較習知之獨立EUVL掃描儀及沉積反應器(例如Lam Vector工具)來實施,其為獨立或與其他工具(例如蝕刻、剝離等)整合在叢集架構中(例如, Lam Kiyo或Gamma工具)作為模組,例如參考圖13所述,但無整合的圖案化模組。
氣室1342可為「輸出」裝載室,其係指基板從用於沉積模組1320a之VTM 1338轉移至圖案化模組1340,而氣室1346可為「進入」 裝載室,其係指基板自圖案化模組1340轉移返回VTM 1338。進入裝載室1346亦可對工具外部提供界面,用於基板之進入與移出。每一製程模組具有將模組接合至VTM 1338的端面(facet)。例如,沉積製程模組1320a具有端面1336。在每一端面內,感測器(例如所示的感測器1-18)用以偵測晶圓1326在相應站之間移動時的通過。圖案化模組1340及氣室1342與1346可類似地裝配額外的端面與感測器(未示出)。
主要VTM機器人1322在模組(包括氣室1342與1346)之間轉移晶圓1326。在一實施方式中,機器人1322具有一手臂,而在另一實施方式中,機器人1322具有兩手臂,其中每一手臂具有末端執行器1324以拾取晶圓(如晶圓1326)進行傳送。前端機器人1344用以將晶圓1326自輸出氣室1342轉移至圖案化模組1340中、自圖案化模組1340轉移至進入氣室1346。前端機器人1344亦可在進入裝載室與工具外部之間轉移晶圓1326,用於基板之進入與移出。因進入氣室模組1346具有匹配大氣與真空之間環境的能力,故晶圓1326能在兩壓力環境之間移動而不受損。
應注意,EUVL工具通常比沉積工具在更高真空下操作。若為此情況,則期望自沉積轉移至EUV工具期間增加基板之真空環境,以允許基板在進入圖案化工具之前除氣。輸出氣室1342可藉由將轉移的晶圓維持在較低壓力(不高於圖案化模組1340中的壓力)達一段時間並排出任何排放氣來提供此功能,使得圖案化模組1340的光學件不受來自基板的排放氣所污染。合適的輸出排放氣氣室壓力不超過1E-8 托耳(Torr)。
在一些實施方式中,控制器1350(其可包括一或更多物理或邏輯控制器)控制叢集工具及/或其分開模組之一些或所有操作。應注意,控制器可在叢集架構本地、或可位在製造層中之叢集架構的外部、或在遠端位置並經由網路連接至叢集架構。控制器1350可包括一或更多記憶體裝置與一或更多處理器。處理器可包括中央處理單元(CPU)或電腦、類比及/或數位輸入/輸出連接、步進馬達控制板、與其他類似組成件。用以執行適當控制操作之指令在處理器上執行。此些指令可儲存在與控制器相關聯之記憶體裝置上,或其可透過網路被提供。在某些實施方式中,系統控制器執行系統控制軟體。
系統控制軟體可包括指令,用以控制工具或模組操作之任何態樣的施加及/或量值的時序。系統控制軟體可以任何適當的方式配置。例如,可寫入諸多製程工具組成件子程序或控制物件,以控制實現諸多製程工具製程所需之製程工具組成件的操作。系統控制軟體可以任何合適的電腦可讀取程式語言來進行編碼。在一些實施方式中,系統控制軟體包括用以控制上述諸多參數之輸入/輸出控制(IOC) 定序指令。例如,半導體製造製程之每一階段可包括由控制器執行之一或更多指令。例如,用以設定用於凝結(condensation)、沉積、蒸發、圖案化及/或蝕刻階段之製程條件的指令可包含於相對應的配方階段中。
在諸多實施方式中,提供用於顯影後處理的設備。該設備可包括用於圖案化、處理、沉積及蝕刻的處理腔室,以及包括用於圖案化光阻遮罩顯影後處理之指令的控制器。指令可包括用於在製程腔室中處理顯影後之圖案化含金屬光阻遮罩的編碼。此等處理可包括熱處理、電漿處理、化學處理或保護層在圖案化含金屬光阻遮罩上之選擇性沉積。
應注意,控制晶圓移動之電腦可在叢集架構本地、或可位在製造層中之叢集架構的外部、或在遠端位置並經由網路連接至叢集架構。如上所述關於圖10、11或12中之任一者的控制器可用圖13中之工具來實施。 結論
揭示增強含金屬EUV阻劑之EUV微影性能的處理策略(例如,顯影後處理)。
在前文描述中,闡述若干具體細節,以對所呈現之實施例提供透徹理解。可在沒有此些具體細節之一些或全部者下實踐所揭示之實施 例。在其他實例中,不再詳細描述已知製程操作,以免不必要地模糊所揭示之實施例。儘管所揭示之實施例是結合具體實施例加以描述,但將理解,其並不意圖限制所揭示之實施例。
雖然前述實施例基於清楚理解之目的而已描述一些細節,但將顯而易見的是,可在所附請求項之範圍內實踐某些改變及修改。應該注意的是,有許多實施本實施例之製程、系統及設備的替代方式。據此,本實施例應視為是說明性而非限制性,且實施例不限於本文所給出的細節。
提供以下請求項以進一步說明本發明之某些實施例。本發明不一定限於此些實施例。
102:方塊 104:方塊 106:方塊 108:方塊 110:方塊 112:方塊 114:方塊 116:方塊 200:製程 210:方塊 220:方塊 230:方塊 240:方塊 300:晶圓 302:基板 304:基板層 306:光圖案化含金屬EUV阻劑膜 306a:非EUV曝光區域 306b:EUV曝光區域 308:化學改質光阻遮罩 400:晶圓 402:基板 404:基板層 406:光圖案化含金屬EUV阻劑膜 406a:非EUV曝光區域 406b:EUV曝光區域 410:保護膜 500:晶圓 502:基板 504:基板層 506:光圖案化含金屬EUV阻劑膜 506a:非EUV曝光區域 506b:EUV曝光區域 508:化學改質光阻遮罩 510:保護膜 600:基板 610:圖案化含金屬光阻遮罩 620:顆粒或團簇 700:基板 710:圖案化含金屬光阻遮罩 800:基板 810:圖案化含金屬光阻遮罩 820:化學改質光阻遮罩 900:基板 910:圖案化含金屬光阻遮罩 920:保護膜 1000:製程站 1001:反應物輸送系統 1003:汽化點 1004:混合容器 1006:噴淋頭 1008:基座 1010:加熱器 1012:基板 1014:射頻(RF)功率供應源 1016:匹配網路 1018:蝶閥 1020:混合容器入口閥 1100:處理工具、製程工具 1102:入站裝載室 1104:出站裝載室 1106:機器人 1108:傳送盒 1110:大氣埠 1112:基座 1114:處理腔室 1116:腔室轉移埠 1118:加熱基座 1150:電腦控制器 1152:處理器 1154:大容量儲存裝置 1156:記憶體裝置 1158:系統控制軟體 1190:晶圓搬運系統 1200:感應耦合式電漿設備 1201:腔室壁 1202:上部次腔室 1203:下部次腔室 1211:窗口 1217:吸盤 1219:半導體晶圓 1221:匹配電路 1222:埠 1223:射頻(RF)功率供應源 1224:製程腔室 1225:連接 1227:連接 1230:控制器 1233:線圈 1239:匹配電路 1240:真空泵 1241:射頻(RF)功率供應源 1243:連接 1245:連接 1249:法拉第屏蔽 1250:內部電漿格柵 1260:主氣流入口 1270:氣流入口 1320a:處理模組、沉積模組、沉積製程模組 1320b:處理模組 1320c:處理模組 1320d:處理模組 1322:真空轉移模組(VTM)機器人 1324:末端執行器 1326:晶圓 1336:端面 1338:真空轉移模組(VTM) 1340:圖案化模組 1342:氣室 1344:前端機器人 1346:氣室、進入裝載室、進入氣室模組 1350:控制器
圖1呈現根據一些實施例用於沉積、顯影並處理光阻之示例方法的流程圖。
圖2呈現根據一些實施例在顯影之後處理基板上光阻之示例方法的流程圖。
圖3A-3D示出根據一些實施例之諸多處理階段的剖面示意圖,包括光阻的顯影及處理,其中該處理改變光阻之一或更多特性。
圖4A-4D示出根據一些實施例之諸多處理階段的剖面示意圖,包括光阻的顯影及處理,其中該處理選擇性地在光阻上沉積保護層。
圖5A-5D示出根據一些實施例之諸多處理階段的剖面示意圖,包括光阻的顯影及處理,其中該處理改變光阻之一或更多特性並選擇性地在光阻上沉積保護層。
圖6示出根據一些實施例顯示用於除渣之光阻顯影後處理的剖面示意圖。
圖7示出根據一些實施例顯示用於降低線寬粗糙度(LWR)之光阻顯影後處理的剖面示意圖。
圖8示出根據一些實施例顯示透過與反應性氣體物質進行化學反應以增加線CD之光阻顯影後處理的剖面示意圖。
圖9示出根據一些實施例顯示利用選擇性沉積保護層來增加線CD之光阻顯影後處理的剖面示意圖。
圖10繪出根據一些實施例用以維持適於進行光阻顯影、光阻處理及/或蝕刻操作之環境的示例製程站示意圖。
圖11繪出適於實施本文所述之光阻顯影、光阻處理及/或蝕刻操作的示例多站處理工具示意圖。
圖12示出用於實施本文所述之某些實施例及操作的示例感應耦合式電漿設備剖面示意圖。
圖13繪出具有真空整合沉積及圖案化模組(其與真空轉移模組相接)之半導體製程叢集工具架構,其適用於實施本文所述之製程。
900:基板
910:圖案化含金屬光阻遮罩
920:保護膜

Claims (20)

  1. 一種在製程腔室中處理基板的方法,該方法包括 : 在該製程腔室中提供該基板,其中該基板為包含有一基板層及一顯影後含金屬光阻遮罩於該基板層上方之一半導體基板;以及 透過暴露於一或更多反應性氣體來處理該顯影後含金屬光阻遮罩。
  2. 如請求項1所述之在製程腔室中處理基板的方法,進一步包括  : 在處理該顯影後含金屬光阻遮罩之後,使用該顯影後含金屬光阻遮罩來蝕刻該基板層以形成凹入特徵部,其中在蝕刻期間維持該顯影後含金屬光阻遮罩之臨界尺寸。
  3. 如請求項1所述之在製程腔室中處理基板的方法,其中處理該顯影後含金屬光阻遮罩包括相對於該基板層在該顯影後含金屬光阻遮罩上選擇性沉積一保護層,其中該保護層包括碳或碳化物、氮化物、硫化物、氟化物、氧化物或元素金屬。
  4. 如請求項3所述之在製程腔室中處理基板的方法,其中選擇性沉積該保護層包括將該顯影後含金屬光阻遮罩暴露於一金屬前驅物。
  5. 如請求項4所述之在製程腔室中處理基板的方法,其中該金屬前驅物係在該製程腔室中原位產生。
  6. 如請求項1所述之在製程腔室中處理基板的方法,其中該一或更多反應性氣體包括一含碳前驅物或金屬鹵化物。
  7. 如請求項1所述之在製程腔室中處理基板的方法,其中處理該顯影後含金屬光阻遮罩包括將該顯影後含金屬光阻遮罩暴露於一含碳前驅物並在該顯影後含金屬光阻遮罩上選擇性沉積一含金屬層。
  8. 如請求項1所述之在製程腔室中處理基板的方法,其中處理該顯影後含金屬光阻遮罩提高一或更多以下材料特性 : 該顯影後含金屬光阻遮罩之密度、抗蝕性、及臨界尺寸。
  9. 如請求項1所述之在製程腔室中處理基板的方法,其中處理該顯影後含金屬光阻遮罩包括在介於約100°C與約250°C之間的升高溫度下對該顯影後含金屬光阻遮罩熱退火。
  10. 如請求項1所述之在製程腔室中處理基板的方法,其中處理該顯影後含金屬光阻遮罩包括將該顯影後含金屬光阻遮罩暴露於電漿中之該一或更多反應性氣體。
  11. 如請求項1所述之在製程腔室中處理基板的方法,進一步包括 : 顯影一含金屬光阻以選擇性去除該含金屬光阻之一部分,以形成該顯影後含金屬光阻遮罩,其中顯影該含金屬光阻包括將該含金屬光阻暴露於一濕式顯影化學法或乾式顯影化學法。
  12. 一種在製程腔室中處理基板的方法,該方法包括 : 在該製程腔室中提供該基板,其中該基板為包含有一基板層及一顯影後含金屬光阻遮罩於該基板層上方之一半導體基板;以及 利用一或更多以下操作來處理該顯影後含金屬光阻遮罩 : (i) 對該顯影後含金屬光阻遮罩熱退火,(ii) 將該顯影後含金屬光阻遮罩暴露於電漿,(iii) 將該顯影後含金屬光阻遮罩暴露於一或更多反應性氣體,以及(iv)相對於該基板層在該顯影後含金屬光阻遮罩上選擇性沉積一保護層。
  13. 如請求項12所述之在製程腔室中處理基板的方法,其中對該顯影後含金屬光阻遮罩熱退火包括將該顯影後含金屬光阻遮罩暴露於介於約100°C與約250°C之間的升高溫度,以降低該顯影後含金屬光阻遮罩中之缺陷率及線寬粗糙度(LWR)。
  14. 如請求項12所述之在製程腔室中處理基板的方法,其中將該顯影後含金屬光阻遮罩暴露於電漿以緻密化並降低該顯影後含金屬光阻遮罩中之LWR。
  15. 如請求項12所述之在製程腔室中處理基板的方法,其中將該顯影後含金屬光阻遮罩暴露於該一或更多反應性氣體包括將該顯影後含金屬光阻遮罩暴露於一氧化碳、二氧化碳、羰基金屬、有機金屬、金屬鹵化物或其組合,以提高該顯影後含金屬光阻遮罩之抗蝕性及/或臨界尺寸。
  16. 如請求項12所述之在製程腔室中處理基板的方法,其中在該顯影後含金屬光阻遮罩上選擇性沉積該保護層包括選擇性沉積碳或碳化物、氮化物、硫化物、氟化物、氧化物或元素膜,以提高該顯影後含金屬光阻遮罩之臨界尺寸。
  17. 一種在製程腔室中處理基板的設備,該設備包括 : 一基板支撐件,位於該製程腔室中,其中該基板支撐件配置成支撐包含有一基板層及一顯影後含金屬光阻遮罩於該基板層上方之該基板;以及 一反應性氣體源,與該製程腔室流體連通並配置成透過一或更多氣體入口朝該基板支撐件輸送一或更多反應性氣體以處理該顯影後含金屬光阻遮罩。
  18. 如請求項17所述之在製程腔室中處理基板的設備,進一步包括 : 一或更多加熱元件,配置成在該顯影後含金屬光阻遮罩之處理期間將該基板加熱至一升高溫度。
  19. 如請求項17所述之在製程腔室中處理基板的設備,進一步包括 : 一電漿源,配置成在該顯影後含金屬光阻遮罩之處理期間產生電漿。
  20. 如請求項17所述之在製程腔室中處理基板的設備,其中配置成輸送一或更多反應性氣體之該反應性氣體源配置成在該顯影後含金屬光阻遮罩之處理期間在該顯影後含金屬光阻遮罩上選擇性沉積一保護膜。
TW112116247A 2022-05-04 2023-05-02 含金屬光阻的顯影後處理 TW202401131A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202263364180P 2022-05-04 2022-05-04
US63/364,180 2022-05-04

Publications (1)

Publication Number Publication Date
TW202401131A true TW202401131A (zh) 2024-01-01

Family

ID=88646851

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112116247A TW202401131A (zh) 2022-05-04 2023-05-02 含金屬光阻的顯影後處理

Country Status (2)

Country Link
TW (1) TW202401131A (zh)
WO (1) WO2023215136A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4530980B2 (ja) * 2005-08-26 2010-08-25 東京応化工業株式会社 膜形成用材料およびパターン形成方法
KR101920711B1 (ko) * 2012-01-16 2018-11-22 삼성전자주식회사 박막 패터닝 방법 및 이를 이용한 반도체소자의 제조방법
WO2020190941A1 (en) * 2019-03-18 2020-09-24 Lam Research Corporation Reducing roughness of extreme ultraviolet lithography resists
US20220244645A1 (en) * 2019-06-26 2022-08-04 Lam Research Corporation Photoresist development with halide chemistries
CN115362414A (zh) * 2020-04-03 2022-11-18 朗姆研究公司 用于增强euv光刻性能的暴露前光致抗蚀剂固化

Also Published As

Publication number Publication date
WO2023215136A1 (en) 2023-11-09

Similar Documents

Publication Publication Date Title
TWI828961B (zh) 圖案化結構及其製造方法、沉積底層之方法及處理基板之設備
US20220244645A1 (en) Photoresist development with halide chemistries
US20220342301A1 (en) Photoresist with multiple patterning radiation-absorbing elements and/or vertical composition gradient
US20220344136A1 (en) Dry chamber clean of photoresist films
US20230259025A1 (en) Dry deposited photoresists with organic co-reactants
US20240145272A1 (en) Integrated dry processes for patterning radiation photoresist patterning
US20230266664A1 (en) Photoresists from sn(ii) precursors
US20230314946A1 (en) Method of forming photo-sensitive hybrid films
WO2022125388A1 (en) Photoresist development with organic vapor
CN115885376A (zh) 光致抗蚀剂的干式背侧和斜面边缘清洁
TW202401131A (zh) 含金屬光阻的顯影後處理
US20240134274A1 (en) Halogen-and aliphatic-containing organotin photoresists and methods thereof
TW202340879A (zh) 高吸收性含金屬光阻的顯影策略
WO2023245047A1 (en) Tin precursors for deposition of euv dry resist
WO2024076679A1 (en) Dry chamber clean using thermal and plasma processes
TW202417974A (zh) 圖案化結構及其製造方法、沉積底層之方法及處理基板之設備
WO2024064071A1 (en) Bake-sensitive underlayers to reduce dose to size of euv photoresist
WO2023114724A1 (en) Development of hybrid organotin oxide photoresists
CN118159914A (zh) 用于阻止蚀刻停止的金属氧化物基光致抗蚀剂的循环显影
WO2024123632A2 (en) Endpoint detection and tracking of photoresist processes
TW202413382A (zh) Euv乾式光阻沉積的錫前驅物