TW202349460A - 利用擴散阻障層的增強euv下層效應 - Google Patents

利用擴散阻障層的增強euv下層效應 Download PDF

Info

Publication number
TW202349460A
TW202349460A TW112101707A TW112101707A TW202349460A TW 202349460 A TW202349460 A TW 202349460A TW 112101707 A TW112101707 A TW 112101707A TW 112101707 A TW112101707 A TW 112101707A TW 202349460 A TW202349460 A TW 202349460A
Authority
TW
Taiwan
Prior art keywords
diffusion barrier
layer
group
metal
silicon
Prior art date
Application number
TW112101707A
Other languages
English (en)
Inventor
希瓦難陀 克里希那 卡那卡沙巴怕希
凱文 M 麥克勞克林
楊家岭
阿爾潘 普拉文 馬侯羅瓦拉
德加拉科許彌 欣荷
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202349460A publication Critical patent/TW202349460A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Conversion Of X-Rays Into Visible Images (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

本揭示內容大致上關於圖案化結構(及用於形成這類結構之方法及設備),包括:基板,具有部分製造的半導體元件膜堆疊;輻射敏感成像層,在基板上方;下方層,在輻射敏感成像層下方,下方層包括不穩定物種;硬遮罩,位於下方層下方;及擴散阻障層,位於下方層與硬遮罩層之間,擴散阻障層包括擴散阻障材料,擴散阻障材料使不穩定物種從下方層至硬遮罩層中之擴散減少。在各種實施例中,不穩定物種從下方層向下進入硬遮罩層之擴散減少導致不穩定物種從下方層向上進入輻射敏感成像層之相對較多的擴散。進入輻射敏感成像層之增加的擴散可能有利地增加輻射敏感成像層之輻射吸收性及∕或圖案化效能。

Description

利用擴散阻障層的增強EUV下層效應
本揭示內容大致上關於半導體處理之領域,具體而言,關於極紫外線(EUV)光阻(PR)微影技術及材料。
隨著半導體製造之不斷進展,特徵部尺寸不斷縮小,並且需要新的處理方法。正在取得進展的一個領域是圖案化,例如使用對微影輻射敏感之光阻材料。
本文中所提出之先前技術大致上用於呈現本揭示內容之背景。在此先前技術部分中所述之本案發明人之成果範圍、以及不適格做為申請時之先前技術之實施態樣,皆非直接或間接地被承認為對抗本揭示內容之先前技術。
本文中之各種實施例係關於用於在基板上沉積擴散阻障層、或包括擴散阻障層之堆疊之方法、材料、設備及系統。可提供擴散阻障層,以減少或避免在一方向上之擴散,從而促進在另一方向上之擴散。此擴散控制促進了不穩定物種如所需地在材料堆疊中之移動。這樣的移動可用於增強相關材料之所需性質。
在所揭示的實施例之一態樣中,提出一種圖案化結構,該圖案化結構包括:基板,包括部分製造的半導體元件膜堆疊;輻射敏感成像層,設置於該基板上方;下方層,位於該輻射敏感成像層下方,該下方層包括不穩定物種;硬遮罩層,位於該下方層下方;及擴散阻障層,位於該下方層與該硬遮罩層之間,該擴散阻障層包括擴散阻障材料,該擴散阻障材料使該不穩定物種從該下方層至該硬遮罩層中之擴散減少。
在各種實行例中,該擴散阻障材料可包括選自於由氧化物材料、氮化物材料、碳化物材料、矽、矽化物(silicide)材料、硫化物材料、含金屬材料或其組合所構成之群組之材料。例如,在一些例子中,該擴散阻障層可包括該氧化物材料。在一些這樣的例子中,該氧化物材料可為選自於由金屬氧化物、矽氧化物、金屬氮氧化物、矽氮氧化物、金屬碳氧化物、矽碳氧化物或其組合所構成之群組之材料。在一些這樣的例子中,該氧化物材料可為金屬氧化物。在這些或其它實施例中,該擴散阻障材料可包括選自於由鋁、鈦、鉬、鎢、錫或其組合所構成之群組之金屬。在這些或其它實施例中,該擴散阻障材料可包括該氮化物材料。在一些這樣的例子中,該氮化物材料可為選自於由金屬氮化物、矽氮化物、金屬氮氧化物、矽氮氧化物或其組合所構成之群組之材料。在一些這樣的例子中,該氮化物可為金屬氮化物。在一些這樣的例子中,該擴散阻障材料可包括選自於由鋁、鉬、鎢、錫或其組合所構成之群組之金屬。在一些例子中,該擴散阻障材料可包括矽氮化物。在這些或其它實施例中,該擴散阻障材料可包括該碳化物材料。在一些這樣的實施例中,該碳化物材料可為選自於由金屬碳化物、矽碳化物、金屬碳氧化物、矽碳氧化物或其組合所構成之群組之材料,及任選地其中該擴散阻障材料包括選自於由鋁、鈦、鉬、鎢、錫或其組合所構成之群組之金屬。在一些這樣的例子中,該擴散阻障材料包括該矽碳化物。在一些這樣的例子中,該矽碳化物可為摻雜的。例如,該矽碳化物可摻雜有氮及氧其中至少一者。
在各種實行例中,該不穩定物種可為氫。在這些或其它實施例中,該輻射敏感成像層可包括光阻材料。在一些這樣的例子中,該光阻材料可為含金屬光阻材料。在一些這樣的例子中,該光阻材料係含金屬有機(metal-organic-containing)光阻材料。
該下方層可具有各種各樣的成分。在各種實施例中,該下方層可包括含氫碳層,該含氫碳層包括至少一摻質,其中該至少一摻質係選自於由氧、矽、氮、鎢、硼、碘、氯或其組合所構成之群組之摻質。在這些或其它實施例中,該擴散阻障層可使用基於氣相的乾式沉積技術或使用基於液相的濕式沉積技術而沉積。在各種實施例中,該擴散阻障層可使該不穩定物種從該下方層至該硬遮罩層中之擴散減少至少約60%。在這樣的例子中,該擴散阻障層可使該不穩定物種從該下方層至該硬遮罩層中之擴散減少至少約90%。
在所揭示的實施例之另一態樣中,提出一種圖案化結構之製造方法,該方法包括:提供基板,該基板具有硬遮罩層於其上;沉積擴散阻障層在該硬遮罩層上方,該擴散阻障層包括擴散阻障材料;沉積下方層在該擴散阻障層上方,該下方層包括不穩定物種;及沉積輻射敏感成像層在該下方層上方,其中該擴散阻障層使該不穩定物種從該下方層至該硬遮罩層中之擴散減少。
在各種實行例中,該擴散阻障材料可包括選自於由氧化物材料、氮化物材料、碳化物材料、矽、矽化物材料、硫化物材料、含金屬材料或其組合所構成之群組之材料。例如,在一些例子中,該擴散阻障層可包括該氧化物材料。在一些這樣的例子中,該氧化物材料可為選自於由金屬氧化物、矽氧化物、金屬氮氧化物、矽氮氧化物、金屬碳氧化物、矽碳氧化物或其組合所構成之群組之材料。在一些這樣的例子中,該氧化物可為金屬氧化物。在這些或其它實施例中,該擴散阻障材料可包括選自於由鋁、鈦、鉬、鎢、錫或其組合所構成之群組之金屬。在這些或其它實施例中,該擴散阻障材料可包括該氮化物材料。在一些這樣的例子中,該氮化物材料可為選自於由金屬氮化物、矽氮化物、金屬氮氧化物、矽氮氧化物或其組合所構成之群組之材料。在一些這樣的例子中,該氮化物可為金屬氮化物。在一些這樣的例子中,該擴散阻障材料可包括選自於由鋁、鉬、鎢、錫或其組合所構成之群組之金屬。在一些例子中,該擴散阻障材料可包括矽氮化物。在這些或其它實施例中,該擴散阻障材料可包括該碳化物材料。在一些這樣的實施例中,該碳化物材料可為選自於由金屬碳化物、矽碳化物、金屬碳氧化物、矽碳氧化物或其組合所構成之群組之材料,及任選地其中該擴散阻障材料包括選自於由鋁、鈦、鉬、鎢、錫或其組合所構成之群組之金屬。在一些這樣的例子中,該擴散阻障材料包括該矽碳化物。在一些這樣的例子中,該矽碳化物可為摻雜的。例如,該矽碳化物可摻雜有氮及氧其中至少一者。
在各種實行例中,該不穩定物種可為氫。在這些或其它實施例中,該輻射敏感成像層可包括光阻材料。在一些這樣的例子中,該光阻材料可為含金屬光阻材料。在一些這樣的例子中,該光阻材料係含金屬有機光阻材料。
該下方層可具有各種各樣的成分。在各種實施例中,該下方層可包括含氫碳層,該含氫碳層包括至少一摻質,其中該至少一摻質係選自於由氧、矽、氮、鎢、硼、碘、氯或其組合所構成之群組之摻質。在這些或其它實施例中,該擴散阻障層可使用基於氣相的乾式沉積技術或使用基於液相的濕式沉積技術而沉積。在各種實施例中,該擴散阻障層可使該不穩定物種從該下方層至該硬遮罩層中之擴散減少至少約60%。在這樣的例子中,該擴散阻障層可使該不穩定物種從該下方層至該硬遮罩層中之擴散減少至少約90%。
在所揭示的實施例之另一態樣中,提出一種在基板上製造圖案化結構之設備,該設備包括:處理腔室,包括基板支撐件;處理氣體源,與該處理腔室及相關的流動控制硬體相連接;及控制器,具有處理器及記憶體,其中該處理器及該記憶體係彼此通信連接,該處理器係至少可操作地連接至該流動控制硬體,及該記憶體係儲存用以使本文中所述或所請之該等方法其中任一者發生之電腦可執行指令。
例如,在一實施例中,該記憶體可儲存用以執行下列者之電腦可執行指令:提供基板至該處理腔室,該基板具有硬遮罩層於其上;沉積擴散阻障層在該硬遮罩層上方,該擴散阻障層包括擴散阻障材料;沉積下方層在該擴散阻障層上方,該下方層包括不穩定物種;及沉積輻射敏感成像層在該下方層上方,其中該擴散阻障層使該不穩定物種從該下方層至該硬遮罩層中之擴散減少。
在相關實施例中,該記憶體可儲存這些指令之子集(例如,以沉積該擴散阻障層),任選地與本文中所述之任何其它指令加以結合。
在各種實行例中,該擴散阻障材料可包括選自於由氧化物材料、氮化物材料、碳化物材料、矽、矽化物材料、硫化物材料、含金屬材料或其組合所構成之群組之材料。例如,在一些例子中,該擴散阻障層可包括該氧化物材料。在一些這樣的例子中,該氧化物材料可為選自於由金屬氧化物、矽氧化物、金屬氮氧化物、矽氮氧化物、金屬碳氧化物、矽碳氧化物或其組合所構成之群組之材料。在一些這樣的例子中,該氧化物可為金屬氧化物。在這些或其它實施例中,該擴散阻障材料可包括選自於由鋁、鈦、鉬、鎢、錫或其組合所構成之群組之金屬。在這些或其它實施例中,該擴散阻障材料可包括該氮化物材料。在一些這樣的例子中,該氮化物材料可為選自於由金屬氮化物、矽氮化物、金屬氮氧化物、矽氮氧化物或其組合所構成之群組之材料。在一些這樣的例子中,該氮化物可為金屬氮化物。在一些這樣的例子中,該擴散阻障材料可包括選自於由鋁、鉬、鎢、錫或其組合所構成之群組之金屬。在一些例子中,該擴散阻障材料可包括矽氮化物。在這些或其它實施例中,該擴散阻障材料可包括該碳化物材料。在一些這樣的實施例中,該碳化物材料可為選自於由金屬碳化物、矽碳化物、金屬碳氧化物、矽碳氧化物或其組合所構成之群組之材料,及任選地其中該擴散阻障材料包括選自於由鋁、鈦、鉬、鎢、錫或其組合所構成之群組之金屬。在一些這樣的例子中,該擴散阻障材料包括該矽碳化物。在一些這樣的例子中,該矽碳化物可為摻雜的。例如,該矽碳化物可摻雜有氮及氧其中至少一者。
在各種實行例中,該不穩定物種可為氫。在這些或其它實施例中,該輻射敏感成像層可包括光阻材料。在一些這樣的例子中,該光阻材料可為含金屬光阻材料。在一些這樣的例子中,該光阻材料係含金屬有機光阻材料。
該下方層可具有各種各樣的成分。在各種實施例中,該下方層可包括含氫碳層,該含氫碳層包括至少一摻質,其中該至少一摻質係選自於由氧、矽、氮、鎢、硼、碘、氯或其組合所構成之群組之摻質。在這些或其它實施例中,該擴散阻障層可使用基於氣相的乾式沉積技術或使用基於液相的濕式沉積技術而沉積。在各種實施例中,該擴散阻障層可使該不穩定物種從該下方層至該硬遮罩層中之擴散減少至少約60%。在這樣的例子中,該擴散阻障層可使該不穩定物種從該下方層至該硬遮罩層中之擴散減少至少約90%。
以下參考圖式以進一步描述這些及其它態樣。
在以下的敘述中,將提出數個特定細節以提供對所述實施例之徹底瞭解。所揭示的實施例可在缺乏部分或全部這些特定細節之例子中實施。在其它例子中,不詳細說明習知的處理操作,以免不必要地模糊所揭示的實施例。雖然將利用特定的實施例來說明所揭示的實施例,但應當瞭解,其並非意圖限制所揭示的實施例。
本文中之各種實施例係關於用於改善光阻掃描機在輻射敏感成像層中引起化學變化之效率之方法、設備及結構。這種改善的效率通常被稱為較低的劑量尺寸比(DtS),並且通常意味著在光微影(及相關操作)期間所需之化學變化可利用提供相對較低劑量之圖案化輻射給基板來達成。這樣的輻射劑量減少在半導體圖案化之背景下是非常有價值的,尤其是在實施仍然相對昂貴的極紫外線(EUV)光微影時。為了達成此改善的效率∕較低的DtS,在基板上之膜堆疊內之適當位置處(例如,在硬遮罩上方且在下方層下方)提供擴散阻障層。擴散阻障層使不穩定物種在特定方向上(例如,向下進入硬遮罩)之擴散最小化,同時使不穩定物種在相反方向上(例如,向上進入下方層)之擴散最大化。此優先方向性擴散在輻射敏感成像層內引起期望的化學變化,導致較低的DtS。
應當理解,雖然本揭示內容係關於以極紫外線(EUV)微影為例之微影圖案化技術及材料,但是其亦適用於其它下一世代微影技術。除了EUV(包括目前正在使用及開發之標準13.5 nm EUV波長)之外,與這樣的微影最相關之輻射源為DUV(深紫外線),一般指使用248 nm或193 nm準分子雷射源;X射線,形式上包括在X射線範圍之較低能量範圍之EUV;以及電子束,其可能涵蓋寬的能量範圍。這樣的方法包括使具有暴露的羥基之基板與經烴基取代的錫封端劑接觸以在基板表面上形成烴基封端的錫氧化物(SnOx)膜做為成像∕PR層之方法。具體方法可取決於在半導體基板及最終半導體元件中所使用之特定材料及應用。因此,本申請案中所述之方法僅僅是可用於目前技術之方法及材料之範例。
EUV微影–通常在13.5 nm之波長–被視為是用於微影圖案化之下一可能技術。然而,許多技術絆腳石已經延緩了此技術之廣泛採用及實施。EUV光阻(PR)是障礙物其中之一。
傳統的化學放大光阻(CAR)提供一種具有成本效益的方法。但有機聚合物CAR會產生線邊緣粗糙度(LER)及線寬粗糙度(LWR),並且由於使用聚合物之隨機變動而具有靈敏度及解析度限制。最近的研發工作已經聚焦在新的EUV無機光阻平台開發。相較於基於聚合物的CAR系統,這樣的系統具有多種優勢。這些無機光阻通常基於金屬氧化物,包括金屬氫氧化物氧化物。小的金屬氧化物分子大小會增強圖案化步驟之最終解析度,且金屬氧化物光阻通常表現出比CAR更高的蝕刻抗性,此可減少PR厚度以減少結構深寬比。
圖1A描繪出具有材料堆疊於其上之基板101。為了特定目的之需要,基板可包括額外的層。例如,基板可為或包括非晶形氫化碳、矽氧化物、矽氮化物、矽氮氧化物、矽碳化物、矽硼氮化物、非晶矽、多晶矽或本文中所述之任何者之組合之任何形式(例如,主體膜、薄膜、另一膜、堆疊等)。在許多例子中,基板為部分製造的半導體元件,以任何合適的方式所製造。如圖1A所示,堆疊包括硬遮罩102、下方層104、以及輻射敏感成像層105。輻射敏感成像層105通常被稱為光阻。
硬遮罩102可具有各種各樣的成分,例如SiO 2、矽氮化物、及∕或可灰化硬遮罩材料。在一些例子中,硬遮罩102可為矽碳化物。在一些例子中,可使用這些材料之摻雜形式。硬遮罩102可藉由化學氣相沉積(CVD)而形成,例如電漿增強CVD(PECVD)。在一些例子中,可使用其它沉積技術,例如原子層沉積(ALD)、電漿增強ALD(PEALD)、或反應性物理氣相沉積(PVD)。在一些實行例中,由非晶形碳膜所組成之可灰化硬遮罩是理想的。在此背景中之非晶形碳膜可為未摻雜的、或其可為摻雜有,例如,硼(B)或鎢(W)。合適的非晶形碳膜之組成可包括,例如,約50至80原子%之碳(C)、10至20原子%之氫(H)、以及5至40原子%之B或W摻質。硬遮罩102可具有約10 nm、或約20 nm、或約50 nm之最小厚度。在這些或其它例子中,硬遮罩102可具有約1微米、或約500 nm、或約100 nm之最大厚度。
下方層104可為或包括摻雜有氧(O)、矽(Si)、氮(N)、鎢(W)、硼(B)、碘(I)、氯(Cl)、或任何這些之組合(例如,Si及O之組合)之含氫碳膜。下方層104可用以增加在硬遮罩102與隨後形成的輻射敏感成像層105之間之黏著,並且減少用於輻射敏感成像層之有效EUV曝光之EUV劑量。在許多實施例中,下方層係透過基於氣相的技術而沉積,例如CVD,在一些例子中為PECVD。在一些例子中,可使用其它沉積技術,例如ALD、PEALD、物理氣相沉積(PVD)及濕式方法,例如旋塗沉積。在一些實施例中,下方層沉積處理可整合為在硬遮罩102沉積期間之終止步驟。在一實施例中,下方層104係藉由引入或輸送烴前驅物(例如,以提供碳原子)及摻質前驅物(例如,提供摻雜的、非碳原子)而沉積。在另一實施例中,該膜係藉由引入或輸送含雜原子的前驅物(例如,含碘前驅物)而沉積,其在沉積之後提供摻雜的膜。具體而言,摻雜有碘之含氫碳膜可改善在暴露於EUV輻射時之二次電子產生。為了特定應用之需要,可使用其它類型之前驅物及∕或摻質。下方層104可具有約2 nm、或約5 nm、或約10 nm、或約15 nm之最小厚度。下方層104可具有25 nm、或約20 nm、或約15 nm、或約10 nm、或約5 nm之最大厚度。在許多例子中,下方層104可具有介於約2-20 nm之間之厚度。在各種例子中,下方層可包括約0-30原子%之O及∕或約20-50原子%之氫(H)及∕或30-70原子%之C。下方層104包括一或更多不穩定物種,如以下關於圖1B及1C所討論。
關於下方層104之更多細節係討論於2021年1月11日所提出、公開為WO2021/146138、且發明名稱為「UNDERLAYER FOR PHOTORESIST ADHESION AND DOSE REDUCTION」之國際專利申請案PCT/US2021/012953中,其全部內容係併入本文中做為參考。
回到圖1A之實施例,材料堆疊更包括輻射敏感成像層105。輻射敏感成像層105可包括,例如,EUV敏感無機光阻。合適的EUV敏感無機光阻可為金屬氧化物膜,例如基於錫氧化物的EUV敏感光阻。這樣的光阻(亦稱為成像層)以及其形成及使用係描述在,例如,於2019年5月9日所提出、公開為WO2019/217749、且發明名稱為「METHODS FOR MAKING EUV PATTERNABLE HARD MASKS」之國際專利申請案PCT/US2019/031618中;以及於2019年11月11日所提出、公開為WO2020/102085、且發明名稱為「METHODS FOR MAKING HARD MASKS USEFUL IN NEXT GENERATION LITHOGRAPHY」之國際專利申請案PCT/US2019/060742,其揭示內容係關於基於有機金屬的、可直接光圖案化的金屬氧化物膜之成分、沉積及圖案化以形成EUV光阻遮罩,其係併入本文中做為參考。如其中所述,根據各種實施例,輻射敏感的無機光阻可為旋塗膜(例如,使用濕式技術所沉積)或氣相沉積膜(例如,使用乾式技術所沉積)。在使用氣相沉積之例子中,輻射敏感成像層105可透過例如CVD、PECVD、ALD、PEALD、自組裝單層(SAM)之各種技術、或透過例如旋塗沉積之濕式技術而形成。在一些實施例中,輻射敏感成像層105可為另一類型之成像層,例如傳統的CAR或其它類型之光阻,其同樣可透過上述之乾式或濕式沉積方法之任何者而形成。
在一些實施例中,輻射敏感成像層105為金屬氧基聚合物光阻。在許多這樣的例子中,光阻結構包括被一或更多可輻射分解的(radiolysable)配位基所包圍之金屬原子。在從下方層104發出之不穩定物種之幫助下,裂解的金屬原子在光阻結構中進行交聯(例如,在暴露於圖案化輻射之後)。如上所述,在一些例子中,可使用其它類型之光阻。
在許多例子中,輻射敏感成像層105為聚合性及∕或可聚合的材料。輻射敏感成像層105可包括光聚合性、光分解的及∕或光交聯的一或更多材料。在各種實施例中,輻射敏感成像層105可為正型光阻或負型光阻。一示例性正型光阻為重氮萘醌(DNQ)-酚醛樹脂(Novolac)光阻。示例性負型光阻包括基於環氧化物的聚合物,例如SU-8光阻(可購自麻薩諸塞州Westborough之Kayaku Advanced Materials)、非化學計量硫醇烯(OSTE)聚合物(可購自瑞典斯德哥爾摩之Mercene Labs)、以及甲基丙烯酸甲酯。其它示例性光阻材料包括,但不限於,織狀玻璃及環氧化物(例如,FR-4、FR-5、G-10)、棉紙及環氧化物(例如,FR-6、CEM-1)、織狀玻璃及聚酯(例如,CEM-5)等之組合。
圖1A亦描繪出在堆疊中之各種材料之間之界面,以供參考。例如,界面111為在基板101與硬遮罩102之間之界面,界面112為在硬遮罩102與下方層104之間之界面,界面114為在下方層104與輻射敏感成像層105之間之界面。
如上所述,下方層104包括一或更多不穩定物種。在許多實施例中,不穩定物種為氫。可用於各種實施例中之其它不穩定物種包括,但不限於,氫之同位素(例如, 2H也稱為氘、及∕或 3H也稱為氚)、氧之同位素(例如, 16O、 17O、及∕或 18O)、及∕或羥基(例如,-OH)。隨著時間及∕或能量之施加,不穩定物種之一部分開始移動而離開下方層104。例如,部分的不穩定物種向上擴散至輻射敏感成像層105中,而部分的不穩定物種向下擴散至硬遮罩102中。
圖1B顯示出在圖1A中所示之材料堆疊內,在不穩定物種之任何實質性擴散之前,在不同位置處之不穩定物種之濃度分佈。圖1C顯示出在圖1A所示之材料堆疊內,在不穩定物種已經擴散到一定程度之後,在不同位置處之不穩定物種之濃度分佈。如圖1A中所示之界面111、112及114亦顯示在圖1B及1C中,以供參考。如圖1B中所示,在擴散之前,不穩定物種基本上集中在下方層104中,在界面112與114之間。如圖1C中所示,在擴散之後,不穩定物種亦存在於輻射敏感成像層105中(例如,在界面114上方)、以及在硬遮罩層102中(例如,在界面112下方)。不穩定物種之濃度在界面112及114處最高,並在遠離下方層104時下降。這樣的擴散可能在存在濃度差之情況下、在施加能量及時間推移後發生。
不穩定物種擴散出下方層104可能是有益的。例如,已經顯示,在下方層104與輻射敏感成像層105之間之交互作用提供了DtS之降低。這意味著,相較於在不存在下方層104之情況下所需之輻射,可使用較少的輻射而達成相同或改善的成像結果。不希望受限於理論或作用機制,不穩定物種(例如,氫或其它)之擴散提供了一種可能的機制,用於促進在 (i) 來自下方層104之不穩定物種與 (ii) 在輻射敏感成像層105內之金屬原子(或其它物種)之間之交互作用。
雖然不穩定物種從下方層104向上擴散至輻射敏感成像層105中是有益的,但這樣的物種向下擴散至硬遮罩102中則不是。因此,擴散阻障層可設置在下方層104與硬遮罩102之間,如圖2A所示。
根據本文中之各種實施例,圖2A描繪出具有材料堆疊於其上之基板201。材料堆疊包括硬遮罩202、擴散阻障層203、下方層204、以及輻射敏感成像層205。為了特定目的之需要,基板可包括額外的層。通常,硬遮罩202類似於硬遮罩102,下方層204類似於下方層104,且輻射敏感成像層205類似於輻射敏感成像層105。除非另有說明,否則與圖1A之實施例中之任何層有關之細節亦可應用於圖2A之實施例中之類似層。為了簡潔起見,這樣的細節將不會在此重複。
擴散阻障層203可包括各種各樣的成分。例如,擴散阻障層203可包括氧化物材料、氮化物材料、碳化物材料、矽、矽化物材料、硫化物材料、含金屬材料、或其組合。在擴散阻障層203包括氧化物材料之各種例子中,氧化物可為或包括金屬氧化物、矽氧化物、金屬氮氧化物、矽氮氧化物、金屬碳氧化物、矽碳氧化物、或其組合。在擴散阻障層203包括金屬(例如,金屬氧化物、金屬氮氧化物、金屬碳氧化物等之形式)之各種例子中,金屬可選自於由鋁、鈦、鉬、鎢、錫、或其組合所構成之群組。在擴散阻障層203包括氮化物材料之各種例子中,氮化物可為或包括金屬氮化物、矽氮化物、金屬氮氧化物、矽氮氧化物、或其組合。在擴散阻障層203包括金屬(例如,金屬氮化物、或金屬氮氧化物之形式)之各種例子中,金屬可選自於由鋁、鈦、鉬、鎢、錫、或其組合所構成之群組。在擴散阻障層203包括碳化物材料之各種實施例中,碳化物可為或包括金屬碳化物、矽碳化物、金屬碳氧化物、矽碳氧化物、或其組合。在擴散阻障層203包括金屬(例如,以金屬碳化物、或金屬碳氧化物之形式)之例子中,金屬可選自於由鋁、鈦、鉬、鎢、錫、或其組合所構成之群組。在一些實施例中,擴散阻障層203可為未摻雜的。在其它實施例中,擴散阻障層203可摻雜有一或更多摻質。示例性摻質包括,但不限於,氧及氮。在特定實施例中,擴散阻障層203為矽碳化物。在另一特定實施例中,擴散阻障層203為摻雜氧的矽碳化物。在另一特定實施例中,擴散阻障層203為摻雜氮的矽碳化物。在又一特定實施例中,擴散阻障層203為摻雜有氮及氧的矽碳化物。
擴散阻障層203可以若干方式形成。在許多例子中,使用基於氣相的沉積技術。示例性技術包括CVD、PECVD、ALD、PEALD、PVD等。在其它例子中,可使用濕式沉積技術,例如旋塗沉積。在某些實施例中,擴散阻障層203可具有特定厚度。例如,擴散阻障層可具有約3 nm、或約5 nm、或約10 nm、或約20 nm之最小厚度。在這些或其它實施例中,擴散阻障層可具有約100 nm、或約75 nm、或約50 nm、或約10 nm之最大厚度。擴散阻障層203之厚度將取決於用於該層之材料。例如,如以下參考表1之實驗結果所討論,在相同的條件下,100 Å厚之鋁氧化物擴散阻障層之表現比250 Å厚之摻雜氮的矽碳化物擴散阻障層更好。因此,據信,相較於其它類型之擴散阻障層(例如,含矽的擴散阻障層),含金屬的擴散阻障層可能在較小的厚度下為有效的。
用於形成擴散阻障層203之沉積條件將根據,例如,擴散阻障層203之材料以及用於沉積之技術而改變。示例性沉積溫度可低至約50℃或375℃、及∕或高至約300℃或400℃。示例性沉積壓力可低至約5 mTorr或約900 mTorr、及∕或高至約1 Torr或約300 Torr。在使用電漿之例子中,可使用各種類型之電漿,包括但不限於電容耦合電漿、感應耦合電漿、及變壓器耦合電漿。電漿可在一或更多頻率下產生,例如13.56 MHz及∕或27 MHz。電漿可在特定的功率位準下產生。功率位準可為約10 W或約90 W之最小值。在這些或其它例子中,功率位準可為約100 W或約10 kW之最大值。這些功率位準係指用以處理單一300 mm直徑基板所提供之功率,並且可根據其它尺寸基板之基板表面積而線性縮放。在使用電漿之情況下,電漿可為連續的或脈衝式的(例如,以低至約1 Hz且高達約10 kHz之頻率),具有低至約1%且高達約99%之工作週期。在一些例子中,在沉積期間可施加偏壓至基板,例如以控制離子能量或其它因子。類似地,這樣的偏壓可為連續的或脈衝式的。
用於形成擴散阻障層203之前驅物可包括導致關於擴散阻障層203所列出材料之形成之前驅物之任何組合。例如,在擴散阻障層203包括氧化物材料之例子中,提供含氧前驅物。同樣地,在擴散阻障層203包括氮化物材料之情況下,提供含氮前驅物;在擴散阻障層203包括碳化物材料之情況下,提供含碳前驅物;在擴散阻障層203包括金屬之情況下,提供含金屬前驅物;在擴散阻障層203包括矽或矽化物材料之情況下,提供含矽前驅物;在擴散阻障層203包括硫化物材料之情況下,提供含硫前驅物。為了特定應用之需要,可將這樣的前驅物加以組合。
在各種實施例中,擴散阻障層203可具有特定的性質。因為擴散阻障層203係主要提供以使特定方向上之擴散最小化,所以擴散阻障層203應該有效地防止或減少不穩定物種在相關處理條件下之移動。如上所述,在許多例子中,不穩定物種為氫。在這樣的例子中,擴散阻障層203應該有效地減少氫從下方層204移動至硬遮罩202中。在圖2A之實施例中之不穩定物種之擴散係參考圖2B及2C而進一步討論於下。
實驗結果顯示出某些材料做為擴散阻障層在減少不穩定物種之擴散上之有效性,其係關於圖 11、公式1及表1而討論於下。在各種實施例中,擴散阻障層有效地將不穩定物種(例如,氫及∕或其它)之擴散減少至少約60%、至少約70%、至少約80%、至少約90%、或至少約95%。此擴散減少(H blocking)可根據公式1來計算,其進一步討論於下。此處所提供之擴散值之減少可適用於特定溫度,例如約100°C、200°C、300°C、350°C、400°C、450°C、約500°C或介於這樣的溫度之任何兩者之間之溫度。
擴散阻障層203亦應該與其在基板上之堆疊中之相鄰材料相容。這有助於避免不想要的反應,並且有助於保持高品質的結果。這種相容性應適用於成分及處理條件。例如,關於處理條件,某些硬遮罩材料具有不應超過之溫度限制及∕或熱預算。在各種實施例中,非晶形碳硬遮罩不應遭受高於約360℃之溫度。在這樣的實施例中,形成擴散阻障層203之溫度應該為或低於約360℃。
在各種實施例中,擴散阻障層203具有非晶形的形態。這樣的形態可促進高品質的圖案轉移通過相關層。
擴散阻障層203之成分亦應該可,根據需要,使用與堆疊中之其它材料相容之適當化學品而進行高選擇性之蝕刻。相關地,擴散阻障層203應該與用於在擴散阻障層203與其相鄰層之間轉移圖案之蝕刻化學品相容。在許多例子中,含鹵化物的蝕刻化學品可用於在基板上之堆疊中之相關層之間轉移圖案。示例性的含鹵化物蝕刻化學品包括含氟蝕刻化學品(例如,CF 4、CHF 3、CH 3F、CH 2F 2、C 4F 8、HF、NF 3及SF 6等)、含溴蝕刻化學品(例如,BCl 3等)、以及含氯蝕刻化學品(例如Cl 2、HCl、BCl 3等)。
在一些例子中,擴散阻障層203可具有特定的密度。示例性最小密度包括,例如,約1 g/cm 3、或約2 g/cm 3。示例性最大密度包括,例如,約10 g/cm 3、或約5 g/cm 3
可能影響用於擴散阻障層203之材料之選擇之另一考量為成本。各種實施例可能偏好相對較不昂貴的材料,例如,因為其可使用通常可獲得的或容易製造的原料來製造。類似地,某些實施例可能偏好使用上相對較安全的材料∕原料。
在各種實施例中,擴散阻障層203係沉積為均質層。在一些其它實施例中,擴散阻障層203可沉積為非均質層。例如,它可被沉積以包括一或更多成分(例如,氧、氮、碳、矽、金屬、硫,或包括這些元素其中任何一或多者之材料)之梯度。在一些實施例中,擴散阻障層203可沉積為二或更多層,每一層獨立地具有本文中所述之擴散阻障層成分之任何者。如上所述,在一些例子中,擴散阻障層203為摻雜的。這樣的摻雜可為均勻的或具有梯度的(例如,在垂直於該等層之方向上,相較於界面213,摻質在界面212附近具有較高或較低的濃度)。在擴散阻障層203包括二或更多層之例子中,摻雜可存在於這些層其中之一些或所有層中。在特定範例中,摻雜可被限制於擴散阻障層203之最上層或最下層。
圖2B顯示出在圖2A中所示之材料堆疊內,在不穩定物種之任何實質性擴散之前,在不同位置處之不穩定物種之濃度分佈。圖2C顯示出在圖2A所示之材料堆疊內,在不穩定物種已經擴散到一定程度之後,在不同位置處之不穩定物種之濃度分佈。如圖2A中所示之界面211、212、213及214亦顯示在圖2B及2C中,以供參考。如圖2B中所示,在擴散之前,不穩定物種基本上集中在下方層204中,在界面213與214之間。如圖2C中所示,在擴散之後,不穩定物種亦存在於輻射敏感成像層205中(例如,在界面214上方)。在輻射敏感成像層205內,不穩定物種之濃度在界面214處最高,並在遠離下方層204時下降。這樣的擴散可能在施加能量及時間推移後發生。
尤其是,圖2C顯示,在擴散之後,很少或沒有不穩定物種存在於擴散阻障層203中(例如,在界面212與213之間)或硬遮罩202中(例如,在界面212下方)。擴散阻障層203之存在實質上減少或避免了不穩定物種從下方層204向下擴散至擴散阻障層203中及硬遮罩202中。因此,較高程度的不穩定物種從下方層204向上移動至輻射敏感成像層205中。當與圖1C進行比較時,圖2C顯示相對較多的不穩定物種擴散至輻射敏感成像層105∕205中。如上所述,不穩定物種之這種移動至輻射敏感成像層205中是有利的,至少因為其導致較低的DtS(相較於在不存在擴散阻障層203之情況下所需之)。
圖3A及3B繪示出在下方層與輻射敏感成像層之間之期望反應。不穩定物種從下方層擴散至輻射敏感成像層中、以及從輻射敏感成像層擴散至下方層中,促進了這些反應。在下方層下方使用擴散阻障層進一步增加了不穩定物種向上擴散至輻射敏感成像層中之量,如上所述。
顯示在圖3A及3B中之可能交互作用包括:金屬(M)原子從成像層305移動(或擴散)至下方層304中;及∕或不穩定物種(例如,在此範例中為氫(H)原子)從下方層304移動(或擴散)至輻射敏感成像層305中。不希望受限於機制,這樣的移動事件可提供在下方層與成像層之間之富有成效的交互作用,其接著可助於改善附著力及∕或DtS。
此外,可設計下方層及成像層之成分,以促進有利的反應,其接著可改善DtS。例如,如圖3A所示,成像層可包括具有輻射可裂解配位基(R)之基於錫的光阻。暴露於輻射(例如,EUV)後,配位基從金屬中心(例如,在許多例子中為錫中心)脫去,並在其位置處形成M-H鍵(例如,Sn-H鍵)。在曝光後烘烤(PEB)步驟之後,M-H鍵參與進一步的熱活化交聯反應,從而增加在曝光與未曝光光阻之間之材料性質差異。
因此,在一實例中,如圖3B所示,下方層304可包括配位基(R 1),其提供在暴露於EUV輻射後之可釋放的不穩定物種(例如,H原子或其它不穩定物種),從而形成反應後的配位基(R 1*)。可能的R 1基團包括,例如,可為直鏈或支鏈之選擇性取代的烷基。在輻射敏感成像層305中,EUV可裂解配位基R將提供脫去的配位基R*及反應性金屬中心(M,例如Sn)。來自下方層304之釋放的不穩定物種(例如,H原子)可促進在輻射敏感成像層305內之鍵之形成,該鍵係在來自輻射敏感成像層305之金屬與來自下方層304之不穩定物種之間(例如,M-H鍵,例如在此範例中為Sn-H鍵),從而減少DtS。如果下方層304亦包括氧(O)原子,那麼這樣的原子可在輻射敏感成像層305中形成M-O鍵(例如,Sn-O鍵),其可進一步減少DtS。此外,來自輻射敏感成像層305之金屬原子(例如,Sn原子)可擴散至下方層304中,從而允許產生額外的二次電子。
圖4提出了根據各種實施例之流程圖,描述部分製造的半導體元件之處理方法。此方法可在圖案化應用之背景中實施,例如,以定義及蝕刻圖案至基板之表面中。將參考圖2A所示之結構來描述該方法。當實施圖4之方法時,可應用以上關於圖2A中所示之結構(以及在圖1A中之類似層)所提出之任何細節。同樣地,當實施圖4之方法時,亦可應用以上關於圖3A及3B所提出之任何細節。圖4之方法係開始於操作401,其中擴散阻障層203係沉積在硬遮罩202上。
其次,在操作403,下方層204係沉積在擴散阻障層203上。接著,在操作405,輻射敏感成像層205係沉積在下方層204上。此時,在基板201上之材料堆疊完成,且基板201可使用光微影進行處理。在操作407,輻射敏感成像層205被圖案化,例如,藉由選擇性地將輻射敏感成像層205暴露於輻射,接著藉由選擇性地蝕刻輻射敏感成像層205之曝光或未曝光部分以顯影圖案。顯影可藉由乾式技術、濕式技術、或其組合而發生。
雖然未顯示於圖4中,但可進行一或更多額外的操作,以促進高品質的圖案形成∕轉移。這樣的操作通常包括在提高的溫度下烘烤基板,在一些例子中是在受控氣氛下。一個這樣的操作係稱為施加後烘烤(PAB),其可在操作405中沉積輻射敏感成像層之後、且在操作407中將輻射敏感成像層暴露於輻射之前發生。另一個這樣的操作係稱為曝光後烘烤(PEB),其可在操作407中將輻射敏感成像層暴露於輻射之後發生。另一個這樣的操作係稱為顯影後烘烤(PDB),其可在操作407中將輻射敏感成像層暴露於輻射、接著進行顯影之後發生。在烘烤操作期間可能發生之某些化學變化係關於,以上,圖3A及3B而進一步描述。
在施加後處理(例如,PAB)之例子中,具有控制的溫度、氣體環境(例如,空氣、H 2O、CO 2、CO、O 2、O 3、CH 4、CH 3OH、N 2、H 2、NH 3、N 2O、NO、Ar、He、或其混合物)或在真空下、及濕度之熱處理可使用在沉積之後及曝光之前,以改變在輻射敏感成像層205中之未曝光的金屬及∕或金屬氧化物或其它材料之成分。該變化可增加材料之EUV敏感度,因此在曝光及顯影之後可達成較低的DtS及邊緣粗糙度。在曝光後處理(例如PEB)之例子中,具有控制的溫度、氣體氣氛(例如空氣、H 2O、CO 2、CO、O 2、O 3、CH 4、CH 3OH、N 2、H 2、NH 3、N 2O、NO、Ar、He、或其混合物)或在真空下、及濕度之熱處理可用於改變輻射敏感成像層205之未曝光及曝光部分兩者之成分。該變化可增加在未曝光與曝光材料之間之成分∕材料性質差異、以及在未曝光與曝光材料之間之乾式顯影蝕刻氣體之蝕刻速率差異。藉此,可達成更高的蝕刻選擇性。由於改善的選擇性,可獲得較方形的PR輪廓,具有改善的表面粗糙度及∕或較少的光阻殘留物∕浮渣。在特定實施例中,可在空氣中以及在可選的濕度及CO 2存在下執行PEB。在顯影後處理(例如,PDB)之例子中,具有控制的溫度、氣體氣氛(例如空氣、H 2O、CO 2、CO、O 2、O 3、CH 4、CH 3OH、N 2、H 2、NH 3、N 2O、NO、Ar、He、或其混合物)或在真空下(例如,具有UV)、及濕氣之熱處理可用於改變輻射敏感成像層205之其餘部分之成分。在特定實施例中,PDB亦包括使用電漿(例如,包括O 2、O 3、Ar、He或其混合物)。PDB可增加材料之硬度,如果該膜將在蝕刻位於下方的基板時使用做為光阻遮罩的話,這將是有益的。在各種例子中,在替代實行例中,本文所述之熱處理可被遠端電漿處理代替,以增加反應性物種,以降低反應之能量障壁並且增加產能。遠端電漿可產生更多反應性自由基,因此可降低處理之反應溫度∕時間,從而增加產能。
其它步驟可包括原位量測,其中可在光微影處理期間評估物理及結構特徵(例如,臨界尺寸、膜厚度等)。實施原位量測之模組包括,例如,散射量測、橢圓偏振量測、下游質譜、及∕或電漿增強下游光學放射光譜模組。
回到圖4之實施例,在操作409,形成在輻射敏感成像層中之圖案係轉移穿過下方層204、擴散阻障層203、硬遮罩202、並且進入基板201。可進行一或更多蝕刻處理,以如所需地轉移圖案穿過相關層。在許多實施例中,使用基於電漿的蝕刻操作。
相關實施例可包括實質上較少的步驟。例如,一實施例包括操作401(例如,擴散阻障層之沉積),而沒有任何其它步驟。其它實施例可包括操作401與關於圖4所述之其它步驟之任何一或多者之組合。 設備
本揭示內容亦包括用以實施本文中所述之任何方法之任何設備。在一實施例中,用於沉積膜之設備包括:沉積模組,包括一腔室,用以沉積一或更多前驅物,以提供硬遮罩、擴散阻障層、下方層、及∕或成像層;圖案化模組,包括具有次30 nm波長輻射之來源之EUV光微影工具;及顯影模組,包括用以顯影包括這些層之膜之腔室。
該設備可更包括控制器,控制器具有用於這類模組之指令。在一實施例中,控制器包括一或更多記憶體裝置、一或更多處理器、及系統控制軟體,系統控制軟體係編碼有用於執行膜沉積之指令。其可包括指令用以:在沉積模組中,沉積一或更多前驅物,以提供擴散阻障層、下方層、及∕或成像層;在圖案化模組中,直接藉由EUV曝光、利用次30 nm解析度將該∕該等層進行圖案化,藉此在該膜內形成圖案;及在顯影模組中,顯影該膜。在特定實施例中,顯影模組係提供EUV曝光區域或EUV未曝光區域之去除,藉此在該膜內提供圖案。
圖5描繪處理站500之實施例之示意圖,處理站500具有處理腔室本體502,用於維持適合進行所述的氣相沉積及乾式顯影實施例之低壓環境。複數處理站500可包括在共同的低壓處理工具環境中。例如,圖6描繪多站式處理工具600之實施例。在一些實施例中,處理站500之一或更多硬體參數(包括以下所詳細討論者)可藉由一或更多電腦控制器550而以編程方式調整。
處理站可配置為叢集工具中之模組。圖8描繪具有真空整合式沉積及圖案化模組之半導體處理叢集工具架構,適用於進行本文中所述之實施例。這類叢集處理工具架構可包括PR及下方層沉積、光阻曝光(EUV掃描機)、光阻乾式顯影及蝕刻模組,如以上所述及以下進一步參照圖7及8所述。
在一些實施例中,可在同一模組中連續地執行某些處理功能,例如氣相沉積(例如,PECVD)、乾式顯影及蝕刻。本揭示內容之實施例係關於基板處理設備,該設備具有:處理腔室,包括基板支撐件;處理氣體源,與處理腔室及相關的流動控制硬體相連接;基板搬運硬體,與處理腔室相連接;及控制器,具有處理器及記憶體。在一些實行例中,處理器及記憶體係彼此通信連接,處理器操作係至少可操作地連接至流動控制及基板搬運硬體,記憶體係儲存用於實施本文中所述之圖案化結構之製造方法中之操作之電腦可執行指令。
例如,記憶體可儲存電腦可執行指令,用於提供設置在基板上之硬遮罩,例如藉由化學氣相沉積(例如,PECVD)。如上所述,合適的硬遮罩可為非晶形碳可灰化硬遮罩膜,例如未摻雜或摻雜有B或W。記憶體可進一步儲存指令,用於沉積擴散阻障層在硬遮罩∕基板上。合適的擴散阻障層係進一步討論於上。
記憶體可進一步儲存指令,用於沉積下方層在基板及∕或擴散阻障層上,其中下方層係配置以減少用於光阻之有效EUV曝光之EUV劑量,並且在一些例子中促進在相關層之間之附著。
記憶體可進一步儲存指令,用於形成EUV敏感無機光阻在光阻下方層上。合適的EUV敏感無機光阻可為金屬氧化物膜,例如基於錫氧化物的EUV敏感光阻,例如以上所述之。
回到圖5,處理站500與反應物輸送系統501a流體連通,反應物輸送系統501a用於將處理氣體輸送至分配噴淋頭506。反應物輸送系統501a可選地包括混合容器504,用於混合及∕或調節處理氣體以輸送至噴淋頭506。一或更多混合容器入口閥520可控制處理氣體至混合容器504之引入。當使用電漿暴露時,亦可將電漿輸送至噴淋頭506或可在處理站500中產生電漿。
圖5包括可選的汽化點503,用於將待供應至混合容器504之液體反應物汽化。在一些實施例中,液體流量控制器(LFC)可設置在汽化點503上游,以控制用於汽化及輸送至處理站500之液體之質流。例如,LFC可包括位於LFC下游之熱質流計(MFM)。接著,可調整LFC之柱塞閥,以因應於由比例-積分-微分(PID)控制器(與MFM係電性連接)所提供之反饋控制信號。
噴淋頭506將處理氣體朝向基板512分配。在圖5所示之實施例中,基板512位於噴淋頭506下方,並且顯示為置於基座508上。噴淋頭506可具有任何適當的形狀,並且可具有任何適當數目及配置之通口,用以分配處理氣體至基板512。
在一些實施例中,基座508可上升或下降,以使基板512暴露至在基板512與噴淋頭506之間之容積。應當了解,在一些實施例中,可藉由適當的電腦控制器550而編程地調整基座高度。
在某些實施例中,基座508可透過加熱器510來控制溫度。在一些實施例中,如所揭示的實施例所述,在已光圖案化的光阻之非電漿的熱暴露至鹵化氫乾式顯影化學品(例如,HBr或HCl)期間,可將基座508加熱至大於0°C且上達300°C或更高之溫度,例如50至120°C,例如約65至80°C。
此外,在一些實施例中,處理站500之壓力控制可藉由蝶形閥518來提供。如圖5之實施例中所示,蝶形閥518節流由下游真空泵(未顯示)所提供之真空。然而,在一些實施例中,處理站500之壓力控制亦可藉由改變一或更多氣體導入至處理站500之流率而加以調整。
在一些實施例中,噴淋頭506之位置可相對於基座508而加以調整,以改變在基板512與噴淋頭506之間之容積。此外,應當了解,在本揭示內容之範疇內,基座508及∕或噴淋頭506之垂直位置可藉由任何適當的機構而加以改變。在一些實施例中,基座508可包括旋轉軸,用於旋轉基板512之位向。應當了解,在一些實施例中,這些示例性調整其中一或更多者可藉由一或更多適當的電腦控制器550而編程地加以實施。
在可使用電漿時,例如在溫和的、基於電漿的乾式顯影實施例及∕或在相同腔室中實施之蝕刻操作中,噴淋頭506及基座508係電性連接至用以提供能量給電漿507之RF電源514及匹配網路516。在一些實施例中,可藉由控制處理站壓力、氣體濃度、RF源功率、RF源頻率、以及電漿功率脈衝時序其中一或多者而控制電漿能量。例如,可於任何適當功率下操作RF電源514及匹配網路516,以形成具有期望的自由基物種組成之電漿。合適功率之範例上達約500 W。同樣地,RF電源514可提供任何合適頻率的RF功率。在一些實施例中,RF電源514可配置以彼此獨立地控制高頻及低頻RF電源。低頻RF頻率之範例可包括,但不限於,在50 kHz與1000 kHz之間之頻率。高頻RF頻率之範例可包括,但不限於,在1.8 MHz與2.45 GHz之間之頻率(例如,大約13.56 MHz)。應當理解,可不連續地或連續地調變任何合適的參數,以提供用於表面反應之電漿能量。在一非限制性範例中,電漿功率可間歇地以脈衝式提供以減少對基板表面之離子轟擊(相對於連續供電的電漿)。射頻電源可以任何合適的工作週期而運作。合適的工作週期之範例包括,但不限於,介於約5% 與90% 之間之工作週期。可接受的處理壓力係介於約20 mTorr至5 Torr之間。
在一些範例中,RF功率可為連續的或在一或更多位準之間以脈衝式提供。如果使用脈衝式操作,則可在從1 Hz至1 MHz之範圍內之頻率下執行脈衝。在一些範例中,腔室壓力係保持在從5 mTorr至450 mTorr之範圍內之預定壓力下。在其它範例中,沉積及處理係在從5 mTorr至150 mTorr之範圍內之壓力下進行。在又其它範例中,沉積及處理係在從5 mTorr至35 mTorr之範圍內之壓力下進行。
在一些沉積處理中,電漿點燃係持續幾秒或更長時間之數量級。在某些實行例中,可使用更短的電漿點燃。這些可能為10 ms至1秒之數量級,通常大約為20至80 ms,其中一特定範例為50 ms。這種非常短的RF電漿點燃需要極快的電漿穩定。為了實現這一點,電漿產生器可配置為使得阻抗匹配被預先設定為特定電壓,而允許頻率為浮動。通常,高頻電漿係以大約13.56 MHz之RF頻率產生。在本文中所揭示之各種實施例中,允許頻率浮動至與該標準值不同的值。透過在將阻抗匹配固定至預定電壓之同時允許頻率浮動,電漿可更快地穩定,當使用與某些類型的沉積循環相關之非常短的電漿點燃時,此結果可能很重要。
在一些實施例中,用於控制器550之指令可透過輸入∕輸出控制(IOC)序列指令而提供。在一範例中,用於設定處理階段之條件之指令可包括在處理配方之相對應的配方階段中。在一些例子中,可依序地設置處理配方階段,使得用於處理階段之所有指令與該處理階段係同時執行。在一些實施例中,用以設定一或更多反應器參數之指令可包括在配方階段中。例如,配方階段可包括用於設定用於形成本文中所述之擴散阻障層或任何其它層之第一反應物及第二反應物之流率之指令。在一些實施例中,控制器550可包括下述關於圖6之系統控制器550之任何特徵。
如上所述,一或更多處理站可包括在多站式處理工具中。圖6顯示多站式處理工具600之實施例之示意圖,具有入站裝載室602及出站裝載室604,入站裝載室602及出站裝載室604其中任一者或兩者可包括遠端電漿源。在大氣壓力下之機器人606係用以將晶圓從晶舟(透過盒608而裝載)經由大氣埠610移動至入站裝載室602中。藉由機器人606將晶圓放置在入站裝載室602中之基座612上,關閉大氣埠610,並且抽空裝載室。在入站裝載室602包括遠端電漿源之情況中,可使晶圓在被導入處理腔室614之前、在裝載室中暴露至遠端電漿處理,以處理表面。此外,晶圓亦可在入站裝載室602中進行加熱,例如,以移除濕氣及吸附的氣體。接著,打開通往處理腔室614之腔室傳送埠616,另一機器人(未顯示)將晶圓放置在反應器中、且在反應器中所示之第一站之基座上,以進行處理。雖然圖6中所繪示之實施例包括裝載室,但應當了解,在一些實施例中,晶圓可直接進入處理站中。
在圖6所示之實施例中,所描繪的處理腔室614包括四處理站,編號為1到4。每一處理站具有加熱的基座(顯示於處理站1之618)及氣體管線入口。應當了解,在一些實施例中,各處理站可具有不同或多個目的。例如,在一些實施例中,處理站可在乾式顯影與蝕刻處理模式之間進行切換。額外或替代地,在一些實施例中,處理腔室614可包括一或更多匹配成對的乾式顯影及蝕刻處理站。儘管所描繪的處理腔室614包括四處理站,但應當理解,根據本揭示內容之處理腔室可具有任何適當數目之處理站。例如,在一些實施例中,處理腔室可具有五或更多處理站,然而在其它實施例中,處理腔室可具有三或更少處理站。
圖6描繪晶圓搬運系統690之實施例,用以在處理腔室614中傳送晶圓。在一些實施例中,晶圓搬運系統690可在各種處理站之間及∕或在處理站與裝載室之間傳送晶圓。應當了解,可採用任何適當的晶圓搬運系統。非限制性範例包括晶圓旋轉架及晶圓搬運機器人。圖6亦描繪系統控制器650之實施例,用以控制處理工具600之處理條件及硬體狀態。系統控制器650可包括一或更多記憶體裝置656、一或更多大容量儲存裝置654、及一或更多處理器652。處理器652可包括CPU或電腦、類比及∕或數位輸入∕輸出連接、步進馬達控制器板等。
在一些實施例中,系統控制器650控制處理工具600之所有活動。系統控制器650執行系統控制軟體658,系統控制軟體658係儲存於大容量儲存裝置654中、載入至記憶體裝置656中、並且在處理器652上執行。或者,可將控制邏輯硬編碼於控制器650中。為了這些目的,可使用特殊應用積體電路、可編程邏輯裝置(例如,場域可編程閘陣列,或FPGA)及類似者。在以下討論中,在使用「軟體」或「編碼」之任何情況中,可適當地使用功能上相似的硬編碼邏輯。系統控制軟體658可包括用以控制以下者之指令:時序、氣體之混合、氣體流率、腔室及∕或處理站壓力、腔室及∕或處理站溫度、晶圓溫度、目標功率位準、RF功率位準、基板基座、夾盤及∕或托座位置、及藉由處理工具600而執行之特定處理之其它參數。系統控制軟體658可以任何適當的方式加以配置。例如,可撰寫各種處理工具構件子程序或控制物件,以控制用於實行各種處理工具處理之處理工具構件之操作。系統控制軟體658可以任何適當的電腦可讀程式語言加以編碼。
在一些實施例中,系統控制軟體658可包括輸入∕輸出控制(IOC)序列指令,用以控制上述之各種參數。在一些實施例中,可採用儲存於與系統控制器650相聯繫之大容量儲存裝置654及∕或記憶體裝置656上之其它電腦軟體及∕或程式。用於此目的之程式或程式片段之範例包括基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包括用於處理工具構件之程式碼,用於將基板裝載至基座618上以及控制在基板與處理工具600之其它零件之間之間距。
處理氣體控制程式可包括用以控制氣體組成(例如,任何前驅物、蝕刻氣體、或其它處理氣體)及流率、以及可選地用以在沉積之前使氣體流動至一或更多處理站中以穩定處理站壓力之編碼。壓力控制程式可包括用以控制處理站內壓力之編碼,其係藉由調節,例如,在處理站之排氣系統中之節流閥、進入處理站之氣體流動等來控制。
加熱器控制程式可包括用以控制至加熱單元之電流之編碼,加熱單元係用以加熱基板。或者,加熱器控制程式可控制熱轉移氣體(例如,氦)至基板之傳送。
根據本文中之實施例,電漿控制程式可包括用以對施加至一或更多處理站中之處理電極之RF功率位準進行設定之編碼。
根據本文中之實施例,壓力控制程式可包括用以維持在反應腔室中之壓力之編碼。
在一些實施例中,可具有與系統控制器650相聯繫之使用者介面。使用者介面可包括顯示螢幕、設備及∕或處理條件之圖形軟體顯示、以及使用者輸入設備,例如指示設備、鍵盤、觸控螢幕、麥克風、等。
在一些實施例中,由系統控制器650所調整之參數可能與處理條件有關。非限制性範例包括處理氣體組成及流率、溫度、壓力、電漿條件(例如,RF偏壓功率位準、頻率、及曝光時間)等。這些參數可以配方之形式而提供給使用者,配方可利用使用者介面來輸入。
藉由系統控制器650之類比及∕或數位輸入連接,可自各種處理工具感測器而提供用以監控處理之訊號。用以控制處理之訊號可在處理工具600之類比及數位輸出連接上進行輸出。可受監控之處理工具感測器之非限制性範例包括質流控制器、壓力感測器(例如,壓力計)、熱偶等。適當編程的反饋及控制演算法可與來自這些感測器之資料一起用來維持處理條件。
系統控制器650可提供用以實施上述沉積處理之程式指令。程式指令可控制各種處理參數,例如直流(DC)功率位準、RF偏壓功率位準、壓力、溫度、等。根據本文中所述之各種實施例,指令可控制參數,以操作光阻下方層沉積處理。
典型地,系統控制器650將包括一或更多記憶體裝置、以及用以執行指令之一或更多處理器,使得設備將執行根據所揭示的實施例之方法。機器可讀媒體可耦接至系統控制器650,該機器可讀媒體包括用以根據所揭示的實施例而控制處理操作之指令。
在一些實行例中,系統控制器650為系統之一部分,其可為上述範例之一部分。這類系統可包括半導體處理設備,包括一或更多處理工具、一或更多腔室、用以進行處理之一或更多平台、及∕或特定的處理構件(晶圓基座、氣體流動系統、等)。這些系統可與電子元件整合,電子元件係用以於半導體晶圓或基板之處理之前、期間內、及之後控制它們的操作。電子元件可稱為「控制器」,控制器可控制一或更多系統之各種構件或子部分。根據處理條件及∕或系統類型,系統控制器650可被編程,以控制本文中所揭示的任何處理,包括處理氣體之輸送、溫度設定(例如,加熱及∕或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、定位及操作設定、晶圓傳遞進入與離開連接至特定系統或與特定系統接合之工具及其它傳遞工具及∕或裝載室。
廣義而言,系統控制器650可定義為具有用以接收指令、發出指令、控制操作、使清洗操作得以進行、使終點測量得以進行、及達成類似功能之各種積體電路、邏輯、記憶體、及∕或軟體之電子元件。積體電路可包括儲存程式指令之韌體形式之晶片、數位信號處理器(DSP)、定義為特殊應用積體電路(ASIC)之晶片、及∕或一或更多微處理器、或執行程式指令(例如,軟體)之微控制器。程式指令可為以各種單獨設定(或程式檔案)之形式通訊至系統控制器650之指令,定義了用以在半導體晶圓上、或對半導體晶圓、或對系統實施特定處理之操作參數。在一些實施例中,操作參數可為由製程工程師所定義以在晶圓之一或更多層、材料、金屬、氧化物、矽、二矽氧化物、表面、電路、及∕或晶粒之製造期間內完成一或更多處理步驟之配方之一部分。
在一些實行例中,系統控制器650可為電腦之一部分或耦接至電腦,該電腦與系統整合、耦接至系統、以其它方式網路連接至系統、或其組合。例如,系統控制器650可在「雲端」或晶圓廠主機電腦系統之全部或一部分中,允許晶圓處理之遠端控制。電腦可使得對系統之遠端控制得以進行,以監控製造操作之當前處理、檢驗過去製造操作之歷史記錄、檢驗複數製造操作之趨勢或效能評量、改變當前處理之參數、設定在當前處理之後之處理步驟、或開始新的處理。在一些範例中,遠端電腦(例如,伺服器)可透過網路而將處理配方提供至系統,網路可包括區域網路或網際網路。遠端電腦可包括使用者介面,使用者介面使得參數及∕或設定之輸入或編程得以進行,參數及∕或設定接著從遠端電腦被傳遞至該系統。在一些範例中,系統控制器650接收數據形式之指令,指令為待於一或更多操作期間內執行之處理步驟其中每一者指定了複數參數。應當了解,該等參數可針對待執行之處理類型、以及系統控制器650與其接合或對其進行控制之工具類型。因此,如上所述,系統控制器650可為分散式的,例如藉由包括以網路連接在一起並朝著共同目標(例如本文所述之處理及控制)工作之一或更多獨立控制器。用於這類目標之分散式控制器之範例為,與位於遠端(例如,在平台等級或做為遠端電腦之一部分)之一或更多積體電路進行通訊之腔室中之一或更多積體電路,其結合以控制腔室中之處理。
非限制性地,示例性系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、EUV微影腔室(掃描機)或模組、乾式顯影腔室或模組、及關於或用於半導體晶圓之加工及∕或製造之任何其它半導體處理系統。
如上所述,取決於待由工具所執行之一或更多處理步驟,系統控制器650可與下列之一或多者通訊:其它工具電路或模組、其它工具構件、叢集工具、其它工具介面、相鄰工具、鄰近工具、位於工廠各處之工具、主電腦、另一控制器、或在半導體製造工廠中將晶圓容器移入及移出工具位置及∕或裝載埠之材料傳送用工具。
現在描述感應耦合式電漿(ICP)反應器,在某些實施例中,其可適用於適合實行某些實施例之蝕刻操作。雖然本文中係描述ICP反應器,但應理解,在一些實施例中,亦可使用電容耦合式電漿反應器。
圖7概要地顯示感應耦合式電漿設備700之橫剖面圖,其適合實行某些實施例或實施例態樣(例如,氣相(乾式)沉積、乾式顯影及∕或蝕刻)。在其它實施例中,可使用具有進行本文中所述之乾式沉積、顯影及∕或蝕刻處理之功能之其它工具或工具類型來實施。
感應耦合式電漿設備700包括整體處理腔室724,其在結構上由腔室壁701及窗部711所界定。腔室壁701可由不鏽鋼或鋁所製成。窗部711可由石英或其它介電材料所製成。可選的內部電漿柵750將整體處理腔室分為上部子腔室702及下部子腔室703。在大部分實施例中,可將電漿柵750移除,從而利用由子腔室702及703所構成之腔室空間。夾盤717係位於下部子腔室703內靠近底部內表面處。夾盤717係用以接收及固持半導體晶圓719,以在其上實施蝕刻及沉積處理。夾盤717可為用以支撐晶圓719(當其存在時)之靜電夾盤。在一些實施例中,邊緣環(未顯示)環繞著夾盤717,且邊緣環之上表面與晶圓719(當存在於夾盤717上時)之頂表面大約為平面。夾盤717亦包括靜電電極,用以夾持與解夾持晶圓719。為此,可提供濾波器及DC箝位電源(未顯示)。亦可提供其它控制系統,以將晶圓719抬升而離開夾盤717。可利用RF電源723而使夾盤717帶電。RF電源723經由連接部727而連接至匹配電路721。匹配電路721經由連接部725而連接至夾盤717。以此方式,RF電源723係連接至夾盤717。在各種實施例中,可將靜電夾盤之偏壓電源設定為約50V、或取決於依據所揭示的實施例所執行之處理而設定為不同的偏壓電源。例如,偏壓電源可在約20 V與約100 V之間、或在約30 V與約150 V之間。
用於電漿產生之構件包括位於窗部711上方之線圈733。在一些實施例中,線圈沒有使用在所揭示的實施例中。線圈733係由導電材料所製成,並且包括至少一整圈。顯示於圖7之線圈733之範例包括三圈。線圈733之橫剖面係以符號顯示,其中具有「X」之線圈係旋轉延伸進入頁面,而具有「●」之線圈係旋轉延伸出頁面。用於電漿產生之構件亦包括RF電源741,用以將RF功率供應至線圈733。一般而言, RF電源741經由連接部745而連接至匹配電路739。匹配電路739經由連接部743而連接至線圈733。以此方式,RF電源741係連接至線圈733。可選的法拉第屏蔽749係位於線圈733與窗部711之間。法拉第屏蔽749可與線圈733維持相隔開之關係。在一些實施例中,法拉第屏蔽749係緊接位於窗部711上方。在一些實施例中,法拉第屏蔽係在窗部711與夾盤717之間。在一些實施例中,法拉第屏蔽749與線圈733並非維持相隔開之關係。例如,法拉第屏蔽649可直接在窗部711下方而沒有間隙。線圈733、法拉第屏蔽749、及窗部711每一者係配置為彼此實質上平行。法拉第屏蔽749防止金屬或其它物種沉積於處理腔室724之窗部711上。
處理氣體可經由位於上部子腔室702中之一或更多主氣流入口760、及∕或經由一或更多側氣流入口770而流入處理腔室。同樣地,雖然未明確顯示,類似的氣流入口可用於將處理氣體供應至電容耦合式電漿處理腔室。真空泵(例如,一或二級機械乾式泵及∕或渦輪分子泵)740可用於將處理氣體自處理腔室724抽出,並維持處理腔室724內之壓力。例如,在ALD之吹淨操作期間,真空泵可用於將下部子腔室703排空。閥控的管道可用於將真空泵流體連接至處理腔室724,以便選擇性地控制由真空泵所提供之真空環境之應用。在操作電漿處理期間,此可藉由採用閉迴路控制的限流裝置(例如,節流閥(未顯示)或鐘擺閥(未顯示))而達成。同樣地,亦可採用通往電容耦合式電漿處理腔室之真空泵及閥控的流體連接。
在設備700之操作期間,可經由氣流入口760及∕或770以供應一或更多處理氣體。在某些實施例中,可僅經由主氣流入口760、或僅經由側氣流入口770而供應處理氣體。在一些例子中,圖中所示之氣流入口可用,例如,更複雜的氣流入口、一或更多噴淋頭來取代。法拉第屏蔽749及∕或可選的柵750可包括容許處理氣體輸送至處理腔室724之內部通道及孔洞。法拉第屏蔽749及可選的柵750其中任一或兩者可做為噴淋頭以輸送處理氣體。在一些實施例中,液體汽化及輸送系統可位於處理腔室724之上游,使得一旦液體反應物或前驅物汽化時,經汽化的反應物或前驅物會經由氣流入口760及∕或770而被導入處理腔室724。
射頻功率係自RF電源741供應至線圈733,使得RF流流過線圈733。流過線圈733之RF流會產生電磁場在線圈733周圍。電磁場在上部子腔室702內產生感應電流。所產生之各種離子及自由基與晶圓719之物理及化學交互作用會蝕刻晶圓719之特徵部、並在晶圓719上選擇性地沉積膜層。
若使用電漿柵750,因而具有上部子腔室702及下部子腔室703兩者,則感應電流會作用於存在上部子腔室702中之氣體上,以在上部子腔室702中產生電子–離子電漿。可選的內部電漿柵750會限制下部子腔室703中之熱電子數量。在一些實施例中,設計並操作設備700,使得下部子腔室703中之電漿為離子–離子電漿。
上部之電子–離子電漿與下部之離子–離子電漿兩者皆可包括正及負離子,然而離子–離子電漿將具有較大的負離子對正離子比率。揮發性蝕刻及∕或沉積副產物可經由開口722而自下部子腔室703移除。本文所揭示的夾盤717可操作於在約10°C與約250°C之間之提高的溫度下。溫度將取決於處理操作及特定配方。
當安裝於無塵室或製造設施中時,設備700可耦接至廠務設施(未顯示)。廠務設施包括提供處理氣體、真空、溫度控制、以及環境微粒控制之管路。廠務設施係耦接至設備700,當安裝於目標製造設施中時。此外,設備700可耦接至傳送腔室,其容許機器人利用典型的自動化將半導體晶圓傳送進出設備700。
在一些實施例中,系統控制器730(其可包括一或更多實體或邏輯控制器)控制處理腔室724之一些或所有的操作。系統控制器730可包括一或更多記憶體裝置、以及一或更多處理器。在一些實施例中,設備700包括切換系統,用於在執行所揭示的實施例時控制流率及持續時間。在一些實施例中,設備700之切換時間可上達約500 ms、或上達約750 ms。切換時間可取決於流動化學品、所選擇的配方、反應器架構、及其它因素。
在一些實行例中,系統控制器730為系統之一部分,其可為上述範例之一部分。這類系統可包括半導體處理設備,包括一或更多處理工具、一或更多腔室、用以進行處理之一或更多平台、及∕或特定之處理構件(晶圓基座、氣體流動系統、等)。這些系統可與電子元件整合,以用於在半導體晶圓或基板之處理之前、期間內、及之後控制這些系統之操作。電子元件可整合在系統控制器730中,系統控制器730可控制一或更多系統之各種構件或子部分。根據處理參數及∕或系統類型,系統控制器可被編程,以控制本文所揭示的任何處理,包括處理氣體之輸送、溫度設定(例如,加熱及∕或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、定位及操作設定、晶圓傳遞進入與離開連接至特定系統或與特定系統接合之工具及其它傳遞工具及∕或裝載室。
廣義而言,系統控制器730可定義為具有用以接收指令、發出指令、控制操作、使清洗操作得以進行、使終點測量得以進行、及達成類似功能之各種積體電路、邏輯、記憶體、及∕或軟體之電子元件。積體電路可包括儲存程式指令之韌體形式之晶片、數位信號處理器(DSP)、定義為特殊應用積體電路(ASIC)之晶片、及∕或一或更多微處理器、或執行程式指令(例如,軟體)之微控制器。程式指令可為以各種單獨設定(或程式檔案)之形式通訊至控制器之指令,定義了用以在半導體晶圓上、或對半導體晶圓、或對系統實施特定處理之操作參數。在一些實施例中,操作參數可為由製程工程師所定義以在晶圓之一或更多層、材料、金屬、氧化物、矽、二矽氧化物、表面、電路、及∕或晶粒之製造或去除期間內完成一或更多處理步驟之配方之一部分。
在一些實行例中,系統控制器730可為電腦之一部分或耦接至電腦,該電腦與系統整合、耦接至系統、以其它方式網路連接至系統、或其組合。例如,控制器可在「雲端」或晶圓廠主機電腦系統之全部或一部分中,允許晶圓處理之遠端控制。電腦可使得對系統之遠端控制得以進行,以監控製造操作之當前處理、檢驗過去製造操作之歷史記錄、檢驗複數製造操作之趨勢或效能評量、改變當前處理之參數、設定在當前處理之後之處理步驟、或開始新的處理。在一些範例中,遠端電腦(例如,伺服器)可透過網路而將處理配方提供至系統,網路可包括區域網路或網際網路。遠端電腦可包括使用者介面,使用者介面使得參數及∕或設定之輸入或編程得以進行,參數及∕或設定接著從遠端電腦被傳遞至該系統。在一些範例中,系統控制器730接收數據形式之指令,指令為待於一或更多操作期間內執行之處理步驟其中每一者指定了複數參數。應當了解,該等參數可針對待執行之處理類型、以及控制器與其接合或對其進行控制之工具類型。因此,如上所述,系統控制器730可為分散式的,例如藉由包括以網路連接在一起並朝著共同目標(例如本文中所述之處理及控制)工作之一或更多獨立控制器。用於這類目標之分散式控制器之範例為,與位於遠端(例如,在平台等級或做為遠端電腦之一部分)之一或更多積體電路進行通訊之腔室中之一或更多積體電路,其結合以控制腔室中之處理。
非限制性地,示例性系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(例如,PECVD)腔室或模組、ALD腔室或模組、ALE腔室或模組、離子植入腔室或模組、軌道腔室或模組、EUV微影腔室(掃描機)或模組、乾式顯影腔室或模組、及關於或用於半導體晶圓之加工及∕或製造之任何其它半導體處理系統。
如上所述,取決於待由工具所執行之一或更多處理步驟,控制器可與下列之一或多者通訊:其它工具電路或模組、其它工具構件、叢集工具、其它工具介面、相鄰工具、鄰近工具、位於工廠各處之工具、主電腦、另一控制器、或在半導體製造工廠中將晶圓容器移入及移出工具位置及∕或裝載埠之材料傳送用工具。
EUVL圖案化之實施可利用任何合適的工具,通常被稱為掃描機,例如由Veldhoven, NL之ASML所提供之TWINSCAN NXE: 3300B®平台。EUVL圖案化工具可為獨立的設備,基板被移入其中或自其移出,以進行本文中所述之沉積與蝕刻。或者,如下所述,EUVL圖案化工具可為在較大的多構件工具上之模組。圖8描繪出半導體處理叢集工具架構800,其具有與真空傳送模組接合之真空整合式沉積、EUV圖案化、及乾式顯影∕蝕刻模組,適用於進行本文中所述之處理。雖然可在缺少這類真空整合設備之情況下實施該等處理,但這類設備在某些實行例中可能是有利的。
圖8描繪出半導體處理叢集工具架構,其具有與真空傳送模組接合之真空整合式沉積及圖案化模組,適用於進行本文中所述之處理。用於在多個儲存裝置與處理模組之間「傳送」晶圓之傳送模組之配置可被稱為「叢集工具架構」系統。根據特定處理之需求,沉積及圖案化模組是真空整合式的。在該叢集上亦可包括其它模組(例如,用於蝕刻)。
真空傳送模組(VTM)838與四個處理模組820a-820d接合,其可各別進行最佳化以執行各種製造處理。做為一範例,處理模組820a-820d可用於執行沉積、微影操作、蒸發、ELD、乾式顯影、蝕刻、剝除、及∕或其它半導體處理。例如,模組820a可為沉積反應器,其可操作以沉積在圖2A中所示之一或更多層。模組820b沉積工具可操作以沉積在圖2A中所示之一或更多其它層,除了由模組820a所沉積之那些層。可提供任何數量之沉積模組或其它模組。模組820c可操作以執行一或更多光微影相關的操作,例如曝光操作、烘烤操作、顯影操作等。模組820d可操作以執行蝕刻,例如,以將圖案由輻射敏感成像層轉移至位於下方的層。應當理解,圖式未必按比例繪製。
氣室842及846(亦稱為裝載室或傳送模組)與VTM 838及圖案化模組840接合。例如,如上所述,合適的圖案化模組可為TWINSCAN NXE: 3300B®平台(由Veldhoven, NL之ASML提供)。此工具架構容許工作件(例如,半導體基板或晶圓)在真空下傳送,以便不在曝光之前反應。沉積模組與微影工具之整合係藉由以下事實促成:考慮到環境氣體(例如,H 2O、O 2等)對於入射光子之強烈光學吸收性,EUVL亦需要大幅降低的壓力。
如上所述,此整合架構僅為用於實行所述處理之工具之一可能實施例。該等處理之實行亦可使用較習知的獨立EUVL掃描機及沉積反應器做為模組,其為獨立的或與其它工具(例如,蝕刻、剝除等)一同整合於叢集架構中,例如參考圖8所述(但沒有整合式圖案化模組)。
氣室842可為「輸出」負載室,代表將基板從供沉積模組820a使用之VTM 838傳出至圖案化模組840,而氣室846可為「輸入」負載室,表示將基板從圖案化模組840傳送回VTM 838。輸入負載室846亦可做為至工具外部之接合部,以用於基板之進出。每一處理模組具有將該模組接合至VTM 838之維面(facet)。例如,沉積處理模組820a具有維面836。在每一維面內,感測器(例如,圖中所示之感測器1-18)用以,當晶圓826在個別的站與站之間移動時,偵測晶圓之通過。圖案化模組840及氣室842及846可類似地裝配有額外的維面及感測器(未顯示)。
主要VTM機器人822在模組(包括氣室842及846)之間傳送晶圓826。在一實施例中,機器人822具有一手臂,而在另一實施例中,機器人822具有兩手臂,其中每一手臂具有一末端效應器824以拾取晶圓(例如,晶圓826)而進行輸送。前端機器人844係用於將晶圓826自輸出氣室842傳送至圖案化模組840中、自圖案化模組840傳送至輸入氣室846中。前端機器人844亦可在輸入負載室與工具外部之間輸送晶圓826,以用於基板之進出。由於輸入氣室模組846能夠匹配在大氣與真空之間之環境,所以晶圓826能在這兩個壓力環境之間移動而不會受損。
應當注意,相較於沉積工具,EUVL工具通常在較高的真空下操作。如果情況是如此,則期望在由沉積傳送至EUVL工具期間增加基板之真空環境,以容許基板在進入圖案化工具之前進行除氣。輸出氣室842可提供此功能,藉由將所傳送的晶圓維持在較低壓力(不高於圖案化模組840中之壓力)一段時間並抽空任何離去氣體,使得圖案化工具840之光學元件不會被來自基板之離去氣體所污染。輸出離去氣體氣室之合適壓力為不超過1E-8 Torr。
在一些實施例中,系統控制器850(其可包括一或更多實體或邏輯控制器)控制叢集工具及∕或其個別模組之一些或所有操作。應當注意,控制器可在叢集架構本地、或可位於製造樓層中之叢集架構之外部、或位在遠端位置並經由網路連接至叢集架構。系統控制器850可包括一或更多記憶體裝置及一或更多處理器。處理器可包括中央處理單元(CPU)或電腦、類比及∕或數位輸入∕輸出連接、步進馬達控制板、及其它類似構件。在處理器上執行用以實施合適的控制操作之複數指令。這些指令可儲存於與控制器相連之記憶體裝置上、或可透過網路而提供。在某些實施例中,系統控制器執行系統控制軟體。
系統控制軟體可包括用以控制任何工具或模組操作之實施態樣之應用與規模之時序之指令。系統控制軟體可以任何適當的方式配置。例如,可編寫各種處理工具構件子程序或控制物件,以控制實施各種處理工具程序所需之處理工具構件之操作。系統控制軟體可以任何合適的電腦可讀程式語言加以編碼。在一些實施例中,系統控制軟體包括輸入∕輸出控制(IOC)序列指令,用以控制上述之各種參數。例如,半導體製造處理之每一階段可包括由系統控制器所執行之一或更多指令。例如,用以設定凝結、沉積、蒸發、圖案化及∕或蝕刻階段之處理條件之指令可包括在相對應的配方階段中。
在各種實施例中,提出了用以形成負型及∕或正型圖案遮罩之設備。該設備可包括用於圖案化、沉積及蝕刻之處理腔室、以及包括用於形成該圖案遮罩之指令之控制器。指令可包括用於,在處理腔室中,執行下列者之編碼:藉由EUV曝光而使基板表面曝光,以在半導體基板上之輻射敏感成像層中圖案化特徵部;使已光圖案化的光阻進行乾式顯影;以及使用已圖案化的光阻做為遮罩以蝕刻位於下方的層或層堆疊。
應當注意,控制晶圓移動之電腦可在叢集架構本地、或可位於製造樓層中之叢集架構之外部、或位在遠端位置並經由網路連接至叢集架構。如以上關於圖5、6或7之任何者所述之控制器可與圖8中之工具一起實行。
圖9顯示出沉積腔室(例如,用於基於氣相的沉積,例如用於成像層及∕或下方層)之範例。如圖所示,設備900包括處理腔室902,處理腔室902具有蓋體908及晶圓傳遞通道904,其尺寸被設計成允許基板922通過其並且被放置在晶圓支撐件924上。晶圓傳遞通道904可具有閘閥906或類似的門機構,其可被操作以密封或開啟晶圓傳遞通道。例如,透過位於鄰接的傳遞腔室中之晶圓搬運機器人,可提供基板922給處理腔室902。
晶圓支撐件924可包括ESC 926,以提供晶圓支撐表面給基板922。ESC 926可包括底板934,其結合至頂板928之頂表面。在所描繪的範例中,頂板928具有二獨立的電力系統嵌入在其內。一種這樣的系統為靜電夾持電極系統,其具有一或更多夾持電極932以在基板922內產生電荷,導致基板922被吸引而靠著頂板928之晶圓支撐表面。
另一系統為熱控制系統,在處理條件期間控制基板922之溫度。在圖9中,熱控制系統之特徵為位於夾持電極932下方之四個環形電阻式加熱器跡線930a、930b、930c及930d。各電阻式加熱器跡線930a/b/c/d可單獨地控制以在頂板928中提供各種徑向加熱曲線,例如,以保持基板922而具有 ±0.5℃ 之溫度均勻性,在一些例子中。其它實行例可使用具有多於或少於四個區域之單區域或多區域加熱系統。在例如以上所討論之溫度控制機制之一些實行例中,可使用熱泵或帕耳帖連接來代替電阻式加熱跡線。
ESC 926亦可包括底板934,以提供結構支撐給頂板928之下側,且其亦可做為散熱系統。例如,底板934可包括一或更多熱交換通道936;以及熱交換介質,例如水或惰性氟化液體,可在使用期間循環通過熱交換通道936。
ESC 926可由晶圓支撐座942所支撐,其與晶圓支撐柱944連接並由其所支撐。晶圓支撐柱944可具有佈線通道948其它直通用於佈線纜線(例如,用於提供電力)、流體流動管線(例如,用於輸送熱交換介質)、以及其它設備至底板934及∕或頂板928之下側。
圖9之設備900亦包括晶圓支撐z-致動器946,其可提供可移動的支撐給晶圓支撐柱944。晶圓支撐z-致動器946可被致動,以導致晶圓支撐柱944、及由其所支撐之晶圓支撐件924在處理腔室902之反應空間920內垂直地向上或向下移動,例如上達幾英寸。如此做時,在基板922與噴淋頭910下側之間之間隙距離X可根據各種處理條件而加以調整。
晶圓支撐件924亦可包括一或更多邊緣環,其可用於控制及∕或微調各種處理條件。在圖9中,提供上邊緣環938,其位於,例如,下邊緣環940a及940b之頂部上,下邊緣環940a及940b接著由晶圓支撐座942及第三下邊緣環940c所支撐。
設備900亦可包括用於在處理結束期間及之後從處理腔室902中去除處理氣體之系統。例如,處理腔室902可包括環繞著晶圓支撐柱944之環形氣室956。環形氣室956可接著與真空前級952流體連接,真空前級952可與真空泵連接。調節閥954可設置在真空前級952與處理腔室902之間,並被致動以控制進入真空前級952之流動。在一些實行例中,可提供擋板950,例如,環形板或可用於使進入環形氣室956之流動更均勻地分佈在晶圓支撐柱944之周邊周圍之其它結構,以減少在流過基板722之反應物中產生流動不均勻性之機會。
如圖所示,噴淋頭910係雙氣室噴淋頭910,包括經由第一入口916提供處理氣體之第一氣室912、以及經由第二入口918提供處理氣體之第二氣室914。可使用二或更多氣室,以在釋放一或更多前驅物及一或更多相對反應物(counter-reactant)之前,維持在所述前驅物與所述相對反應物之間之分離。在一些情況下,單一氣室係用於將一或更多前驅物輸送至處理腔室902之反應空間920中。各氣室可具有對應的一組氣體分配開口,其透過噴淋頭910之面板而流體連接個別的氣室與反應空間920(面板係插設於最下方氣室與反應空間920之間之噴淋頭910之部分)。
噴淋頭910之第一入口916及第二入口918可經由氣體供應系統而提供處理氣體,氣體供應系統可配置以提供一或更多前驅物及∕或相對反應物,如本文中所討論。第一閥歧管968a可配置以提供一或更多前驅物至第一入口916,而第二閥歧管968b可配置以提供一或更多其它前驅物或其它反應物至第二入口918。在此範例中,第一閥歧管968a,例如,包括複數閥A1-A5。閥A2可,例如,為三通閥,其具有與第一汽化器972a流體連接之一端口、與旁通管線970a流體連接之另一端口、以及與另一三通閥A3上之端口流體連接之第三端口。類似地,閥A4可為另一三通閥,其具有與第二汽化器972b流體連接之一端口、與旁通管線970a流體連接之另一端口、以及與另一三通閥A5上之端口流體連接之第三端口。閥A5上之其它端口其中一者可與第一入口916流體連接,而閥A5上之其餘端口可與閥A3上之其餘端口其中一者流體連接。閥A3上之其餘端口可接著與閥A1流體連接,閥A1可流體插設於閥A3與吹淨氣體源974之間,吹淨氣體源974為,例如,氮、氬或其它合適的惰性氣體(相對於前驅物及∕或相對反應物)。在一些實施例中,僅使用第一閥歧管。
為了本揭示內容之目的,術語「流體連接」係就可彼此連接以形成流體連接之容積、氣室、孔洞等而使用,類似於術語「電連接」係就連接在一起以形成電連接之構件而使用。若使用的話,術語「流體插設」可用於表示與至少二其它構件、容積、氣室、或孔洞而流體連接之構件、容積、氣室、或孔洞,俾使從那些其它構件、容積、氣室、或孔洞其中一者流至那些構件、容積、氣室、或孔洞其中之其它或另一者之流體,在到達那些構件、容積、氣室、或孔洞其中之該其它或另一者之前,將首先流過該「流體插設」構件。例如,如果泵係流體插設在貯存槽與出口之間,則從貯存槽流至出口之流體在到達出口之前將首先流過泵。
例如,第一閥歧管968a可為可控制的以導致來自汽化器972a及972b其中一或兩者之蒸氣流至處理腔室902、或通過第一旁通管線970a並進入真空前級952,例如藉由閥A1-A5之受控致動。第一閥歧管968a亦可為可控制的以導致吹淨氣體從吹淨氣體源974流出並且進入第一入口916。
應當理解,第二閥歧管968b可以類似的方式加以控制,例如藉由控制閥B1-B5,以將來自汽化器972c及972d之蒸氣提供至第二入口918或第二旁通管線970b。亦應理解,亦可使用不同的歧管配置,包括單一單件式歧管,其包括用於控制一或更多前驅物、一或更多相對反應物或其它反應物流至第一入口916及第二入口918之閥。
如先前所述,一些設備900之特徵可為具有較少數量之蒸氣源,例如,只有二汽化器972,在此例子中,一或更多閥歧管968可修改為具有較少數量之閥,例如,僅有閥A1-A3。
如上所述,可用於提供膜之乾式沉積之設備(例如,設備900)可配置以維持處理腔室902內之特定溫度分佈。具體而言,這樣的設備900可配置以將基板922維持於比設備902之大多數裝置(其與一或更多前驅物及∕或一或更多相對反應物係直接接觸)更低之溫度,例如至少低25°C至50°C。
為了提供溫度控制,在設備900中可包括各種加熱系統。例如,處理腔室902可具有用於容納筒式加熱器958之插口,例如用於容納筒式加熱器958之垂直孔洞可被鑽入腔室902殼體之四角落中。在一些實行例中,噴淋頭910可覆蓋有加熱器毯960,其可用於施加熱在噴淋頭910之整個暴露上表面,以保持提高的噴淋頭溫度。用於將汽化的反應物從汽化器972引導至噴淋頭910之各種氣體管線之加熱亦可能是有益的。例如,電阻式加熱帶可纏繞在這樣的氣體管線周圍,並用於將其加熱至提高的溫度。在圖9中之任何氣體管線甚至閘閥906可被主動地或間接地加熱。
設備900之各種操作系統可由控制器984加以控制,控制器984可包括一或更多處理器986及一或更多記憶體裝置988,它們彼此可操作地連接並且與設備900之各種系統及子系統通信連接,以便為那些系統提供控制功能。例如,控制器984可配置以控制閥A1-A5及B1-B5、各種加熱器958、960、汽化器972、調節閥954、閘閥906、晶圓支撐件z-致動器、等等。
設備900可包括之另一特徵係顯示在圖10中,圖10描繪出圖9之基板922、頂板928及上邊緣環938之一部分之特寫側剖面圖及俯視圖。如圖中可見,在一些實行例中,基板922可藉由複數檯面976而從頂板928之大部分抬高,檯面976可為淺凸台,其從頂板928之標稱上表面突出一小距離,以在基板922之下側與頂板928之大部分之間提供背側間隙978。周向壁特徵部977可提供於頂板928之周緣。周向壁特徵部977可延伸於頂板928之整個邊緣周圍,且具有與檯面976標稱上相同的高度。在處理操作期間,一般惰性氣體(例如,氦)可透過一或更多氣體端口982而流入背側間隙978。此氣體可接著在遇到周向壁特徵部977之前徑向朝外流動,周向壁特徵部977可接著限制這樣的徑向朝外流動,並且使氣體之較高壓力區域落在基板922與頂板928之間。漏出周向壁977之惰性氣體可能最終會透過在基板922外邊緣與上邊緣環938之一部分之間的徑向間隙980流出。藉由防止由噴淋頭910所釋出之氣體到達基板922下側,這樣的氣體可用於保護基板下側免於受到執行之處理操作不良地影響。同時,釋放至背側間隙978區域中之氣體亦可作用以增加在基板922與頂板928之間之熱耦合,從而允許頂板928更有效地加熱或冷卻基板922。由於周向壁所提供之較高壓力,所以在背側間隙978區域內之氣體亦可比在腔室其它處之氣體處於更高的密度,因此可在基板922與頂板928之間提供更有效的熱耦合。
控制器984可配置以,例如透過電腦可執行指令之執行,使設備900執行與以上所提供之揭示內容一致之各種操作。
一旦成像層及∕或下方層已經沉積在基板922上,如上所述,可將基板922轉移至一或更多後續的處理腔室或工具以進行其它操作(例如,本文中所述之任何者)。其它沉積設備係描述於2020年6月22日所提出、且發明名稱為「APPARATUS FOR PHOTORESIST DRY DEPOSITION」之國際專利申請案PCT/US2020/038968中,其全部內容係併入本文中做為參考。 實驗
圖11描繪出實驗結果,其顯示擴散阻障層在不同溫度下減少不穩定物種之擴散之有效性。在此實驗中,不穩定物種為氫。測試了三基板,各基板具有圖12A-12C中所示之結構其中一者。
如圖12A所示,第一基板1200a包括在矽基板1201上方之低溫熱沉積矽氮化物層1204。已知,低溫熱沉積矽氮化物包括大量的氫,其可做為不穩定物種。與第一基板1200a相關之結果係顯示在圖11中之線1101。
如圖12B所示,第二基板1200b包括矽基板1201及低溫熱沉積矽氮化物層1204,其覆蓋有由氮摻雜的矽碳化物1203所製成之擴散阻障層。與第二基板1200b相關之結果係顯示在圖11中之線1102。應當理解,雖然圖12B顯示由氮摻雜的矽碳化物1203所製成之擴散阻障層係位於低溫熱沉積矽氮化物層1204上方,這僅用於實驗及擴散評估之目的。在本文中所述之各種光微影實施例中,例如關於圖2A所述,這些層被調換,俾使擴散阻障層203位於產生不穩定物種之層(例如,下方層204)下方。
如圖12C所示,第三基板1200c包括在矽基板1201上方之一層氮摻雜的矽碳化物1203。與第三基板1200c相關之結果係顯示在圖11中之線1103。一般來說,第一及第二基板1200a及1200b之矽氮化物層1204是類似的,第二及第三基板1200b及1200c之氮摻雜的矽碳化物層1203是類似的。矽氮化物層1204之厚度約為100 nm,氮摻雜的矽碳化物層1203之厚度約為250 Å。
在不同溫度下加熱基板1200a-1200c,並且測量與在暴露層中氫數量相對應之信號。圖11顯示出沿著x軸之不同溫度、以及沿著y軸之信號強度。通常,沿著y軸之較高信號強度係對應於在基板上之暴露層中之較高氫數量。
在圖11中之數據可用於計算擴散阻障層(例如,在此範例中之氮摻雜的矽碳化物層1203)在特定溫度下阻擋不穩定物種(例如,在此範例中之氫)從第一層(例如,在此範例中之矽氮化物層1204)擴散通過擴散阻障層之有效程度。以下的公式1提供一示例性計算: 公式1 其中: a = 信號強度,來自具有產生不穩定物種之暴露層、沒有任何覆蓋層之基板(例如,來自圖12A之第一基板1200a,對應於圖11中之線1101), b = 信號強度,來自具有暴露的擴散阻障層之基板,擴散阻障層係在產生不穩定物種之層上方(例如,來自圖12B之第二基板1200b,對應於圖11中之線1102),及 c = 信號強度,來自具有暴露的擴散阻障層之基板,沒有任何其它產生不穩定物種之層(例如,來自圖12C之第三基板1200c,對應於圖11中之線1103)。
在公式1中,H blocking係表示為百分比,並且可對於所測試之各溫度進行計算。雖然圖11顯示出標示在不同溫度下之a、b及c,但這僅僅是為了說明之目的。在計算H blocking時,會考慮在特定溫度下之信號強度。此外,雖然公式1明確地敘述H blocking,但應理解,同樣的技術亦可用於評估其它不穩定物種之擴散。
在相關實驗中,測試了一系列可能的擴散阻障層,如關於圖11所述之,並且計算在不同溫度下之各層之H blocking程度。擴散阻障層之厚度範圍在大約50-250 Å之間。在所測試之不同類型之擴散阻障層中,顯示出最佳H blocking效能的是鋁氧化物及氮摻雜的矽碳化物。以下的表1說明了此實驗之最有希望的結果,顯示出在450°C及500°C之結果。 [表1]
膜厚度 (Å) 在450°C下之H blocking(%) 在500°C下之H blocking(%)
鋁氧化物 100 95 96
N摻雜的 矽碳化物 250 90 82
這些實驗顯示出,擴散阻障層可非常有效地減少不穩定物種從第一層擴散至第二層中。如以上關於圖1A-1C及2A-2C(尤其是圖1C及2C)所述,減少不穩定物種在一方向上之擴散(例如,從下方層向下進入位於下方的層,例如擴散阻障層、硬遮罩層等)會導致在相反方向上(例如,從下方層向上進入覆蓋層,例如輻射敏感成像層)之相對較大的擴散。此相反的∕向上的擴散係導致期望的化學變化,例如在輻射敏感成像層內,如關於圖3A及3B所述。因此,在下方層與硬遮罩之間包含擴散阻障層,如圖2A所示,可用於使不穩定物種擴散至輻射敏感成像層中最大化,從而使由於此擴散而在輻射敏感成像層內發生之期望的化學變化最大化。 定義
「脂族」係指具有至少一個碳原子至50個碳原子(C 1-50)(例如,一至25個碳原子(C 1-25)、或一至十個碳原子(C 1-10))之烴基,且其包括烷(或烷基)、烯(或烯基)、炔(或炔基),包括其環狀形式,且更包括直鏈及支鏈排列,以及所有立體及位置異構物。這樣的脂族可為未受取代的或被一或更多基團(例如本文中關於烷基團所述之基團)所取代。
「烯基」係指具有一或更多雙鍵之選擇性取代的C 2-24烷基團。烯基團可為有環的(例如,C 3-24環烯基)或無環的。烯基團亦可為經取代或未經取代的。例如,烯基團可以一或更多取代基(如本文中關於烷基所述者)加以取代。
「烷氧基」係指-OR,其中R為選擇性取代的烷基團(如本文中所述)。示例性的烷氧基包含甲氧基、乙氧基、丁氧基、三鹵烷氧基(例如,三氟甲氧基)等。烷氧基可為經取代或未經取代的。例如,烷氧基可以一或更多取代基(如本文中關於烷基所述者)加以取代。未經取代的烷氧基之範例包含C 1-3、C 1-6、C 1-12、C 1-16、C 1-18、C 1‑20、或C 1-24烷氧基。
「烷基」及字首「alk」係指1至24個碳原子之支鏈或直鏈的飽和烴基團,例如甲基(Me)、乙基(Et)、正丙基( n-Pr)、異丙基( i-Pr)、環丙基、正丁基( n-Bu)、異丁基( i-Bu)、二級丁基( s-Bu)、三級丁基( t-Bu)、環丁基、正戊基、異戊基、二級戊基、新戊基、己基、庚基、辛基、壬基、癸基、十二烷基、十四烷基、十六烷基、二十烷基、二十四烷基等。烷基可為有環的(例如,C 3-24環烷基)或無環的。烷基可為支鏈或直鏈的。烷基亦可為經取代或未經取代的。例如,烷基可包含鹵烷基,其中烷基被一或更多鹵基團(如本文中所述)所取代。在另一範例中,烷基團可以一、二、三、或四個(在烷基團具有二或更多碳的例子中)取代基加以取代,取代基係獨立地選自於由下列者所構成的群組:(1) C 1-6烷氧基(例如,‑O‑Ak,其中Ak為選擇性取代的C 1-6烷基);(2) 胺基(例如,‑NR N1R N2,其中R N1及R N2其中每一者獨立地為H或選擇性取代的烷基,或R N1及R N2其中每一者附接至氮原子而與氮原子共同形成雜環基);(3) 芳基;(4) 芳基烷氧基(例如,-O-Lk-Ar,其中Lk為選擇性取代的烷基之二價形式,Ar為選擇性取代的芳基);(5) 芳醯基(例如,‑C(O)-Ar,其中Ar為選擇性取代的芳基);(6) 氰基(例如,-CN);(7) 羧醛(例如,‑C(O)H); (8) 羧基(例如,‑CO 2H);(9) C 3-8環烷基(例如,單價飽和或不飽和的非芳香環C 3-8烴基團);(10) 鹵素(例如,F、Cl、Br、或I);(11) 雜環(例如,5-、6-、或7-元環,除非特別指出並非如此,否則包含一、二、三、或四個非碳的雜原子,例如氮、氧、磷、硫、或鹵素);(12) 雜環氧基(例如,-O-Het,其中Het為本文中所述之雜環);(13) 雜環醯基(例如,-C(O)-Het,其中Het為本文中所述之雜環);(14) 羥基(例如,-OH);(15) N-保護的胺基;(16) 硝基(例如,‑NO 2);(17) 氧代(例如,=O);(18) -CO 2R A,其中R A係選自於由下列者所構成的群組:(a) C 1-6烷基、(b) C 4-18芳基、及 (c) (C 4-18芳基) C 1-6烷基 (例如,-Lk-Ar,其中Lk為選擇性取代的烷基團之二價形式,Ar為選擇性取代的芳基);(19) ‑C(O)NR BR C,其中R B及R C其中每一者獨立地選自於由下列者所構成的群組:(a) 氫、(b) C 1-6烷基、(c) C 4-18芳基、及 (d) (C 4-18芳基)C 1-6烷基(例如,-Lk-Ar,其中Lk為選擇性取代的烷基團之二價形式,Ar為選擇性取代的芳基);及 (20) ‑NR GR H,其中R G及R H其中每一者獨立地選自於由下列者所構成的群組:(a) 氫、(b) N-保護的基團、(c) C 1-6烷基、(d) C 2-6烯基(例如,具有一或更多雙鍵之選擇性取代的烷基)、(e) C 2-6炔基 (例如,具有一或更多參鍵之選擇性取代的烷基)、(f) C 4-18芳基、(g) (C 4‑18芳基)C 1-6烷基(例如,Lk-Ar,其中Lk為選擇性取代的烷基團之二價形式,Ar為選擇性取代的芳基)、(h) C 3-8環烷基、及 (i) (C 3-8環烷基)C 1-6烷基 (例如,‑Lk-Cy,其中Lk為選擇性取代的烷基團之二價形式,Cy為選擇性取代的環烷基(如本文中所述)),其中在一實施例中,沒有兩個基團係經由一羰基團而鍵結至氮原子。烷基團可為被一或更多取代基(例如,一或更多鹵素或烷氧基)所取代之一級、二級、或三級烷基團。在某些實施例中,未經取代的烷基團為C 1-3、C 1-6、C 1-12、C 1-16、C 1-18、C 1-20、或C 1-24烷基團。
「亞烷基」係指烷基團(如本文中所述)之多價(例如,二價)形式。示例性的亞烷基包含亞甲基、亞乙基、亞丙基、亞丁基等。在某些實施例中,亞烷基為C 1-3、C 1-6、C 1-12、C 1-16、C 1-18、C 1-20、C 1-24、C 2-3、C 2-6、C 2-12、C 2‑16、C 2-18、C 2-20、或C 2-24亞烷基。亞烷基可為支鏈或直鏈的。亞烷基亦可為經取代或未經取代的。例如,亞烷基可以一或更多取代基(如本文中關於烷基所述者)加以取代。
「炔基」係指具有一或更多參鍵之選擇性取代的C 2-24烷基團。炔基團可為有環的或無環的,範例為乙炔基、1‑丙炔基等。炔基團亦可為經取代或未經取代的。例如,炔基團可以一或更多取代基(如本文中關於烷基所述者)加以取代。
「胺基」係指-NR N1R N2,其中R N1及R N2其中每一者獨立地為H、選擇性取代的烷基、或選擇性取代的芳基,或者R N1及R N2其中每一者附接至氮原子而與氮原子共同形成雜環基(如本文中所定義)。
除非特別指出並非如此,「芳族」係指5至15個環原子之環狀共軛基或基團,其具有單環(例如,苯基)或多個稠合環(其中至少一環為芳族(例如,萘基、吲哚基或吡唑並吡啶基));亦即,至少一環與可選的多個稠合環具有連續的離域化π電子系統。通常,非共平面的π電子之數量係對應於Huckel規則(4n + 2)。與母結構之附接點通常是透過稠合環系統之芳族部分。這樣的芳族可為未經取代的或經一或更多基團所取代,例如本文中關於烷基或芳基所述之基團。其它取代基團可包括脂族、鹵代脂族、鹵素、硝酸根、氰基、磺酸根、磺醯基或其它基團。
「芳基」係指包含任何基於碳的芳香族基團,包含但不限於苯基、芐基、蒽基(anthracenyl)、蒽基(anthryl)、苯并環丁烯基、苯并環辛烯基、二苯基、屈基、二氫茚基、螢蒽基、二環戊二烯并苯基、茚基、萘基、菲基、苯氧基芐基、苉基、芘基、三聯苯等,其包含融合苯-C 4-8環烷基自由基(如本文中所定義),例如二氫茚基、四氫萘基、芴基等。芳基一詞亦包含雜原子芳基,其被定義為包含芳香環內具有至少一雜原子之芳香族基團。雜原子之範例包含但不限於氮、氧、硫、及磷。類似地,無雜原子芳基一詞亦被包含於芳基一詞中,其被定義為包含不具有雜原子之芳香族基團。芳基可為經取代或未經取代的。芳基可以一、二、三、四、或五個取代基(如本文中關於烷基所述者)加以取代。在特定實施例中,未經取代的芳基包含C 4-18、C 4-14、C 4-12、C 4-10、C 6-18、C 6-14、C 6-12、或C 6-10芳基。
「碳化物(carbide)」係指包括至少一個碳原子及至少一其它元素之化合物。
「羰基」係指-C(O)-基團,其亦可表示為>C=O、或-CO基團。
「羧基」係指‑CO 2H基團。
「羧醛(carboxyaldehyde)」係指‑C(O)H基團。
「氰基」係指-CN基團。
除非特別指出並非如此,「環烯基」係指單價不飽和的、非芳香或芳香環狀的、具有一或更多雙鍵的、自三至八個碳所形成之烴基團。環烯基團亦可為經取代或未經取代的。例如,環烯基團可以一或更多取代基(包括本文中關於烷基所述者)加以取代。
除非特別指出並非如此,「環烷基」係指單價飽和或不飽和的、非芳香或芳香環狀的、自三至八個碳所形成之烴基團,其範例為環丙基、環丁基、環戊基、環戊二烯基、環己基、環庚基、二環[2.2.1.]庚基等。環烷基亦可為經取代或未經取代的。例如,環烷基可以一或更多取代基(如本文中關於烷基所述者)加以取代。
「擴散阻障層」係指位於下方層與硬遮罩層之間之材料層,其中擴散阻障層之材料係減少不穩定物種從下方層擴散至硬遮罩層中。
「劑量」係指在光微影操作期間提供給半導體基板以在輻射敏感層中引起化學變化之輻射量。
當使用在本文中時,「酯」係指-OC(O)A 1或-C(O)OA 1,其中A 1可為烷基、烯基、炔基、芳基、雜原子芳基、環烷基、環烯基、雜原子環烷基、或雜原子環烯基(如本文中所定義)。
「鹵素」係指F、Cl、Br、或I。
「鹵烷基」係指被一或更多鹵素所取代之烷基團(如本文中所定義)。
「硬遮罩」係指在蝕刻操作期間做為遮罩之材料,其不是例如傳統的軟有機聚合物光阻之軟遮罩材料。
「雜原子烷基」係指包含一、二、三或四個非碳的雜原子(例如,獨立地選自於由氮、氧、磷、硫、硒、或鹵素所構成之群組)之烷基團(如本文中所定義)。
除非特別指出並非如此,「雜環基」係指包含一、二、三、或四個非碳的雜原子(例如,獨立地選自於由氮、氧、磷、硫、硒、或鹵素所構成之群組)之3-、4-、5-、6-或7-元環(例如,5-、6-或7-元環)。3-元環具有零至一個雙鍵、4-及5-元環具有零至兩個雙鍵、6- 及7-元環具有零至三個雙鍵。「雜環基」一詞亦包含二環、三環、及四環基團,其中上述雜環基之環之任何者係融合至獨立地選自於由下列者所構成之群組的一、二、或三環:芳基之環、環己烷之環、環己烯之環、環戊烷之環、環戊烯之環、及其它單環雜環之環,例如吲哚基、喹啉基、異喹啉基、四氫喹啉基、苯并呋喃基、苯噻嗯基等。雜環基團可為經取代或未經取代的。例如,雜環基團可以一或更多取代基(如本文中關於烷基所述者)加以取代。
「雜環氧基(heterocyclyloxy)」意指,透過氧原子而連接至母分子基團之雜環基(如本文中所定義)。
「雜環醯基(heterocyclyloyl)」意指,透過羰基而連接至母分子基團之雜環基(如本文中所定義)。
「烴基(hydrocarbyl)」意指,透過從烴中去除氫原子而形成之單價基團。非限定的、未經取代的烴基包括烷基、烯基、炔基、及芳基(如本文中所定義),其中這些基團僅包括碳及氫原子。烴基可為經取代或未經取代的。例如,烴基可以一或更多取代基(如本文中關於烷基所述者)加以取代。在其它實施例中,本文中之任何烷基或芳基可以烴基(如本文中所定義)加以取代。當使用在本文中時,「具有烴基末端的」係可與具有烴末端的交換使用,並且關於具有C xH y末端的化學式之化合物。
「均質的(homogeneous)」意指均勻的。均質的一層在成分上是均勻的。均質層可為單一材料、或其可為二或更多材料之均勻混合物。均質層不具有成分梯度,亦不具有二或更多材料之非均勻組合。
「羥基(hydroxyl)」意指-OH。
「不穩定(labile)物種」意指在第一材料(例如,產生不穩定物種之材料)內很可能會移動或從第一材料移動至第二材料(例如,從產生不穩定物種之材料至鄰近材料)之物種。
「金屬氧基(metaloxy)聚合物」意指包含金屬原子及氧原子兩者之聚合物。金屬原子可能或可能不直接鍵結至氧原子。
「負型光阻」意指暴露至光之光阻部分變得不可溶於光阻顯影劑、或在暴露至輻射之後選擇性地保留之光阻。
「氮化物」意指包括至少一氮原子及至少一其它元素之化合物。
「硝基(nitro)」意指-NO 2基團。
「非均質的(non-homogeneous)」意指非均勻的。非均質層在至少一性質上具有非均勻性。非均質層可包括二或更多材料之非均勻混合物。非均質層亦可包括在至少一性質上之梯度。
「氧化物」意指包括至少一氧原子及至少一其它元素之化合物。
「側氧基(oxo)」意指=O基團。
「氧基(oxy)」意指-O-。
「碳氧化物(oxycarbide)」意指包括至少一氧原子及至少一碳原子之化合物。
「氮氧化物(oxynitride)」意指包括至少一氧原子及至少一氮原子之化合物。
「圖案化結構」意指打算使用在光微影中之部分製造的半導體元件。
「光交聯的」意指當暴露於輻射時會進行交聯之材料。
「光分解的」意指在輻射下產生親水性產物之材料。
「光聚合的」意指在暴露於輻射之後開始聚合之材料。這樣的材料通常在暴露於輻射之後產生游離的自由基。
「正型光阻」意指暴露至輻射之光阻部分變得可溶於光阻顯影劑、或在暴露至輻射之後選擇性地除去之光阻。
「輻射敏感成像層」意指在暴露至輻射之後(例如,在光微影操作期間)容易改變之材料層。
「可輻射分解的(radiolysable)配位基」意指結合至中心原子以形成錯合物、能夠藉由輻射之施加而從中心原子被切斷之離子或分子。當使用在本文中時,可輻射分解的與輻射可裂解的術語係可交換使用。
「磺醯基(sulfonyl)」意指-S(O) 2A 1,其中A 1可為氫、烷基、鹵代烷基、烯基、炔基、芳基、雜原子芳基、環烷基、環烯基、雜原子環烷基、或雜原子環烯基(如本文中所定義)。
「硫基(thio)」意指-S-基團。
「硫醇(thiol)」意指-SH基團。
「下方層(underlayer)」意指位於輻射敏感成像層與硬遮罩層之間之層,其包括不穩定物種(如本文中所定義)。
本文中所使用之「約」一詞係指任何指定數值之+/-10%。當使用在本文中時,此詞係用以修飾任何指定的數值、數值範圍、或一或更多範圍的端點。
本文中所使用之「頂」、「底」、「上」、「下」、「上方」、「下方」係用以提供結構之間的相對關係。使用這些詞不表示或不需要一特定結構必須位於設備中的一特定位置處。 結論
儘管上述實施例已為了清楚理解之目的而詳細地加以描述,但顯然地,在所附申請專利範圍之範疇中,可實行某些變更及修改。應當注意,有許多替代的方式來實施本案實施例之處理、系統及設備。因此,本案實施例應被視為是用於說明的而不是限制性的,且本案實施例不應被限制於本文中所提出之細節。
1-18:感測器 101:基板 102:硬遮罩 104:下方層 105:輻射敏感成像層 111,112,114:界面 201:基板 202:硬遮罩 203:擴散阻障層 204:下方層 205:輻射敏感成像層 211,212,213,214:界面 304:下方層 305:輻射敏感成像層 401-409:操作 500:處理站 501a:反應物輸送系統 502:處理腔室本體 503:汽化點 504:混合容器 506:噴淋頭 508:基座 510:加熱器 512:基板 514:RF電源 516:匹配網路 518:蝶形閥 520:混合容器入口閥 550:電腦控制器 600:多站式處理工具 602:入站裝載室 604:出站裝載室 606:機器人 608:盒 610:大氣埠 612:基座 614:處理腔室 616:腔室傳送埠 618:基座 650:系統控制器 652:處理器 654:大容量儲存裝置 656:記憶體裝置 658:系統控制軟體 690:晶圓搬運系統 700:感應耦合式電漿設備 701:腔室壁 702:上部子腔室 703:下部子腔室 711:窗部 717:夾盤 719:晶圓 721:匹配電路 722:開口 723:RF電源 725:連接部 727:連接部 730:系統控制器 733:線圈 739:匹配電路 740:真空泵 741:RF電源 743:連接部 745:連接部 749:法拉第屏蔽 750:電漿柵 760:主氣流入口 770:側氣流入口 800:半導體處理叢集工具架構 820a-820d:處理模組 822:機器人 824:末端效應器 826:晶圓 836:維面 838:真空傳送模組(VTM) 840:圖案化模組 842:氣室 844:前端機器人 846:氣室 850:系統控制器 900:設備 902:處理腔室 904:晶圓傳遞通道 906:閘閥 908:蓋體 910:噴淋頭 912:第一氣室 914:第二氣室 916:第一入口 918:第二入口 920:反應空間 922:基板 924:晶圓支撐件 926:ESC 928:頂板 930a,930b,930c,930d:加熱器跡線 932:夾持電極 934:底板 936:熱交換通道 938:上邊緣環 940a,940b,940c:下邊緣環 942:晶圓支撐座 944:晶圓支撐柱 946:晶圓支撐z-致動器 948:佈線通道 950:擋板 952:真空前級 954:調節閥 956:環形氣室 958:加熱器 960:加熱器毯 968a:第一閥歧管 968b:第二閥歧管 970a,970b:旁通管線 972a,972b,972c,972d:汽化器 974:吹淨氣體源 976:檯面 977:周向壁特徵部 978:背側間隙 980:徑向間隙 982:氣體端口 984:控制器 986:處理器 988:記憶體裝置 1101,1102,1103:線 1200a:第一基板 1200b:第二基板 1200c:第三基板 1201:矽基板 1203:氮摻雜的矽碳化物 1204:低溫熱沉積矽氮化物層 a,b,c:信號強度 A1-A5:閥 B1-B5:閥 X:間隙距離
圖1A描繪出具有材料堆疊於其上之基板,材料堆疊適合與圖案化操作結合使用。
圖1B及1C顯示出圖1A所示之材料堆疊內之不穩定物種之濃度分佈 ,具有圖1B中所示之擴散前濃度以及圖1C中所示之擴散後濃度。
圖2A描繪出具有材料堆疊於其上之基板,材料堆疊包括擴散阻障層並且適合與圖案化操作結合使用。
圖2B及2C顯示出圖2A所示之材料堆疊內之不穩定物種之濃度分佈 ,具有圖2B中所示之擴散前濃度以及圖2C中所示之擴散後濃度。
圖3A及3B繪示出可能發生在下方層與輻射敏感成像層之間之期望反應。
圖4提出了根據各種實施例之方法之流程圖。
圖5呈現出根據各種實施例之處理站500之實施例之示意圖。
圖6呈現出根據各種實施例之多站式處理工具600之實施例之示意圖。
圖7呈現出根據各種實施例之感應耦合式電漿設備700之實施例之示意圖。
圖8呈現出根據各種實施例之半導體處理叢集工具架構800之實施例之示意圖。
圖9描繪出根據各種實施例之乾式沉積設備900之範例之橫剖面示意圖。
圖10描繪出圖9中所示之頂板、基板及邊緣環之一部分之詳細側剖面及俯視圖。
圖11描繪出實驗結果,其證明擴散阻障層在減少不想要的擴散上之有效性。
圖12A-12C顯示出與圖11有關而進行評估之基板結構。
401-409:操作

Claims (20)

  1. 一種圖案化結構,包括: 基板,包括部分製造的半導體元件膜堆疊; 輻射敏感成像層,設置於該基板上方; 下方層,位於該輻射敏感成像層下方,該下方層包括不穩定物種; 硬遮罩層,位於該下方層下方;及 擴散阻障層,位於該下方層與該硬遮罩層之間,該擴散阻障層包括擴散阻障材料,該擴散阻障材料使該不穩定物種從該下方層至該硬遮罩層中之擴散減少。
  2. 如請求項1之圖案化結構,其中該擴散阻障材料包括選自於由氧化物材料、氮化物材料、碳化物材料、矽、矽化物材料、硫化物材料、含金屬材料或其組合所構成之群組之材料。
  3. 如請求項2之圖案化結構,其中該擴散阻障材料包括該氧化物材料,及其中該氧化物材料係選自於由金屬氧化物、矽氧化物、金屬氮氧化物、矽氮氧化物、金屬碳氧化物、矽碳氧化物或其組合所構成之群組之材料,及任選地其中該擴散阻障材料包括選自於由鋁、鈦、鉬、鎢、錫或其組合所構成之群組之金屬。
  4. 如請求項2之圖案化結構,其中該擴散阻障材料包括該氮化物材料,及其中該氮化物材料係選自於由金屬氮化物、矽氮化物、金屬氮氧化物、矽氮氧化物或其組合所構成之群組之材料,及任選地其中該擴散阻障材料包括選自於由鋁、鈦、鉬、鎢、錫或其組合所構成之群組之金屬。
  5. 如請求項2之圖案化結構,其中該擴散阻障材料包括該碳化物材料,及其中該碳化物材料係選自於由金屬碳化物、矽碳化物、金屬碳氧化物、矽碳氧化物或其組合所構成之群組之材料,及任選地其中該擴散阻障材料包括選自於由鋁、鈦、鉬、鎢、錫或其組合所構成之群組之金屬。
  6. 如請求項5之圖案化結構,其中該擴散阻障材料包括該矽碳化物,及其中該矽碳化物係摻雜有氮及氧其中至少一者。
  7. 如請求項1之圖案化結構,其中該不穩定物種係氫。
  8. 如請求項1之圖案化結構,其中該輻射敏感成像層包括光阻材料,任選地其中該光阻材料係含金屬光阻材料,及任選地其中該光阻材料係含金屬有機光阻材料。
  9. 如請求項1之圖案化結構,其中該下方層包括含氫碳層,該含氫碳層包括至少一摻質,其中該至少一摻質係選自於由氧、矽、氮、鎢、硼、碘、氯或其組合所構成之群組之摻質,及其中該擴散阻障層係使用基於氣相的乾式沉積技術或使用基於液相的濕式沉積技術而沉積。
  10. 如請求項1之圖案化結構,其中該擴散阻障層使該不穩定物種從該下方層至該硬遮罩層中之擴散減少至少約60%或至少約90%。
  11. 一種圖案化結構之製造方法,包括: 提供基板,該基板具有硬遮罩層於其上; 沉積擴散阻障層在該硬遮罩層上方,該擴散阻障層包括擴散阻障材料; 沉積下方層在該擴散阻障層上方,該下方層包括不穩定物種;及 沉積輻射敏感成像層在該下方層上方, 其中該擴散阻障層使該不穩定物種從該下方層至該硬遮罩層中之擴散減少。
  12. 如請求項11之圖案化結構之製造方法,其中該擴散阻障材料包括選自於由氧化物材料、氮化物材料、碳化物材料、矽、矽化物材料、硫化物材料、含金屬材料或其組合所構成之群組之材料。
  13. 如請求項12之圖案化結構之製造方法,其中該擴散阻障材料包括該氧化物材料,及其中該氧化物材料係選自於由金屬氧化物、矽氧化物、金屬氮氧化物、矽氮氧化物、金屬碳氧化物、矽碳氧化物或其組合所構成之群組之材料,及任選地其中該擴散阻障材料包括選自於由鋁、鈦、鉬、鎢、錫或其組合所構成之群組之金屬。
  14. 如請求項12之圖案化結構之製造方法,其中該擴散阻障材料包括該氮化物材料,及其中該氮化物材料係選自於由金屬氮化物、矽氮化物、金屬氮氧化物、矽氮氧化物或其組合所構成之群組之材料,及任選地其中該擴散阻障材料包括選自於由鋁、鈦、鉬、鎢、錫或其組合所構成之群組之金屬。
  15. 如請求項12之圖案化結構之製造方法,其中該擴散阻障材料包括該碳化物材料,及其中該碳化物材料係選自於由金屬碳化物、矽碳化物、金屬碳氧化物、矽碳氧化物或其組合所構成之群組之材料,及任選地其中該擴散阻障材料包括選自於由鋁、鈦、鉬、鎢、錫或其組合所構成之群組之金屬。
  16. 如請求項15之圖案化結構之製造方法,其中該擴散阻障材料包括該矽碳化物,及其中該矽碳化物係摻雜有氮及氧其中至少一者。
  17. 如請求項11之圖案化結構之製造方法,其中該不穩定物種係氫。
  18. 如請求項11之圖案化結構之製造方法,其中該輻射敏感成像層包括光阻材料,任選地其中該光阻材料係含金屬光阻材料,及任選地其中該光阻材料係含金屬有機光阻材料。
  19. 如請求項11之圖案化結構之製造方法,其中該下方層包括含氫碳層,該含氫碳層包括至少一摻質,其中該至少一摻質係選自於由氧、矽、氮、鎢、硼、碘、氯或其組合所構成之群組之摻質,及其中該擴散阻障層係使用基於氣相的乾式沉積技術或使用基於液相的濕式沉積技術而沉積。
  20. 如請求項11之圖案化結構之製造方法,其中該擴散阻障層使該不穩定物種從該下方層至該硬遮罩層中之擴散減少至少約60%或至少約90%。
TW112101707A 2022-01-28 2023-01-16 利用擴散阻障層的增強euv下層效應 TW202349460A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202263267246P 2022-01-28 2022-01-28
US63/267,246 2022-01-28

Publications (1)

Publication Number Publication Date
TW202349460A true TW202349460A (zh) 2023-12-16

Family

ID=87472469

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112101707A TW202349460A (zh) 2022-01-28 2023-01-16 利用擴散阻障層的增強euv下層效應

Country Status (2)

Country Link
TW (1) TW202349460A (zh)
WO (1) WO2023147212A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI338171B (en) * 2005-05-02 2011-03-01 Au Optronics Corp Display device and wiring structure and method for forming the same
KR100721206B1 (ko) * 2006-05-04 2007-05-23 주식회사 하이닉스반도체 반도체소자의 스토리지노드 컨택 형성방법
US9304396B2 (en) * 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
KR102067081B1 (ko) * 2017-11-01 2020-01-16 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
CN116705595A (zh) * 2020-01-15 2023-09-05 朗姆研究公司 用于光刻胶粘附和剂量减少的底层

Also Published As

Publication number Publication date
WO2023147212A1 (en) 2023-08-03

Similar Documents

Publication Publication Date Title
TWI795094B (zh) 處理設備、圖案化結構及其製造方法
US8343881B2 (en) Silicon dioxide layer deposited with BDEAS
CN114026501A (zh) 利用卤化物化学品的光致抗蚀剂显影
KR20210095218A (ko) 레지스트들의 건식 현상 (dry development)
TW202113146A (zh) 具有多個圖案化輻射吸收成分及/或垂直組成梯度的光阻
CN114730133A (zh) 利用用于高性能euv光致抗蚀剂的高euv吸收剂的衬底表面改性
CN114365044A (zh) 用于光致抗蚀剂干式沉积的设备
TWI811842B (zh) 光阻乾式移除用的處理工具
TW202205013A (zh) 藉由密封覆蓋層達成正型乾式顯影的結構及方法
US20230416606A1 (en) Photoresist development with organic vapor
US20240145272A1 (en) Integrated dry processes for patterning radiation photoresist patterning
TW202215570A (zh) 光阻的乾式背側及斜角緣部清潔
TW202217459A (zh) 光敏混合膜的形成方法
KR102680084B1 (ko) 금속-함유 포토레지스트의 재작업 (rework)
TW202349460A (zh) 利用擴散阻障層的增強euv下層效應
TW202417974A (zh) 圖案化結構及其製造方法、沉積底層之方法及處理基板之設備
TW202401131A (zh) 含金屬光阻的顯影後處理
TW202340879A (zh) 高吸收性含金屬光阻的顯影策略