TW202314363A - 極紫外光圖案化用的金屬有機膜 - Google Patents

極紫外光圖案化用的金屬有機膜 Download PDF

Info

Publication number
TW202314363A
TW202314363A TW111118843A TW111118843A TW202314363A TW 202314363 A TW202314363 A TW 202314363A TW 111118843 A TW111118843 A TW 111118843A TW 111118843 A TW111118843 A TW 111118843A TW 202314363 A TW202314363 A TW 202314363A
Authority
TW
Taiwan
Prior art keywords
substrate
euv
tin
containing precursor
oxygen
Prior art date
Application number
TW111118843A
Other languages
English (en)
Inventor
羅伯特 D 克拉克
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202314363A publication Critical patent/TW202314363A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

基板處理方法包括:藉由將該基板暴露至含錫前驅物,以及將該基板暴露至含氧前驅物而在處理腔室中所設置的該基板上方形成極紫外(EUV)活性光阻膜,其中該EUV活性光阻膜包括錫烯氧化物部分,而該含氧前驅物與來自該含錫前驅物的錫反應而形成該錫烯氧化物;以及藉由將該基板暴露至EUV輻射而對該EUV活性光阻膜進行圖案化。

Description

極紫外光圖案化用的金屬有機膜
本發明是關於半導體製造及半導體裝置的領域,更具體來說是關於EUV圖案化用的金屬有機膜。 [相關申請案的交互參照]
本申請案主張2021年5月25日提交的美國臨時申請案第63/192,893號的優先權,該申請案係作為參考文獻而引入本文中。
一般而言,例如積體電路(IC)之類的半導體裝置是藉由在基板上依序沉積介電材料層、導電材料層及半導體材料層,並將其圖案化,以形成被整合在整體式結構中的電子構件及內連元件(例如,電晶體、電阻器、電容器、金屬線、接點及通孔)的網狀結構。
微影術通常用於在半導體處理過程中對薄膜進行圖案化,其中光子從光源發射到光敏性光阻上以在該光阻中引發化學反應。此後,將光阻顯影,並且將光阻的經曝光或未曝光部分移除,以形成圖案或遮罩。極紫外(EUV)輻射可用於在需要縮減特徵部尺寸的先進積體電路中提供經改善的圖案解析度。常見的EUV光阻是基於聚合物的化學放大光阻(CAR),其中該基於聚合物的CAR是使用基於液體的旋塗技術而沉積在基板上,而這種技術會消耗大量錯合前驅物而成本非常高。
根據本發明的實施例,基板處理方法包括:藉由將該基板暴露至含錫前驅物,以及將該基板暴露至含氧前驅物而在處理腔室中所設置的該基板上方形成極紫外(EUV)活性光阻膜,其中該EUV活性光阻膜包括錫烯氧化物部分,而該含氧前驅物與來自該含錫前驅物的錫反應而形成該錫烯氧化物;以及藉由將該基板暴露至EUV輻射而對該EUV活性光阻膜進行圖案化。
根據本發明的實施例,基板處理方法包括:藉由將該基板暴露至含錫前驅物,以及將該基板暴露至含氧前驅物而在處理腔室中所設置的該基板上方形成極紫外(EUV)活性光阻膜,其中該EUV活性光阻膜包括錫烷氧化物、錫芳氧化物或錫羧酸鹽部分,而該含氧前驅物與來自該含錫前驅物的錫反應而形成該錫烷氧化物、該芳氧化物配位基或該錫羧酸鹽;以及藉由將該基板暴露至EUV輻射而對該EUV活性光阻膜進行圖案化。
根據本發明的實施例,在基板上方形成極紫外(EUV)活性光阻膜的方法包括:將該基板暴露至含錫前驅物;將該基板暴露至含氧前驅物以形成該EUV活性光阻膜,其中該EUV活性光阻膜包括錫及氧;以及在該EUV活性光阻膜中加入光酸產生劑(PAG),其中該加入是在形成該EUV活性光阻膜期間或之後執行。
極紫外(EUV)微影術可透過使用更小的成像源波長對微小的臨界尺寸特徵部進行圖案化,而將當前的光刻技術擴展到其光學極限之外。波長約13.5 nm的EUV光源可被用於前緣微影工具,也稱為掃描儀。EUV輻射會被許多的固體材料及氣體強烈吸收,因此輻射路徑需要在真空中運行以避免被環境氣體(例如,H 2O及O 2)吸收。
EUV微影術通常使用有機硬遮罩(例如,經電漿增強化學氣相沉積(PECVD)的非晶形氫化碳的可灰化硬遮罩),其中該硬遮罩是使用習知光阻處理而加以圖案化。在光阻曝光期間,EUV輻射被光阻及下方的基板吸收,產生高能光電子(約100 eV),進而產生橫向擴散數奈米的低能量(約10 eV)二次電子瀑(cascade)。這些電子增加光阻中的化學反應程度,從而增加其EUV劑量敏感性。然而,本質上隨機的二次電子圖案會疊加在光學影像上。這種非所欲的二次電子暴露會減損圖案化光阻中的解析度、可觀測線邊緣粗糙度(LER)及線寬變量。在隨後的圖案轉移蝕刻期間,這些缺陷會被複製到待圖案化的材料中。
已發現到,金屬氧化物材料(例如,含錫(Sn)金屬)特別適合用於直接EUV光圖案化,原因在於它們會強烈吸收EUV輻射。與例如習知的基於聚合物的化學放大光阻(CAR)的絕緣體不同,金屬氧化物材料不太容易受到二次電子暴露效應的影響,原因在於二次電子可通過與傳導電子散射而迅速失去能量並熱化。
本揭示的實施例描述了用於沉積含錫(Sn)金屬的金屬有機膜的方法,其中該金屬有機膜包括金屬氧化物的網狀結構,並且可被使用作為積體電路製造中用於EUV圖案化的光阻。金屬有機膜還可包括額外的化學元素,例如鹵素。根據一實施例,EUV敏感金屬有機膜可被氣相沉積在半導體基板上。根據另一實施例,可使用液體暴露法將EUV敏感金屬有機膜沉積在半導體基板上。接著,可藉由在真空條件下進行EUV曝光來圖案化金屬有機膜,接著將該圖案顯影,並藉由乾式蝕刻或濕式蝕刻處理將金屬有機膜的經暴露或未暴露部分移除,以在基板上形成金屬有機遮罩。接著,可將所形成的金屬有機遮罩用於以圖案轉移蝕刻來圖案化基板上的下方層。
本揭示中描述的方法可有利地使含金屬且EUV敏感光阻具有高EUV吸收率,從而與習知的CAR相比具有更佳的光阻敏感性。較高的EUV吸收率能夠使得到合格性能所需的光阻厚度降低。本揭示中描述的含金屬且EUV敏感光阻還可有利地在曝光後展現出比習知CAR更佳的蝕刻抗性,以及展現出比CAR更均勻或均質的化學組成,這兩者都可益於緩解模糊或線邊緣粗糙度的問題。
在下文中,首先參照圖1A-1D描述示例製造處理的步驟,其中該等步驟包括形成、圖案化及顯影金屬有機膜,以作為EUV敏感光阻膜。接下來,圖2繪示用於形成金屬有機膜的示例反應。接著,圖3-5繪示用於在基板上形成及沉積金屬有機膜的示例處理系統。根據各種實施例的示例性處理流程圖是參照圖6A-6C而描述。所有圖式僅繪示於說明目的,且未按比例繪示。
圖1A-1D繪示根據各種實施例的製造處理期間的示例基板100的橫截面圖,其中所述製造處理是在基板上形成金屬有機膜,接著進行EUV微影圖案化。
圖1A繪示待圖案化的基板100的橫截面圖。舉例而言,基板100得為直徑150 mm、200 mm、300 mm或450 mm的矽晶圓。在各種實施例中,基板100可為半導體裝置的一部分,或是包括半導體裝置,並可能已經進行例如習知處理過後的複數處理步驟。因此,基板100可包括在各種微電子元件中有用處的半導體層。舉例來說,半導體結構可包括內部形成各種裝置區域的基板100。
在一或更多實施例中,基板100可為矽晶圓或絕緣體上矽(SOI)晶圓。在某些實施例中,基板100可包括矽鍺、碳化矽、砷化鎵、氮化鎵或其他化合物半導體。在其他實施例中,基板100包括異質層,例如矽上矽鍺、矽上氮化鎵、矽上矽碳,以及矽或SOI基板上的矽層。在各種實施例中,基板100被圖案化或被嵌入在半導體裝置的其他構件中。
圖1B繪示在基板100上方沉積金屬有機膜102之後的基板100的橫截面圖。
如圖1B所示,在一示例中,金屬有機膜102可形成在基板100的表面上。在各種實施例中,儘管未示出,但基板100可進一步包括在半導體裝置製造中有用處的各種層,而在本揭示中可將這些層統稱為基板100的一部分。舉例來說,在某些實施例中,在基板100上方可存在介電層,該介電層包括低介電常數(即,低k值)的基於矽的介電材料,例如有機矽酸鹽玻璃(SiCOH)、緻密SiCOH、多孔SiCOH及其他多孔介電材料。此外,在基板100上方可存在硬遮罩層,該硬遮罩層可在EUV光圖案化過後的後續蝕刻處理中被圖案化。在各種實施例中,硬遮罩可包括鈦氮化物、鈦、鈦氧化物、鉭、鎢碳化物、其他基於鎢的化合物、基於釕的化合物,或是基於鋁的化合物。硬遮罩也可為基於碳或基於矽的遮罩材料。此外,可將金屬有機膜102形成作為微影圖案化中常用的三層堆疊的其中一部分。三層堆疊可用於產生圖案,並將其轉移至硬遮罩,接著例如轉移至下方層,像是基板100的介電層。在各種實施例中,三層堆疊可包括底層、中間層,以及作為EUV敏感光阻的金屬有機膜102。在一或更多實施例中,底層可包括碳材料,並且可經由旋塗處理或氣相沉積(例如,CVD)而形成。中間層可以包括基於矽的材料,包括但不限於旋塗玻璃(SOG)、矽碳化物、矽氧化物、矽碳氧化物、矽氮氧化物、矽氮化物、錫氧化物及底部抗反射塗層膜(BARC)。中間層230也可藉由旋塗處理或氣相沉積(例如,CVD)而形成。為了說明目的,圖1A-1D僅繪示直接沉積在基板100上的金屬有機膜102;然而,如上所述,在各種實施例中,任何合適的多層結構都可作為基板100的其中一部分而存在。
在各種實施例中,金屬有機膜102可包括錫(Sn)。在某些實施例中,金屬有機膜102可為金屬氧化物的網狀結構,其中所述金屬氧化物包括金屬烷氧化物、金屬烯氧化物、金屬芳氧化物或金屬羧酸鹽基團。這些與金屬鍵結的基團通常是分別由化學式-OR、-OR'、-OAr及-OOCR表示,其中R為烷基、R'為烯基而Ar為芳基。在各種實施例中,金屬有機膜102為聚合膜,並且可能不具有非常有序的結構,例如結晶。上述與金屬原子鍵結的官能基團的數量可能隨各金屬原子而異,範圍介於1到4之間。金屬有機膜102的沉積可藉由乾式處理或濕式處理而加以執行。在各種實施例中,金屬有機膜102可藉由氣相沉積而沉積,所述氣相沉積例如為化學氣相沉積(CVD)、電漿增強CVD(PECVD)、原子層沉積(ALD)或電漿增強ALD(PEALD)。
在某些實施例中,對於金屬有機膜102的沉積處理可包括將基板100暴露於處理腔室中的兩種前驅物:含錫前驅物及含氧前驅物。對這些前驅物的暴露可逐步或同時執行。在各種實施例中,沉積可為ALD或準ALD(pseudo-ALD)處理,並且包括兩或更多暴露步驟。舉例而言,沉積處理可執行如下:首先,將基板100暴露至含錫前驅物,該含錫前驅物在基板100上形成吸附層;接著,將基板100暴露至含氧前驅物氣體,該含氧前驅物氣體於與已吸附的含錫前驅物反應。該暴露步驟可重複一或多次,以增加基板100上的金屬有機膜102的厚度。在某些實施例中,可將該等暴露步驟在時間或空間上分離。藉由改變處理腔室中的氣體組成,可實現在時間上將該等暴露步驟分離。另一方面,可藉由利用處理腔室內的複數空間分離部分,並將基板從其中一部分書送至另一部分來實現空間分離的暴露步驟。為了將暴露步驟更佳地在時間上分離,氣相沉積可進一步包括在該等暴露步驟之間抽空、吹掃,或是抽空加上吹掃處理腔室。這些額外步驟可助於確保反應僅發生在表面上,而不在氣相中發生。根據此實施例的ALD或準ALD方法對於實現高均勻性的金屬有機膜102的逐層生長可為特別有利。
在另一實施例中,並非進行ALD類型的處理,而是可將該等前驅物同時供應至處理腔室中以生長金屬有機膜102。藉由允許金屬有機膜102在單一步驟中連續生長,如此的實施例可為有利的。在此實施例中,該等前驅物之間的反應可能會或可能不會在氣相中及表面上發生。
在其他實施例中,可使用交替暴露含錫前驅物液體及含氧前驅物液體的液體沉積來沉積金屬有機膜102。液體沉積可進一步包括以淋洗溶液對基板進行淋洗,以在該等暴露步驟之間移除該等前驅物的過量及/或未反應部分。淋洗溶液可包含去離子水、常用有機溶劑(例如,丙酮、丙二醇單甲醚乙酸酯、1-甲氧基-2-丙醇、甲基異丁基甲醇(methyl isobutyl carbinol)、己烷、三級丁醇及異丙醇),或是其混合物。在另一實施例中,可先將液體前驅物混合,並且可將經混合溶液塗覆至基板上以生長金屬有機膜102。在一或更多實施例中,其中一前驅物可為氣態的,而另一前驅物可為液體,因此可利用兩種不同的輸送模式(蒸汽及液體)來執行沉積處理。
在各種實施例中,在基板100上方形成金屬有機膜102之後,可執行任選的塗覆後烘烤以移除來自濕式處理的任何過量溶劑、來自乾式處理的剩餘揮發性副產物,或是二者。
圖1C繪示基板100在進行EUV曝光過後的橫截面圖。
如圖1C中示意性繪示,該方法進一步包括將基板100暴露於EUV光圖案104。可使用光罩以產生該EUV光圖案104。響應於對EUV光圖案104的暴露,在金屬有機膜102的經曝光區域105中可發生光反應,而未經曝光區域107則保持不變。作為光反應的結果,經曝光區域105可包括經交聯的金屬有機膜,其可具有與金屬有機膜102的未反應部分(即,未經曝光區域107)實質不同的材料特性。此等材料特性差異包括揮發性、反應性及/或溶解性等,而這會作為光阻調性(tonality)的源由。
在如進一步描述於下的一或更多實施例中,金屬有機膜102還可包含光酸產生劑(PAG),其中PAG會響應於EUV曝光而釋放光酸。所產生的光酸可在金屬有機膜102中引發進一步的化學反應,而這可改善調性。
圖1D繪示基板在進行顯影步驟過後的橫截面圖。
在圖1D中,將經曝光區域105進行顯影,並經由乾式蝕刻或濕式蝕刻處理而將其移除以形成經圖案化金屬有機遮罩,該金屬有機遮罩包含未經曝光區域107及開口108,該開口108使下方的基板100露出。傳統上,可在濕式處理中利用顯影溶液處理基板以溶解光阻的經反應(在正調性光阻的情況中)或未經反應(在負調性光阻的情況中)區域,從而將光阻移除。在各種實施例中,可將類似的濕式處理應用於金屬有機膜102的顯影。或者,在其他實施例中可使用乾式處理。乾式處理例如可包括選擇性電漿蝕刻處理或熱處理,其有利地消除對於顯影溶液的使用。在某些實施例中,可使用反應離子蝕刻(RIE)處理或原子層蝕刻(ALE)來執行乾式處理。接著,可將開口108用於蝕刻處理中,以在基板100中蝕刻出特徵部。在某些實施例中,與濕式處理相比,用於形成及顯影金屬有機膜102的乾式處理可允許更好的奈米級處理控制,而例如用於形成臨界尺寸為數奈米或次奈米的特徵部。
在各種實施例中,在EUV曝光(圖1C)之後且在顯影步驟(圖1D)之前,可進行任選的曝光後烘烤(PEB)以進一步區分經曝光區域105及未經曝光區域107之間的材料性質。在某些實施例中,可藉由在處理腔室中,於真空中或在氣體流動下以介於70°C至250°C之間(例如,在一實施例中是介於180°C至225°C之間)的溫度加熱基板100,而執行PEB。
在參照圖1A-1D而描述於上的實施例中,金屬有機膜102為負調性光阻。在其他實施例中,金屬有機膜102可形成正調性光阻,其中經由顯影步驟可移除經曝光區域105,並保留未經曝光區域107。在一實施例中,可藉由執行額外的化學處理(例如,聚合)以在EUV曝光之後增加未曝光區域107的抗蝕刻性,而在經曝光區域105中的光反應會使蝕刻抗性減低,以實現該正調性光阻。
圖2繪示根據各種實施例用於形成金屬有機膜的含金屬前驅物及含氧前驅物的示例性反應。
含金屬前驅物可包含如圖2中的通式SnL 4所表示的有機錫化合物,其中該錫化合物包含配位基。在各種實施例中,這些配位基可包括烷基、胺、羧酸鹽或鹵素。在一些實施例中,某些配位基可包括烷氧基。所有的四個配位基在一實施例中可為相同的,而在另一實施例中可為不同的。示例含錫前驅物包括三甲基氯化錫(Me 3SnCl)、二甲基二氯化錫(Me 2SnCl 2)、甲基三氯化錫(MeSnCl 3)、參(二甲基氨基)甲基錫(IV)((CH 3) 2N) 3SnMe)及(二甲基氨基)三甲基錫(IV)((CH 3) 2N)SnMe 3)。在另一實施例中,含錫前驅物可包含四個鹵素配位基,例如SnCl 4或SnI 4。在各種實施例中,含金屬前驅物可與共反應物(例如,含氧前驅物)縮合,以形成金屬有機膜102。
如先前實施例中所述,含氧前驅物可被使用為形成金屬有機膜的共反應物。在各種實施例中,含氧前驅物可包含具有兩個或更多個反應性基團的分子(例如,二醇),其可有利地使含金屬前驅物的多於兩個分子能進行橋接,並在基板上形成穩定的聚合結構。在某些實施例中,選擇大型結構的反應性基團可有利地提供對於彼此的立體障礙,並且防止在EUV微影處理之前過度縮合。
根據一個實施例,含氧前驅物可包含烯醇,且所沉積的金屬有機膜可包含錫烯氧化物(tin alkenoxide)。烯醇是有機化學品中的一類反應性結構或中間物,其代表一種烯類(烯烴),具有附接至烯類雙鍵一端的至少一羥基。將烯醇用於含氧前驅物可有利地在所形成的金屬有機膜中引進可聚合結構,而這可助於提高蝕刻選擇性,從而提高作為對於EUV敏感的光阻的調性。
根據另一實施例,含氧前驅物可包括醇(例如,甲醇、乙醇或異丙醇)、二醇(例如,乙二醇)或多元醇(例如,甘油),且所沉積的金屬有機物可以包括錫烷氧化物。亦可使用烯二醇,其可包括但不限於3,5-環己二烯-1,2-二醇、2,5-環己二烯-1,4-二醇、1,3-環己二烯-1,4-二醇、1,3-丁二烯-1,4-二醇、環戊-2,5-二烯-1,2-二醇,或是(2Z,4E)-庚-2,4-二烯-2,6-二醇。
根據又一實施例,含氧前驅物可包括酚化合物,且所沉積的金屬有機膜包括錫芳氧化物膜。酚化合物的示例包括兒茶酚,如圖2所示。兒茶酚的兩個羥基可有利地與含錫前驅物的兩個不同分子反應,使得各兒茶酚單元將錫氧化物的複數單元橋接。
根據一實施例,含氧前驅物可包含羧酸,且所沉積的金屬有機膜可包含羧酸錫。在一些示例中,含氧前驅物可包含烯部分,以及下列任一者:(a)至少一羧酸基團及至少一個醇基團,或(b)兩個羧酸基團。
根據一實施例,可在金屬有機膜中加入額外的可聚合物種,其中該額外的可聚合物種會進行反應而在該金屬有機膜中形成聚合物。在EUV曝光期間或之後,金屬有機膜中的聚合程度可用於調節該金屬有機膜的後續蝕刻特性。這種透過額外的聚合及其導致的蝕刻特性變化而對於金屬有機膜的改質可有利地改善金屬有機膜作為對於EUV敏感的光阻的調性。舉例而言,在負調性光阻的情況下,金屬有機膜的經曝光區域應當具有比未經曝光區域更高的蝕刻抗性,而額外的聚合可進一步提高經曝光區域的蝕刻抗性。
在EUV曝光之前、期間或之後(例如,在透過顯影步驟移除該膜的其中一部分之前或之後),可藉由將有機金屬膜的烯部分進行聚合,而使該有機金屬膜的其中一些部分交聯。在某些實施例中,該交聯是通過共價鍵將金屬有機膜中的兩或更多分子化學連接,並且可藉由Diels-Alder聚合處理、自由基聚合處理及/或陽離子聚合處理而進行。
此外,在某些實施例中,可使用多於一種類型的含金屬前驅物及多於一種類型的含氧前驅物以形成金屬有機膜102。使用多於一種類型的含金屬及/或含氧前驅物可有利地允許微調所得到的金屬有機膜102作為對於EUV敏感的光阻的整體物理及化學性質(例如,龐大程度、碳氧比,及/或疏水性/親水性)。
根據一實施例,該方法還包括在EUV曝光之前在金屬有機膜中加入光酸產生劑(PAG)。在光阻中加入PAG通常會經由化學放大作用而提高敏感度。對於所吸收的每個光子,PAG會釋放出許多光酸分子,而這些光酸分子會擴散並且與光阻骨架中的保護基團產生反應。
在一實施例中,PAG的加入可執行如下:首先將基板暴露於鋁(Al)前驅物(以化學通式AlL 3表示),接著將該基板暴露於氟化醇(例如,CF 3OH等)前驅物,使鋁氟代烷氧化物部分(例如,Al(OCF3) x等)作為PAG而加入金屬有機膜中。其後,將金屬有機膜暴露於EUV輻射,這產生來自鋁氟代烷氧化物部分的光酸,並且形成使該膜的經曝光部分進行聚合的交聯。
在另一個實施例中,PAG的加入可執行如下:首先將基板暴露至硼(B)前驅物(以化學通式BL 3表示),接著將該基板暴露至氟化醇(例如,CF 3OH等)前驅物或氟化酚前驅物(例如,C 6F 5OH等),以將硼氟代烷氧化物(例如,B(OCF 3) x等)或硼氟代酚氧化物(例如,B(OC 6F 5) x等)部分作為PAG而加入金屬有機膜中。其後,將金屬有機膜暴露於EUV輻射,這產生來自硼氟代烷氧化物或硼氟代酚氧化物部分的光酸,並且形成使該膜的經曝光部分進行聚合的交聯。
圖3繪示根據一實施例的用於在基板上沉積金屬有機膜的處理系統。
圖3繪示可配置用於執行ALD或CVD處理的處理系統1。處理系統1包括處理腔室10,其中該處理腔室10具有配置以支撐基板22的基板固持件20,而金屬有機膜是沉積在該基板22上。處理腔室10更包含上組件30(例如,噴淋頭),其中該上組件30是耦接至前驅物供應系統40,而該前驅物供應系統40是配置於供應一或更多含錫前驅物氣體。前驅物供應系統42是配置於供應含氧前驅物氣體。含氧前驅物氣體例如可包括烯醇、醇、二醇、酚、羧酸或其組合。處理系統1還包括吹掃氣體供應系統44,以及輔助氣體供應系統46、48及50。輔助氣體供應系統46、48及50例如可用於將額外的含錫前驅物氣體及含氧前驅物氣體供應至處理腔室10中。
另外,處理系統1包括基板溫度控制系統60,該基板溫度控制系統60是耦接至基板固持件20,且被配置於升高及控制基板22的溫度。基板溫度控制系統60包含溫度控制元件,例如包括再循環冷卻劑流的冷卻系統,該冷卻劑流從基板固持件20接收熱量且將熱量傳遞至熱交換系統(未顯示),或者在加熱時從熱交換系統傳遞熱量。此外,溫度控制元件可包括加熱/冷卻元件,例如電阻式加熱元件或熱電加熱器/冷卻器,其中這些元件可被包括在基板固持件、處理腔室10的腔室壁,以及處理系統1內的任何其他構件中。舉例而言,基板溫度控制系統60可配置於將基板溫度從室溫升高至並控制在約350℃至約550℃。或者,基板溫度例如可介於約150℃至約350℃之間。然而,應當理解,基板的溫度是基於在給定基板的表面上沉積特定金屬有機膜所需的溫度而加以選擇。
此外,處理系統1包括控制器70,該控制器70可耦接至處理腔室10、基板固持件20、配置於將處理氣體引進處理腔室10的上組件30、前驅物供應系統40及42、吹掃氣體供應系統44、輔助氣體供應系統46、48及50,以及基板溫度控制系統60。替代地或額外地,控制器70可耦接至一或更多額外控制器/電腦(未顯示),且控制器70可從額外的控制器/電腦取得設定及/或配置訊息。
在圖3中顯示了單數形式的處理元件(10、20、30、40、42、44、46、48、50及60),但這僅是作為示例,處理系統1除了個別的處理元件之外還可包括任何數量的處理元件,而該處理元件具有與其相關聯的任意數量的控制器。控制器70可用於配置任意數量的處理元件(10、20、30、40、42、44、46、48、50及60),且控制器70可收集、提供、處理、儲存及顯示來自處理元件的數據。控制器70可包括用於控制一或更多處理元件的複數應用程式。舉例而言,控制器70可包括圖形使用者界面(GUI)構件(未顯示),其可提供容易使用的界面,讓使用者能夠監視及/或控制一或更多處理元件。
仍然參照圖3,處理系統1可被配置為處理200 mm基板、300 mm基板、450 mm基板或更大尺寸的基板。事實上,正如本發明領域中具有通常知識者所理解的,預期處理系統1可被配置為處理基板、晶圓或LCD,而與其尺寸無關。因此,雖然本揭示的實施例主要將會結合半導體基板的處理而加以描述,但它們並不僅限於此。或者,可使用能同時處理複數基板的批次處理系統以沉積本發明實施例中描述的金屬有機膜。
在某些實施例中,可使用數種方法將含錫前驅物氣體及含氧前驅物氣體引進處理腔室10。其中一種方法包括使用個別的複數起泡器、直接液體噴射(DLI)系統或其組合使前驅物蒸發,接著將其在處理腔室10內或引進處理腔室10之前在氣相中混合。DLI系統已被證明可減少前驅物在起泡方法期間的過早熱分解。藉由分別控制各前驅物的蒸發速率,可在所沉積的膜內得到所欲的化學計量。第一前驅物及第二前驅物的另一輸送方法包括分別控制兩或更多不同的液體源(純前驅物或前驅物溶液),接著在進入公共蒸發器之前將其混合。該方法可在該等前驅物在溶液中或在液體形式中相容且具有相似的蒸發特性時使用。第一前驅物及第二前驅物的又另一輸送方法包括控制液體前驅物的混合物(純前驅物或前驅物溶液)往公共蒸發器的流動。其他方法包括在起泡器內使用相容的混合固體或液體前驅物。液體源前驅物可包括純液體前驅物,或是溶解在相容溶劑中的固體或液體前驅物。具可行性的相容溶劑包括但不限於離子液體、烴類(脂肪族、烯烴及芳香族)、胺、酯、乙二醇二甲醚、冠狀醚、醚及聚醚。在一些情況下,可將一或更多相容的固體前驅物溶解在一或更多相容的液體前驅物中。對於本發明所屬技術領域中具有通常知識者為顯而易見的是,控制氣體脈衝內第一前驅物及第二前驅物的相對濃度層級可沉積具有所欲化學計量的膜。
仍參照圖3,吹掃氣體供應系統44是配置於將吹掃氣體引進處理腔室10。舉例而言,可在將含錫前驅物及含氧前驅物氣體的脈衝引進處理室10的之間進行吹掃氣體的引入。吹掃氣體可包含惰性氣體,例如貴重氣體(即,He、Ne、Ar、Kr、Xe)、氮氣(N 2)或氫氣(H 2)。
為了改善基板22與基板固持件20之間的熱傳遞,基板固持件20可包括機械夾持系統或電子夾持系統(例如,靜電夾持系統),以將基板22固定至基板固持件20的上表面。此外,基板固持件20可更包括基板背側氣體輸送系統,該基板背側氣體輸送系統是配置於將氣體導引至基板22的背側,以改善基板22與基板固持件之間的氣隙(gas-gap)熱傳導。當需要在升高或降低的溫度下對基板進行溫度控制時可使用這種系統。舉例而言,基板背側氣體系統可包含二區域氣體分佈系統,其中氦氣間隙壓力可在基板22的中央與邊緣之間獨立變化。
如圖3進一步繪示,處理腔室10經由管道38而進一步耦接至壓力控制系統32,該壓力控制系統32包括真空泵抽系統34及閥部36,其中該壓力控制系統32是配置於將處理腔室10可控制地抽氣至適合在基板22上形成金屬有機膜,且適合使用含錫前驅物及含氧前驅物氣體的壓力。真空泵抽系統34可包括能達到約5000 升/秒以上的泵抽速度的低溫泵或渦輪分子真空幫浦(TMP),而閥部36可包括用於調節腔室壓力的閘閥。此外,可將用於監控腔室壓力的裝置(未顯示)耦接至處理腔室10。舉例而言,壓力控制系統32可配置於在金屬有機膜的沉積期間將處理腔室壓力控制在約0.1托與約100托之間。
前驅物供應系統40及42、吹掃氣體供應系統44、輔助氣體供應系統46、48及50可包括一或更多壓力控制裝置、一或更多流量控制裝置、一或更多過濾器、一或更多閥部及/或一或更多流量感測器。流量控制裝置可包括氣動驅動閥、機電(電磁)閥及/或高速脈衝氣體噴射閥。可將氣體依序及交替地脈衝進入處理腔室10,其中各氣體脈衝的長度例如可介於約0.1秒與約100秒之間。或者,各氣體脈衝的長度可介於約1秒與約10秒之間。前驅物氣體的示例氣體脈衝長度可介於0.3秒與3秒之間,例如為1秒。含錫前驅物及含氧前驅物氣體的示例氣體脈衝長度可介於0.3秒與3秒之間,例如為1秒。示例吹掃氣體脈衝可介於1秒與20秒之間,例如為3秒。
仍參照圖3,控制器70可包含微處理器、記憶體及數位I/O埠,該數位I/O埠能夠產生控制電壓,其中該控制電壓是足以傳送且啟動往處理系統1的輸入,以及監控來自處理系統1的輸出。此外,控制器70可耦接至處理腔室10、基板固持件20、上組件30、前驅物供應系統40及42、吹掃氣體供應系統44、輔助氣體供應系統46、48及50、基板溫度控制系統60及壓力控制系統32,並可與其交換資訊。舉例而言,儲存在記憶體中的程式可用於根據處理配方而啟動對於處理系統1的前述構件的輸入,以執行沉積處理。
圖4繪示根據另一實施例的用於在基板上沉積金屬有機膜的電漿處理系統。
圖4繪示電漿處理系統2,該電漿處理系統2除了能執行ALD或CVD處理之外,還可配置於執行PEALD或PECVD處理。電漿處理系統2與圖3中描述的處理系統1類似,但更包括電漿產生系統,其中該電漿產生系統是配置於在至少一部分的氣體暴露期間於處理腔室10中產生電漿。電漿產生系統包括第一功率源52,其中該第一功率源52是耦接至處理腔室10,且被配置為將功率耦合至被引進處理腔室10中的氣體。第一功率源52可為可變功率源且可包括射頻(RF)產生器及阻抗匹配網路,並且可更包括電極,其中RF功率是經由該電極而耦合至處理腔室10中的電漿。電極可形成在上組件31中,並且可配置成與基板固持件20相對。阻抗匹配網路可配置成藉由將該匹配網路的輸出阻抗與處理腔室(包括電極及電漿)的輸入阻抗進行匹配,而將來自RF產生器往電漿的RF功率傳輸。舉例而言,阻抗匹配網路是用於減少被反射的功率而改善往處理腔室10中的電漿的RF功率傳輸。匹配網路拓撲(例如,L型、π型、T型等)及自動控制方法是本發明所屬技術領域中具有通常知識者眾所皆知的。
或者,第一功率源52可包括RF產生器及阻抗匹配網路,且可更包括天線(例如,感應線圈),其中RF功率是經由該天線而耦合至處理腔室10中的電漿。該天線例如可包括位於例如感應耦合電漿源或螺旋源中的螺旋或螺線管線圈,或者例如可包括位於例如變壓器耦合電漿源中的平坦線圈。
或者,第一功率源52可包括微波頻率產生器,且可更包括微波天線及微波窗部,其中微波功率是經由該微波窗部而耦合至處理腔室10中的電漿。微波功率的耦合可使用電子迴旋共振(ECR)技術而完成,或是可使用表面波電漿技術而實現。
在某些實施例中,電漿處理系統2包括基板偏壓產生系統,其中該基板偏壓產生系統是配置於在將氣體交替引進處理腔室10的至少一部分期間產生或協助產生電漿(透過將基板固持件進行偏壓)。基板偏壓系統可包括基板功率源54,其中該基板功率源54耦接至處理腔室10,且是配置於將功率耦合至基板22。基板功率源54可包括RF產生器及阻抗匹配網路,且可更包括電極,其中RF功率是經由該電極而耦合至基板22。該電極可形成在基板固持件20中。舉例而言,可透過來自RF產生器(未顯示)、經過阻抗匹配網路(未顯示)而到達基板固持件20的RF功率傳輸,以將基板固持件20電性偏壓於RF電壓。RF偏壓的典型頻率範圍可從約0.1 MHz至約100 MHz,且可為13.56 MHz。用於電漿處理的RF偏壓系統是本發明所屬技術領域中具有通常知識者眾所皆知的。或者,RF功率是以複數頻率而被施加至基板固持件電極。雖然在圖4中是將電漿產生系統及基板偏壓系統顯示為單獨的實體,但它們實際上可包含與基板固持件20耦接的一或更多功率源。
此外,電漿處理系統2包括遠端電漿系統56,用於在電漿激發氣體流入處理腔室10(該電漿激發氣體暴露於基板22之處)中之前提供及遠端電漿激發氣體。舉例而言,遠端電漿系統56可包含微波頻率產生器。處理腔室的壓力可介於約0.1托與約10托之間,或介於約0.2托與約3托之間。
圖5繪示根據又一實施例的旋塗沉積系統。
圖5示意性繪示根據又一實施例的用於處理基板的處理系統3。處理系統3可為半封閉的旋塗沉積系統,類似於半導體工業目前以光阻層塗覆基板(晶圓)所用的系統。半封閉的配置允許控制煙霧,並且使排氣量最小化。處理系統3包含處理腔室310、旋轉構件318(例如,馬達)及液體輸送噴嘴314,該處理腔室310包括用於支撐、加熱及轉動(旋轉)基板302的基板固持件312,而該液體輸送噴嘴314是配置於將處理液體316提供至基板302的上表面。液體供應系統304、306及308將不同的處理液體供應至液體輸送噴嘴314。舉例而言,所述不同的處理液體可包括在第一液體(例如,含錫前驅物)中的第一反應物、在第二液體中的第二反應物(例如,含氧前驅物),以及淋洗液體。根據其他實施例,處理系統3可包括額外液體輸送噴嘴(未示出),用於將不同液體提供至基板。在基板302的上表面暴露於處理液體316期間,示例旋轉速度可介於約500 rpm與約1500 rpm之間,例如為1000 rpm。
處理系統3更包括控制器320,該控制器320可耦接至處理腔室310、液體供應系統304、306及308、液體輸送噴嘴314、旋轉構件318、用於加熱基板固持件312的構件,並將其進行控制。在膜沉積期間,基板302可處於惰性氣氛。處理系統300可配置以處理200 mm基板、300 mm基板或更大尺寸的基板。如本發明所屬技術領域中具有通常知識者所理解,處理系統300可配置以處理基板、晶圓或LCD,而與其尺寸無關。因此,雖然本發明的態樣將會結合半導體基板的處理而描述,但本發明不僅限於此。
上方參照圖3-5而描述的處理系統僅作為示例,任何其他合理的系統及配置可為具可行性的。舉例而言,圖4的電漿處理系統2可包括位於處理腔室10內的複數空間分離部分,其中可使用可旋轉台將基板移動通過該等空間分離部分而執行沉積處理。
圖6A-6C繪示根據各種實施例的EUV活性光阻層的形成方法的處理流程圖。該處理流程可遵循上述的圖式(例如,圖1A-1C),因此將不再詳細描述。
在圖6A中,處理流程600的開始可藉由先將基板暴露於含錫前驅物(方格610),接著將基板暴露於含氧前驅物(方格620),從而在處理腔室中的該基板上方形成包含錫烯氧化物的EUV敏感光阻膜(例如,圖1B)。為了能夠形成錫烯氧化物,含氧前驅物可包含烯醇。在各種實施例中,前驅物可為氣體或液體,而因此上述暴露步驟可為乾式或濕式處理。在某些實施例中,在該等暴露步驟之間可執行任選的中間步驟以抽空或吹淨處理腔室及/或淋洗基板(方格615)。可以重複進行該等暴露步驟(伴隨或不伴隨該任選的中間步驟)以達成所欲的EUV敏感光阻膜的厚度。當重複進行該等暴露步驟是作為循環處理的一部分時,各循環的各步驟的各種處理條件(例如,前驅物進料速率、溫度、壓力、處理時間等)在某些實施例中可為相同的,但在其他實施例中可為不同的。在替代實施例中,該等暴露步驟可在時間上完全或部分重疊,從而允許該處理更為連貫,而不是逐步進行。在基板上形成EUV敏感光阻膜後,可藉由將該基板暴露於EUV輻射而執行EUV微影圖案化(方格630,例如圖1C)。在一或更多實施例中,在EUV曝光前或後可進行任選的聚合步驟,以在該EUV敏感光阻膜中引發額外的聚合而強化調性(方格625)。在EUV曝光後可進行後續步驟,例如顯影步驟及圖案轉移蝕刻處理。
在圖6B中,處理流程602與處理流程600基本上是相同的,僅差別在於含氧前驅物可具有與先前實施例不同的組成,以實現包含錫烷氧化物、錫芳氧化物或錫羧酸鹽的EUV敏感光阻膜。
在圖6C中,處理流程604的開始可先將基板暴露於含錫前驅物(方格610),接著將該基板暴露於含氧前驅物(方格620)。此外,在形成EUV敏感光阻膜後,可執行將光酸產生劑(PAG)加入的步驟(方格624)。在各種實施例中,PAG的加入可藉由將基板暴露於PAG前驅物(例如,含鋁前驅物或含硼前驅物以及氟化醇前驅物或氟化酚前驅物的一組配對)而達成。在某些實施例中,可在形成EUV敏感光阻膜期間同時執行此加入PAG的步驟。因此,將基板暴露於PAG前驅物(方格624)可在時間上與其他暴露步驟(方格610及620)完全或部分重疊。
本發明的示例實施例總結於此。其他實施例亦可從本說明書的整體及本文所提出的申請專利範圍而理解。
示例1。一種基板處理方法,包括:藉由將該基板暴露至含錫前驅物,以及將該基板暴露至含氧前驅物而在處理腔室中所設置的該基板上方形成極紫外(EUV)活性光阻膜,其中該EUV活性光阻膜包括錫烯氧化物部分,而該含氧前驅物與來自該含錫前驅物的錫反應而形成該錫烯氧化物;以及藉由將該基板暴露至EUV輻射而對該EUV活性光阻膜進行圖案化。
示例2。如示例1之方法,其中該含錫前驅物及該含氧前驅物為氣態的,該方法更包括在該等暴露步驟之間將該處理腔室抽空或吹掃。
示例3。如示例1或2之方法,其中該含錫前驅物及該含氧前驅物為液體,該方法更包括在該等暴露步驟之間利用溶劑淋洗該基板,以移除過量的該含錫前驅物或該含氧前驅物。
示例4。如示例1之方法,其中該等暴露步驟在時間上是重疊的。
示例5。如示例1之方法,更包括重複進行該等暴露步驟。
示例6。如示例1至5中任一者之方法,其中該含氧前驅物包括烯醇。
示例7。如示例1至6中任一者之方法,其中該含錫前驅物包括三甲基氯化錫(Me 3SnCl)、二甲基二氯化錫(Me 2SnCl 2)、甲基三氯化錫(MeSnCl 3)、參(二甲基氨基)甲基錫(IV)((CH 3) 2N) 3SnMe),或(二甲基氨基)三甲基錫(IV)((CH 3) 2N)SnMe 3)。
示例8。如示例1至7中任一者之方法,其中該圖案化包括將該錫烯氧化物的烯部分進行聚合而使該EUV活性光阻膜交聯。
示例9。如示例1至8中任一者之方法,其中在利用EUV微影術將該EUV活性光阻膜進行該圖案化期間,該交聯僅發生在該EUV活性光阻膜的經EUV曝光區域。
示例10。一種基板處理方法,包括:藉由將該基板暴露至含錫前驅物,以及將該基板暴露至含氧前驅物而在處理腔室中所設置的該基板上方形成極紫外(EUV)活性光阻膜,其中該EUV活性光阻膜包括錫烷氧化物、錫芳氧化物或錫羧酸鹽部分,而該含氧前驅物與來自該含錫前驅物的錫反應而形成該錫烷氧化物、該芳氧化物配位基或該錫羧酸鹽;以及藉由將該基板暴露至EUV輻射而對該EUV活性光阻膜進行圖案化。
示例11。如示例10之方法,其中該含氧前驅物包括醇。
示例12。如示例10或11之方法,其中該含氧前驅物包括二醇。
示例13。如示例10至12中任一者之方法,其中該二醇為乙二醇。
示例14。如示例10至13中任一者之方法,其中該EUV活性光阻膜包括該錫芳氧化物,且該含氧前驅物包括酚化合物。
示例15。如示例10至14中任一者之方法,其中該EUV活性光阻膜包括該錫羧酸鹽,且該含氧前驅物包括羧酸。
示例16。如示例10至15中任一者之方法,其中該EUV活性光阻膜包括該錫羧酸鹽,且該含氧前驅物包括烯部分、羧基及羥基。
示例17。如示例10至16中任一者之方法,其中該EUV活性光阻膜包括該錫羧酸鹽,且該含氧前驅物包括烯部分及二個羧基。
示例18。一種在基板上方形成極紫外(EUV)活性光阻膜的方法,該方法包括:將該基板暴露至含錫前驅物;將該基板暴露至含氧前驅物以形成該EUV活性光阻膜,其中該EUV活性光阻膜包括錫及氧;以及在該EUV活性光阻膜中加入光酸產生劑(PAG),其中該加入是在形成該EUV活性光阻膜期間或之後執行。
示例19。如示例18之方法,其中該加入包括:將該基板暴露至鋁(Al)前驅物;以及將該基板暴露至氟化醇前驅物,以將鋁氟代烷氧化物部分作為該光酸產生劑(PAG)加入該EUV活性光阻膜中。
示例20。如示例18或19之方法,其中該加入包括:將該基板暴露至硼(B)前驅物;以及將該基板暴露至氟化醇前驅物或氟化酚前驅物,以將硼氟代烷氧化物或硼氟代酚氧化物部分作為該光酸產生劑(PAG)加入該EUV活性光阻膜中。
示例21。一種基板處理方法,包括:在處理腔室中提供基板;藉由下列步驟在該基板上形成EUV敏感膜:將該基板暴露至含錫(Sn)前驅物,其中該含Sn前驅物在該基板上形成吸附層;以及將該基板暴露至含氧前驅物,其中該含氧前驅物與該吸附層產生反應,其中該含氧前驅物包括:a)烯醇,其與吸附層產生反應而在該基板上形成錫烯氧化物膜;b) 醇,其與吸附層產生反應而在該基板上形成錫烷氧化物膜;c)醇,其與吸附層產生反應而在該基板上形成錫烯氧化物膜;d) 二醇,其與吸附層產生反應而在該基板上形成錫烷氧化物膜;e)酚,其與吸附層產生反應而在該基板上形成錫芳氧化物膜;f) 羧酸,其與吸附層產生反應而在該基板上形成錫羧酸鹽膜;或是g) 烯部分及下列任一者a)至少一羧酸基團及至少一醇基團,或是b)二個羧酸基團,以在該基板上形成錫羧酸鹽膜。
示例22。如示例21之方法,其中該含錫前驅物及該含氧前驅物為氣態的,該方法更包括在該等暴露步驟之間將該處理腔室抽空、吹掃,或是既抽空又吹掃。
示例23。如示例21或22之方法,其中該含錫前驅物及該含氧前驅物為液體,該方法更包括:在該等暴露步驟之間淋洗該基板。
示例24。如示例21至23中任一者之方法,更包括:在EUV曝光之前將光酸產生劑加入該金屬氧化物膜。
示例25。如示例21至24中任一者之方法,更包括:將該基板暴露至鋁(Al)前驅物;以及將該基板暴露至氟化醇前驅物,以將鋁氟代烷氧化物部分加入該金屬氧化物膜;以及將該金屬氧化物膜暴露至EUV輻射,而從該鋁氟代烷氧化物部分產生光酸,並且形成將該金屬氧化物膜的經暴露部分加以聚合的交聯。
示例26。如示例21至25中任一者之方法,更包括:將該基板暴露至硼(B)前驅物,並且將該基板暴露至氟化醇前驅物或氟化酚前驅物,以將硼氟代烷氧化物或硼氟代酚氧化物部分加入該金屬氧化物膜;以及將該金屬氧化物膜暴露至EUV輻射,而從該硼氟代烷氧化物或硼氟代酚氧化物部分產生光酸,並且形成將該金屬氧化物膜的經暴露部分加以聚合的交聯。
示例27。如示例21至26中任一者之方法,其中該含錫前驅物包括三甲基氯化錫(Me 3SnCl)、二甲基二氯化錫(Me 2SnCl 2)、甲基三氯化錫(MeSnCl 3)、參(二甲基氨基)甲基錫(IV)((CH 3) 2N) 3SnMe),或(二甲基氨基)三甲基錫(IV)((CH 3) 2N)SnMe 3)。
示例28。如示例21至27中任一者之方法,更包括:將該基板轉移至微影系統進行EUV曝光。
雖然已參照複數說明性實施例來描述本發明,但本實施方式並不被視為限制意圖。這些說明性實施例的各種修改例及結合例,以及本發明的其他實施例對於本發明所屬技術領域中具有通常知識者在參照本實施方式後將為顯而易知的。因此,隨附申請專利範圍係含括任何此樣的修改例或實施例。
1:處理系統 2:電漿處理系統 3:處理系統 10:處理腔室 20:基板固持件 22:基板 30,31:上組件 32:壓力控制系統 34:真空泵抽系統 36:閥部 38:管道 40:前驅物供應系統 42:前驅物供應系統 44:吹掃氣體供應系統 46,48,50:輔助氣體供應系統 52:第一功率源 54:基板功率源 56:遠端電漿系統 60:基板溫度控制系統 70:控制器 100:基板 102:金屬有機膜 104:EUV光圖案 105:經曝光區域 107:未經曝光區域 108:開口 302:基板 304,306,308:液體供應系統 310:處理腔室 312:基板固持件 314:液體輸送噴嘴 316:處理液體 318:旋轉構件 320:控制器 600,602,604:處理流程 610-630:方格
為了更加完整地理解本發明及其優點,現在將結合隨附圖式而參照下方的實施方式,其中:
圖1A-1D繪示根據各種實施例的製造處理期間的示例基板的橫截面圖,其中所述製造處理是在基板上形成金屬有機膜,接著進行EUV微影圖案化,其中圖1A繪示所輸入的基板,圖1B繪示在基板上方沉積金屬有機膜之後的基板,圖1C繪示進行EUV曝光過後的基板,而1D繪示進行顯影步驟過後的基板;
圖2繪示根據各種實施例用於形成金屬有機膜的含金屬前驅物及含氧前驅物的示例性反應;
圖3-5繪示根據各種實施例用於在基板上沉積金屬有機膜的示例處理系統,其中圖3繪示根據一實施例的用於化學氣相沉積(CVD)或原子層沉積(ALD)處理的處理系統,圖4繪示根據另一實施例的用於電漿增強CVD (PECVD)或電漿增強ALD (PEALD)處理的電漿處理系統,圖5繪示根據又一實施例的基於液體旋塗沉積系統;
圖6A-6C繪示根據各種實施例在基板上形成金屬有機膜的方法的處理流程圖,其中圖6A繪示某些實施例處理流程,圖6B繪示替代實施例處理流程,而圖6C繪示又另一實施例處理流程。
100:基板
104:EUV光圖案
105:經曝光區域
107:未經曝光區域

Claims (20)

  1. 一種基板處理方法,包括: 藉由下列步驟在處理腔室中所設置的該基板上方形成包括錫烯氧化物部分的極紫外(EUV)活性光阻膜: 將該基板暴露至含錫前驅物,以及 將該基板暴露至含氧前驅物,其中該含氧前驅物與來自該含錫前驅物的錫反應而形成該錫烯氧化物;以及 藉由將該基板暴露至EUV輻射而對該EUV活性光阻膜進行圖案化。
  2. 如請求項1之基板處理方法,其中該含錫前驅物及該含氧前驅物為氣態的,該基板處理方法更包括在該等暴露步驟之間將該處理腔室抽空或吹掃。
  3. 如請求項1之基板處理方法,其中該含錫前驅物及該含氧前驅物為液體,該基板處理方法更包括在該等暴露步驟之間利用溶劑淋洗該基板,以移除過量的該含錫前驅物或該含氧前驅物。
  4. 如請求項1之基板處理方法,其中該等暴露步驟在時間上是重疊的。
  5. 如請求項1之基板處理方法,更包括重複進行該等暴露步驟。
  6. 如請求項1之基板處理方法,其中該含氧前驅物包括烯醇。
  7. 如請求項1之基板處理方法,其中該含錫前驅物包括三甲基氯化錫(Me 3SnCl)、二甲基二氯化錫(Me 2SnCl 2)、甲基三氯化錫(MeSnCl 3)、參(二甲基氨基)甲基錫(IV)((CH 3) 2N) 3SnMe),或(二甲基氨基)三甲基錫(IV)((CH 3) 2N)SnMe 3)。
  8. 如請求項1之基板處理方法,其中該圖案化包括將該錫烯氧化物的烯部分進行聚合而使該EUV活性光阻膜交聯。
  9. 如請求項8之基板處理方法,其中在利用EUV微影術將該EUV活性光阻膜進行該圖案化期間,該交聯僅發生在該EUV活性光阻膜的經EUV曝光區域中。
  10. 一種基板處理方法,包括: 藉由下列步驟在處理腔室中所設置的該基板上方形成包括錫烷氧化物、錫芳氧化物或錫羧酸鹽部分的極紫外(EUV)活性光阻膜: 將該基板暴露至含錫前驅物,以及 將該基板暴露至含氧前驅物,其中該含氧前驅物與來自該含錫前驅物的錫反應而形成該錫烷氧化物、該芳氧化物配位基或該錫羧酸鹽;以及 藉由將該基板暴露至EUV輻射而對該EUV活性光阻膜進行圖案化。
  11. 如請求項10之基板處理方法,其中該含氧前驅物包括醇。
  12. 如請求項10之基板處理方法,其中該含氧前驅物包括二醇。
  13. 如請求項12之基板處理方法,其中該二醇為乙二醇。
  14. 如請求項10之基板處理方法,其中該EUV活性光阻膜包括該錫芳氧化物,且該含氧前驅物包括酚化合物。
  15. 如請求項10之基板處理方法,其中該EUV活性光阻膜包括該錫羧酸鹽,且該含氧前驅物包括羧酸。
  16. 如請求項10之基板處理方法,其中該EUV活性光阻膜包括該錫羧酸鹽,且該含氧前驅物包括烯部分、羧基及羥基。
  17. 如請求項10之基板處理方法,其中該EUV活性光阻膜包括該錫羧酸鹽,且該含氧前驅物包括烯部分及二個羧基。
  18. 一種在基板上方形成極紫外(EUV)活性光阻膜的方法,包括: 將該基板暴露至含錫前驅物; 將該基板暴露至含氧前驅物以形成包括錫及氧的該EUV活性光阻膜;以及 在該EUV活性光阻膜中加入光酸產生劑(PAG),其中該加入是在形成該EUV活性光阻膜期間或之後執行。
  19. 如請求項18之在基板上方形成極紫外(EUV)活性光阻膜的方法,其中該加入包括: 將該基板暴露至鋁(Al)前驅物;以及 將該基板暴露至氟化醇前驅物,以將鋁氟代烷氧化物部分作為該光酸產生劑(PAG)加入該EUV活性光阻膜中。
  20. 如請求項18之在基板上方形成極紫外(EUV)活性光阻膜的方法,其中該加入包括: 將該基板暴露至硼(B)前驅物;以及 將該基板暴露至氟化醇前驅物或氟化酚前驅物,以將硼氟代烷氧化物或硼氟代酚氧化物部分作為該光酸產生劑(PAG)加入該EUV活性光阻膜中。
TW111118843A 2021-05-25 2022-05-20 極紫外光圖案化用的金屬有機膜 TW202314363A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163192893P 2021-05-25 2021-05-25
US63/192,893 2021-05-25

Publications (1)

Publication Number Publication Date
TW202314363A true TW202314363A (zh) 2023-04-01

Family

ID=84195023

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111118843A TW202314363A (zh) 2021-05-25 2022-05-20 極紫外光圖案化用的金屬有機膜

Country Status (4)

Country Link
US (1) US20220382159A1 (zh)
KR (1) KR20240012409A (zh)
TW (1) TW202314363A (zh)
WO (1) WO2022251029A1 (zh)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7192686B2 (en) * 2004-03-31 2007-03-20 Intel Corporation Photoacid generators based on novel superacids
JP5830044B2 (ja) * 2013-02-15 2015-12-09 信越化学工業株式会社 レジスト下層膜形成用組成物及びパターン形成方法
US9310684B2 (en) * 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
EP3391148B1 (en) * 2015-10-13 2021-09-15 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning

Also Published As

Publication number Publication date
WO2022251029A1 (en) 2022-12-01
US20220382159A1 (en) 2022-12-01
KR20240012409A (ko) 2024-01-29

Similar Documents

Publication Publication Date Title
KR102539806B1 (ko) 포토레지스트 부착 및 선량 감소를 위한 하부층
JP5349066B2 (ja) Cdバイアスの減少したシリコン含有反射防止コーティング層のエッチング方法
TWI614364B (zh) 以氣相沉積來沉積的光阻劑以及對於此光阻劑的製造與微影系統
CN1906747A (zh) 用于刻蚀掩模的系统和方法
US20240145272A1 (en) Integrated dry processes for patterning radiation photoresist patterning
US20230107357A1 (en) Process tool for dry removal of photoresist
WO2022125388A1 (en) Photoresist development with organic vapor
TW202230469A (zh) 用於微影應用之光阻層上碳的選擇性沉積
CN115885376A (zh) 光致抗蚀剂的干式背侧和斜面边缘清洁
CN1768415A (zh) 在晶片流水线环境中通过等离子处理室处理半导体晶片的方法和装置
TW202314363A (zh) 極紫外光圖案化用的金屬有機膜
TW202144913A (zh) 半導體裝置之製造方法
US20230259030A1 (en) Providing a barrier layer for photoresist processing
US20240045332A1 (en) Method of forming photosensitive organometallic oxides by chemical vapor polymerization
US20240085793A1 (en) Method of forming a moisture barrier on photosensitive organometallic oxides
US20240072127A1 (en) Manufacturing method of patternig substrate, patterned substrate, and intermediate patterned substrate
TW202401131A (zh) 含金屬光阻的顯影後處理
TW202338499A (zh) Euv微影術用之euv活性膜
TW202349460A (zh) 利用擴散阻障層的增強euv下層效應
WO2024064071A1 (en) Bake-sensitive underlayers to reduce dose to size of euv photoresist
TW202213453A (zh) 用於微影應用之光阻層上之碳的選擇性沉積
KR20220162765A (ko) 감응제 (sensitizer) 의 가스상 (gas phase) 주입에 의한 euv 드라이 레지스트 감응화 (sensitization) 를 위한 장치 및 프로세스