CN111837074A - 形成图案化的材料层的方法和设备 - Google Patents

形成图案化的材料层的方法和设备 Download PDF

Info

Publication number
CN111837074A
CN111837074A CN201980016708.7A CN201980016708A CN111837074A CN 111837074 A CN111837074 A CN 111837074A CN 201980016708 A CN201980016708 A CN 201980016708A CN 111837074 A CN111837074 A CN 111837074A
Authority
CN
China
Prior art keywords
substrate
deposition process
irradiation
electric field
radiation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201980016708.7A
Other languages
English (en)
Other versions
CN111837074B (zh
Inventor
P·W·H·德贾格
S·F·乌伊斯特尔
玛丽亚-克莱尔·范拉尔
R·C·马斯
A·O·波利亚科夫
T·朱兹海妮娜
V·沃罗尼纳
E·库尔干诺娃
J·V·奥沃卡姆普
B·卡斯川普
M·范卡朋
A·多尔戈夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP18159656.0A external-priority patent/EP3534211A1/en
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN111837074A publication Critical patent/CN111837074A/zh
Application granted granted Critical
Publication of CN111837074B publication Critical patent/CN111837074B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/047Coating on selected surface areas, e.g. using masks using irradiation by energy or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using coherent light, UV to IR, e.g. lasers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation
    • G03F7/2039X-ray radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2053Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a laser
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02568Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7391Gated diode structures

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Optics & Photonics (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明公开用于形成图案化的材料层的方法和设备。在一种布置中,在沉积过程期间利用具有小于100nm的波长的电磁辐射来辐照衬底的表面的选定部分。此外,电场控制器被配置成施加被定向以便迫使二次电子远离所述衬底的电场。所述辐照在选定区中局部地驱动所述沉积过程且由此使所述沉积过程以由所述选定部分限定的图案形成材料层。

Description

形成图案化的材料层的方法和设备
相关申请的交叉引用
本申请要求2018年3月2日递交的欧洲申请18159656.0、和2018年10月5日递交的欧洲申请18198942.7、以及2018年11月5日递交的欧洲申请18204446.1的优先权,这些欧洲申请的全部内容通过引用并入本文中。
技术领域
本发明涉及形成图案化的材料层的方法和设备。
背景技术
随着半导体制造过程继续进步,几十年来,电路元件的尺寸已不断地减小,而每器件的诸如晶体管之类的功能元件的量已在稳定地增加,这遵循通常被称作“摩尔定律”的趋势。为了跟得上摩尔定律,半导体行业正追逐使能够产生越来越小特征的技术。
许多半导体制造过程依赖于光刻。在光刻期间逐场地执行衬底的曝光,而针对整个衬底同时执行大多数或全部其它步骤(例如蚀刻、沉积、化学机械平坦化(CMP)、注入)。随着光刻处理移动至较小特征,对横跨衬底的均一性要求增加,这意味着完整衬底处理变得更具挑战性。临界尺寸均一性可能受到光致抗蚀剂中的化学噪声限制。
隧穿FET由于其衰减时间短和暗电流为零(且因此功率消耗低)而成为并入未来电晶体布局中的有前景的候选者。制造隧穿FET由于需要形成诸如MoS2的原子单层的图案化叠层而具有挑战性。光刻可以用以执行图案化,但已发现用于蚀刻或剥离光致抗蚀剂的过程可能将缺陷引入至原子单层中,由此影响良率。
发明内容
本发明的目标是提供用于形成图案化层的替代或改善方法和设备。
根据一个方面,提供一种形成图案化的材料层的方法,包括:在沉积过程期间利用具有小于100nm的波长的电磁辐射来辐照衬底的表面的选定部分,所述辐照使得在选定区中局部地驱动所述沉积过程且由此使所述沉积过程以由所述选定部分限定的图案形成材料层。
因此,提供了一种方法:其中辐射图案限定发生沉积过程(所述沉积过程可以包括例如原子层沉积过程或化学气相沉积过程)的位置,由此允许在无需抗蚀剂的情况下形成图案化的材料层。已发现使用EUV辐射(具有小于100nm的波长的辐射)是有效且实用的,由此允许使用所公开的技术来形成高分辨率特征。可以避免与移除抗蚀剂相关联的潜在破坏性处理步骤。在半导体器件制造的内容背景下,预期可以减小与化学噪声相关联的误差,这是因为用于沉积中的前驱体材料与典型的抗蚀剂材料相比是小分子。相比于化学放大型抗蚀剂和非化学放大型抗蚀剂(其中结构单元是聚合物或金属氧化物纳米粒子),预期来自化学噪声的对局部临界尺寸非均一性的贡献较小。改善局部临界尺寸均一性可以有助于改善器件特征的边缘放置准确度。
在沉积过程(例如原子层沉积过程)期间辐照衬底,不仅允许直接限定图案,而且可以相对于不使用辐照的配置而加速沉积过程(例如原子层沉积过程),由此提供良好生产量。
因为沉积过程(例如原子层沉积过程)的驱动涉及本质上在正在被处理的表面处发生的化学反应,所以得到的图案的准确度将对所述表面下方的叠层的变化相对不敏感。
单个集成过程实现了在替代的基于抗蚀剂的半导体制造过程中将需要若干不同的过程(例如曝光、显影、沉积等)的效果。这可以提供过程优化的增大的范围。
在实施例中,在选定部分中的沉积过程(例如原子层沉积过程)的驱动包括驱动涉及前驱体材料的化学反应,其中所述化学反应包括由辐照驱动的光化学反应,并且所述光化学反应是多光子光化学反应,其涉及所述光化学反应中所涉及的至少一种物质(species)中的每种物质对两个或更多个光子的吸收。配置原子层沉积使得所述辐照驱动多光子光化学反应会允许实现特别高的空间对比度。
在实施例中,驱动所述化学反应包括通过所述辐射与选定区上方的气体局部地相互作用而产生反应性物质。使用辐射以局部地产生反应性物质会允许在空间上控制广泛范围的材料的沉积或改性。
根据一方面,提供一种形成图案化的材料层的方法,包括:在原子层沉积过程期间利用电磁辐射来辐照衬底的表面的选定部分,所述辐照使得在选定区中局部地驱动所述原子层沉积过程且由此使所述原子层沉积过程以由所述选定部分限定的图案形成材料层,其中:所述原子层沉积过程包括两个步骤,并且在所述两个步骤中的至少一个步骤期间且在所述衬底的所述选定部分与液体接触时执行所述选定部分的所述辐照。
因此,提供了一种方法:其中在浸没过程(其中选定部分覆盖有液体)期间施加的辐射图案可以限定发生原子层沉积过程的位置,由此允许在无需抗蚀剂的情况下在原子层沉积工序的扩展范围内形成图案化的材料层(与仅将辐射图案施加通过气态环境的情况相比较)。浸没液体流也可以方便地带走通过所述辐照产生的副产物。
根据一方面,提供一种用于形成图案化的材料层的设备,包括:辐照系统,所述辐照系统被配置成在沉积过程期间利用具有小于100nm的波长的电磁辐射来辐照衬底的表面的选定部分;和环境控制系统,所述环境控制系统被配置成允许以使得允许所述沉积过程继续进行的方式来控制所述衬底上方的环境的组成。
根据一方面,提供一种用于形成图案化的材料层的设备,包括:辐照系统,所述辐照系统被配置成在沉积过程期间利用电磁辐射来辐照衬底的表面的选定部分;和环境控制系统,所述环境控制系统被配置成允许以使得允许所述沉积过程继续进行的方式来控制所述衬底上方的环境的组成,其中所述环境控制系统被配置成:在所述沉积过程的至少一个步骤中在所述选定部分的辐照期间,允许液体被维持为与所述选定部分接触。
在一实施例中,所述辐照系统包括光刻设备,所述光刻设备被配置成通过将来自图案形成装置的图案化辐射束投影至所述衬底上来提供所述选定部分的所述辐照。
因此,被开发用于实现抗蚀剂的高精度曝光的光刻设备的能力可以被利用,以允许在不使用抗蚀剂的情况下在沉积过程(例如原子层沉积过程)中准确地形成图案。可以使用较少处理步骤实现高准确度,和/或在不存在与必须移除抗蚀剂相关联的良率损失的情况下实现高准确度。
根据一方面,提供一种形成图案化的材料层的方法,包括:提供包括衬底和材料单层的叠层;和处理所述叠层以通过选择性地辐照所述材料单层的一个或更多个选定区中的材料来移除所述一个或更多个选定区中的所述材料,由此将图案施加至所述材料单层或修改所述材料单层中的图案。使用材料单层中的材料的选择性辐照以移除一个或更多个选定区中的材料,会允许在单个步骤中形成或修改图案,由此有助于高生产量。
在一实施例中,材料的移除通过激光切除而发生。本发明人已发现,激光切除提供高效率、准确度和可靠性,即使当应用至材料单层时。
根据一方面,提供一种形成图案化的材料层的方法,包括:提供包括衬底和材料层的叠层;和利用具有小于100nm的波长的电磁辐射来辐照所述材料层的一个或更多个选定区,以将图案施加至所述材料层或修改所述材料层中的图案,其中:所述辐照通过在所述衬底上方的所述区中产生等离子体而导致在所述辐照期间移除材料;并且所述辐射与所述衬底相互作用以相对于其它区局部地抑制所述一个或更多个选定区中的材料的所述移除,以便施加所述图案或修改所述图案。这种方法允许在移除过程期间待移除(例如待蚀刻)的区的高精度和灵活控制,而无需与所述移除过程分离地执行诸如曝光和显影之类的任何光刻图案化步骤来限定待移除的区。
根据一方面,提供一种用于形成图案化的材料层的设备,包括:辐照系统,所述辐照系统被配置成利用具有小于100nm的波长的电磁辐射来辐照衬底上的材料层的一个或更多个选定区;和环境控制系统,所述环境控制系统被配置成允许在所述辐照期间控制所述衬底上方的环境的组成,其中:所述环境控制系统被配置成控制所述环境以在所述环境中提供等离子体促进材料;所述等离子体促进材料使得导致在所述电磁辐射穿过受控的环境时待由所述电磁辐射产生的等离子体;所述等离子体使得在所述辐照期间移除所述材料层中的材料;并且所述辐射与所述衬底相互作用以相对于其它区局部地抑制所述一个或更多个选定区中的材料的所述移除,由此将图案施加至所述材料层或修改所述材料层中的图案。
附图说明
现在将参考随附示意性附图而仅通过示例来描述本发明的实施例,在所述附图中:
-图1描绘包括光刻设备和辐射源的光刻系统的第一示例;
-图2描绘包括光刻设备和辐射源的光刻系统的第二示例;
-图3是隧穿FET的示意性侧视图;
-图4示意性地描绘在原子层沉积过程的第一步骤期间衬底上的选定区的辐照;
-图5示意性地描绘在图4中所描绘的步骤之后的原子层沉积过程中的步骤;
-图6示意性地描绘根据一实施例的将辐射提供至环境控制系统的光刻设备;
-图7示意性地描绘为了局部地驱动形成原子层沉积过程的部分的热解化学反应而对衬底的选定部分进行的辐照;
-图8示意性地描绘在图7中所描绘的步骤之后的原子层沉积过程中的步骤;
-图9示意性地描绘为了局部地产生参与原子层沉积过程的反应性物质而对衬底的选定部分进行的辐照;
-图10是描绘材料单层的一个或更多个选定区中的材料的选择性辐照的示意性侧视截面图;
-图11是描绘在选择性辐照已造成选定区中的材料移除之后的图10的叠层的示意性侧视截面图;
-图12是示出在激光切除过程期间作为所施加的脉冲的数目的函数的切割深度的变化的曲线图;
-图13示意性地描绘将辐射提供至环境控制系统的光刻设备;
-图14是在形成图案化的材料层的方法中被辐照的衬底的示意性侧视图;
-图15是显示EUV辐射可以如何提供对等离子体蚀刻过程的局部保护的曲线图;
-图16是示出图15中所示出的局部保护的强度如何随着EUV辐射的强度而变化的曲线图;以及
-图17示意性地描绘关于图14中所描绘的方法的变化,其中施加电场以增强良率和图案导致。
具体实施方式
光刻设备是被构造成将期望的图案施加至衬底上的机器。光刻设备可以用于(例如)集成电路(IC)制造中。光刻设备可以例如将图案形成装置(例如掩模)处的图案投影至被设置在衬底上的辐射敏感材料(抗蚀剂)层上。
为了将图案投影到衬底上,光刻设备可以使用电磁辐射。这种辐射的波长确定图案化于衬底上的特征的最小尺寸。当前在使用中的典型波长是365nm(i线)、248nm、193nm和13.5nm。与使用例如具有193nm的波长的辐射的光刻设备相比,使用波长小于100nm、可选地在5nm至100nm的范围内、可选地在4nm至20nm的范围内(例如6.7nm或13.5nm)的极紫外线(EUV)辐射的光刻设备可以用以在衬底上形成较小特征。
在本文件中,除非另有陈述,否则术语“辐射”和“束”用以涵盖所有类型的电磁辐射,包括紫外线辐射(例如具有365nm、248nm、193nm、157nm或126nm的波长)和极紫外线辐射(EUV,例如具有在约5nm至100nm的范围内的波长)。
图1示意性地描绘光刻设备LA。所述光刻设备LA包括:照射系统(也被称作照射器)IL,所述照射系统被配置成调节辐射束B(例如,UV辐射、DUV辐射或EUV辐射);掩模支撑件(例如掩模台)MT,所述掩模支撑件被构造成支撑图案形成装置(例如,掩模)MA,并且连接至被配置成根据某些参数来准确地定位所述图案形成装置MA的第一定位器PM;衬底支撑件(例如晶片台)WT,所述衬底支撑件被构造成保持衬底(例如涂覆有抗蚀剂的晶片)W且连接至被配置成根据某些参数来准确地定位所述衬底支撑件的第二定位器PW;和投影系统(例如折射型投影透镜系统)PS,所述投影系统被配置成将由图案形成装置MA赋予至辐射束B的图案投影至衬底W的目标部分C(例如包括一个或更多个管芯)上。
在操作中,照射系统IL例如经由束传递系统BD从辐射源SO接收辐射束。照射系统IL可以包括用于引导、成形和/或控制辐射的各种类型的光学部件,诸如折射型、反射型、磁性型、电磁型、静电型和/或其它类型的光学部件,或其任何组合。照射器IL可以用以调节辐射束B,以在图案形成装置MA的平面处在辐射束B的横截面中具有期望的空间强度分布和角强度分布。
本发明所使用的术语“投影系统”PS应被广泛地解释为涵盖适于所使用的曝光辐射或适于诸如浸没液体的使用或真空的使用之类的其它因素的各种类型的投影系统,包括折射型、反射型、反射折射型、变形型、磁性型、电磁型和/或静电型光学系统,或其任何组合。可以认为本文中对术语“投影透镜”的任何使用都与更上位的术语“投影系统”PS同义。
光刻设备LA可以属于如下类型:其中衬底的至少一部分可以由具有相对较高折射率的液体(例如水)覆盖,以便填充投影系统PS与衬底W之间的空间-这也被称作浸没光刻。以引用方式并入本文中的US6952253中给出关于浸没技术的更多信息。
光刻设备LA也可以属于具有两个或更多个衬底支撑件WT(也被称为“双平台”)的类型。在这种“多平台”机器中,可以并行地使用衬底支撑件WT,和/或可以对位于衬底支撑件WT中的一个衬底支撑件上的衬底W进行准备衬底W的后续曝光的步骤,同时将另一衬底支撑件WT上的另一衬底W用于在另一衬底W上曝光图案。
除了衬底支撑件WT以外,光刻设备LA也可以包括测量平台。测量平台被配置以保持传感器和/或清洁装置。传感器可以被配置成测量投影系统PS的属性或辐射束B的属性。测量平台可以保持多个传感器。清洁装置可以被配置成清洁光刻设备的部分,例如投影系统PS的部分或提供浸没液体的系统的部分。测量平台可以在衬底支撑件WT远离投影系统PS时在投影系统PS下方移动。
在操作中,辐射束B被入射到被保持在掩模支撑件MT上的图案形成装置(例如掩模)MA上,并且由存在于图案形成装置MA上的图案(设计布局)而被图案化。在已横穿掩模MA后,辐射束B穿过投影系统PS,投影系统PS将所述束聚焦至衬底W的目标部分C上。借助于第二定位器PW和位置测量系统IF,可以准确地移动衬底支撑件WT,例如以便使不同的目标部分C在聚焦和对准位置处定位在辐射束B的路径中。类似地,第一定位器PM和可能的另一位置传感器(其在图1中未明确地描绘)可以用以相对于辐射束B的路径来准确地定位图案形成装置MA。可以使用掩模对准标记M1、M2和衬底对准标记P1、P2来对准图案形成装置MA和衬底W。虽然如所图示的衬底对准标记P1、P2占据专用目标部分,但所述标记可以位于目标部分之间的空间中。当衬底对准标记P1、P2位于目标部分C之间时,这些衬底对准标记P1、P2被称为划线对准标记。
图2示出包括辐射源SO和光刻设备LA的光刻系统。辐射源SO被配置成产生EUV辐射束B且将EUV辐射束B供应至光刻设备LA。光刻设备LA包括照射系统IL、被配置成支撑图案形成装置MA(例如掩模)的支撑结构MT、投影系统PS和被配置成支撑衬底W的衬底台WT。
照射系统IL被配置成在EUV辐射束B入射到图案形成装置MA上之前调节EUV辐射束B。另外,照射系统IL可以包括琢面场反射镜装置10和琢面光瞳反射镜装置11。琢面场反射镜装置10和琢面光瞳反射镜装置11一起向EUV辐射束B提供期望的横截面形状和期望的强度分布。除了琢面场反射镜装置10和琢面光瞳反射镜装置11以外、或代替琢面场反射镜装置10和琢面光瞳反射镜装置11,照射系统IL也可以包括其它反射镜或装置。
在这样调节之后,EUV辐射束B与图案形成装置MA相互作用。作为这种相互作用的结果,产生图案化EUV辐射束B′。投影系统PS被配置成将图案化EUV辐射束B′投影至衬底W上。出于这种目的,投影系统PS可以包括被配置成将图案化EUV辐射束B′投影至由衬底台WT保持的衬底W上的多个反射镜13、14。投影系统PS可以将缩减因子应用至图案化EUV辐射束B′,因此形成特征小于图案形成装置MA上的相应的特征的图像。例如,可以应用为4或8的缩减因子。虽然投影系统PS被图示为仅具有图2中的两个反射镜13、14,但投影系统PS可以包括不同数目个反射镜(例如,六个或八个反射镜)。
衬底W可以包括先前形成的图案。在这种情况下,光刻设备LA使由图案化EUV辐射束B′形成的图像与先前形成在衬底W上的图案对准。
相对真空,即处于远低于大气压力的压力下的少量气体(例如氢气),可以被设置在辐射源SO中、被设置在照射系统IL中和/或被设置在投影系统PS中。
辐射源SO可以是激光产生等离子体(LPP)源、放电产生等离子体(DPP)源、自由电子激光(FEL)或能够产生EUV辐射的任何其它辐射源。
图3是隧穿FET 20的示意性侧视图。所述隧穿FET 20包括竖直层叠层,包括顶部栅极21、上部介电层22、下部介电层23和底部栅极24。源极25和漏极26分别由二维层27和28连接至所述竖直层叠层。二维层27和28中的每个二维层可以由厚度为一个原子的层组成,所述层也可以被称作单层或单原子层。二维层27和28中的任一二维层或两个二维层可以例如由MoS2或六方BN形成。制造隧穿FET 20需要在侧向方向上对二维层27和28进行图案化。如本说明书的引言部分中提及的,可以使用应用至光致抗蚀剂的光刻来执行图案化,但这种方法可能引入缺陷。本公开的实施例提供用于形成图案化的材料层的替代方法。实施例可以用于制造隧穿FET的至少一个单层(例如二维层27和28中的一个二维层或两个二维层)或用于制造其它半导体器件或用于制造并非半导体器件的器件。
图4和图5示意性地描绘根据实施例的方法的图案化的材料层30的形成。如图4中所描绘的,所述方法包括在沉积过程期间辐照34衬底W的表面的选定部分32。在实施例中,所述沉积过程包括原子层沉积过程、基本上由原子层沉积过程组成、或由原子层沉积过程组成。所述辐照局部地驱动选定区32中的沉积过程(例如原子层沉积)且由此导致所述沉积过程(例如原子层沉积)形成呈由选定部分32限定的图案的形式的材料层30(参见图5)。因此在无需任何抗蚀剂的情况下形成图案。因此无需用以移除抗蚀剂的处理,这降低了图案化的材料层30损坏的风险。与传统的基于光刻的半导体制造过程形成对比,在本公开的实施例中,辐射被用以驱动沉积过程(例如原子层沉积过程)中所涉及的化学反应,而不是被用以破坏或交联抗蚀剂中的分子。
在这种实施例中,利用包括能够局部地驱动沉积过程(例如原子层沉积过程)的任何类型的EUV辐射(具有小于100nm的波长)、基本上由能够局部地驱动沉积过程(例如原子层沉积过程)的任何类型的EUV辐射(具有小于100nm的波长)组成、或由能够局部地驱动沉积过程(例如原子层沉积过程)的任何类型的EUV辐射(具有小于100nm的波长)组成的辐射来执行辐照。使用EUV辐射会提供高空间分辨率。在一些其它实施例中,利用包括较高波长辐射、基本上由较高波长辐射组成、或由较高波长辐射组成的辐射与浸没液体结合来执行辐照,如下文所描述的。较高波长辐射可以在100nm至400nm的范围内(包括DUV辐射)。
原子层沉积是已知的薄膜沉积技术,其中使至少两种化学物质(其可以被称作前驱体材料)中的每种化学物质以连续的、自限制的方式与材料的表面反应。与化学气相沉积形成对比,所述两种前驱体材料从不同时存在于衬底W上方。
在本公开的实施例中,原子层沉积包括至少第一步骤和第二步骤。在第一步骤(其示例在图4中描绘)中,使第一前驱体材料51与衬底W的表面反应。在第二步骤(其示例在图5中描绘)中,使第二前驱体材料52在于第一步骤中在第一前驱体51与衬底W反应的区(在这个示例中为选定区32)中与衬底W反应。
在图4和图5的示例中,仅在第一步骤中辐照衬底W。在其它实施例中,仅在第二步骤期间或在第一步骤和第二步骤期间执行选定部分32的辐照。在不涉及浸没液体的实施例中,使用EUV辐射来执行所述两个步骤中的至少一个步骤中的选定部分32的辐照。可以另外在一个或更多个其它步骤中使用其它形式的辐照(具有或不具有浸没液体),包括DUV辐射来执行辐照。
图6示意性地描绘了用于执行所述方法的设备60。所述设备60因此形成图案化的材料层。所述设备60包括辐照系统。辐照系统可以包括光刻设备LA。光刻设备LA通过将来自图案形成装置MA的图案化辐射束投影至衬底W上来辐照选定部分32。所述光刻设备LA可以如上文参考图1所描述的来配置(例如当辐照包括DUV辐射和/或需要浸没光刻时)或如上文参考图2所描述的来配置(例如当辐照包括EUV辐射时)。
在实施例中,光刻设备LA被配置成执行浸没光刻。在这种实施例中,沉积过程(例如原子层沉积过程)可以包括在选定部分32与浸没液体接触时辐照选定部分32的步骤。因此,例如,沉积过程(例如原子层沉积过程)可以包括:第一步骤,包括将来自气态前驱体材料的前驱体吸附至衬底W;和第二步骤,其中通过经由浸没液体的辐照而将选定部分32中的所吸附的前驱体改性(例如以移除吸附过程的副产物)。通过经由浸没液体的辐照所产生的任何副产物,可以方便地由浸没液体流带走。在实施例中,随后使被辐照的衬底W干燥且在干燥后的衬底W上执行任何其它所需处理。
在实施例中,提供环境控制系统45。所述环境控制系统45允许以使得允许沉积过程(例如原子层沉积过程)继续进行的方式来控制衬底W上方的环境42的组成。在实施例中,所述环境控制系统45包括腔室36以提供包括衬底W的表面的选定部分32的密封环境42。在一些实施例中,全部衬底W在沉积过程(例如原子层沉积过程)期间将位于所述腔室36内。在实施例中,提供材料交换系统38(例如进入腔室36的端口和相关联的阀和/或导管),以允许将材料添加至密封环境42和从密封环境42移除材料,从而允许在所述密封环境42内建立不同的组成环境。可以通过流管理器44将材料提供至材料交换系统38和从材料交换系统38提供材料。流管理器44可以包括储集器、管道、阀、槽、泵、控制系统和/或提供进入腔室36中和离开腔室36的所需材料流所必需的其它部件的任何合适组合。以这种方式实现的不同的组成环境对应于原子层沉积过程的不同的各个阶段。在一些实施例中,添加至腔室36和从腔室36移除的材料是气态的,由此提供由不同气体组合而组成的组成环境。在通过经由浸没液体辐照所述衬底W来执行原子层沉积过程的一个或更多个步骤的实施例中,环境控制系统45可以被配置成允许在受控液态环境被维持在衬底W上方(例如在浸没光刻模式中的曝光期间)的状态与受控气态环境被维持在衬底W上方(例如在来自气态前驱体材料的前驱体的吸附期间)的状态之间进行切换。
在一些实施例中,驱动选定部分32中的沉积过程(例如原子层沉积过程)包括驱动涉及前驱体材料的化学反应。将提供前驱体材料,作为在辐照期间建立于衬底上方的组成环境的部分。驱动所述化学反应可以导致化学反应以与不存在辐照的情况相比更快的速率继续进行。替代地,化学反应可以使得其在不存在辐照的情况下根本不会发生。在实施例中,化学反应是吸热的且辐照提供允许化学反应继续进行所必需的能量。在一些实施例中,化学反应至少部分地由通过辐照而产生于衬底W中的热来驱动。因此,由辐照驱动的化学反应可以包括需要高温而继续进行或在高温下更快速地继续进行的化学反应。在一些实施例中,化学反应包括由辐照驱动的光化学反应。因此,化学反应中所涉及的至少一种物质直接从辐照吸收光子且光子的吸收允许化学反应继续进行。在一些实施例中,光化学反应包括多光子光化学反应,其涉及所述光化学反应中所涉及的至少一种物质中的每种物质对两个或更多个光子的吸收。与针对单光子光化学反应情况相比,吸收两个或更多个光子的要求使得化学反应对辐照强度的变化敏感得多(即,化学反应的速率作为强度的函数更剧烈地变化)。对强度的增加的敏感度提供改善的侧向对比度。在实施例中,光化学反应和辐射引发的加热的组合被用以提供明确限定的过程窗口,在该过程窗口中化学反应被局部地驱动以产生图案。在一些实施例中,另外或替代地,可以在外部加热或冷却所述衬底W(即不是通过辐射来加热或冷却衬底W)以提供明确限定的过程窗口。
在实施例中,辐照驱动前驱体材料中的吸热化学反应,所述前驱体材料包括Mo(thd)3、基本上由Mo(thd)3组成或由Mo(thd)3组成,其中thd=2,2,6,6-四甲基庚烷-3,5-二酮基(2,2,6,6-tetramethylheptane-3,5-dionato)。所述辐照导致选定区32中的Mo沉积。Mo没有沉积于选定区32外部。这种化学反应是双光子光化学反应的示例。因此可以实现Mo的高对比度的图案化层。可以根据需要来执行原子层沉积过程的后续步骤以在由辐照限定的形状中积聚感兴趣的材料(即在选定区32上方而不是在别处)。例如,可以在Mo层上生长另一材料。在实施例中,所述另一材料包括S。因此可以形成MoS2的图案化单层。MoS2的图案化单层可以被用于例如如上文所描述的隧穿FET中。
在实施例中,化学反应包括涉及被吸附至选定区32的前驱体材料的解离的热解过程。这种类型的实施例中的步骤在图7和图8中被示意性地描绘。这种实施例是化学反应至少部分地由通过辐照34而产生于衬底W中的热35来驱动的情形的示例。如图7中所描绘的,热35造成在原子层沉积过程的第一步骤期间前驱体材料的分子仅在选定区32中地解离。因此提供图案化的材料层。图8示出原子层沉积过程的后续步骤,其中对选定区32(而不是其它区)中的材料进行改性。后续步骤可以包括例如在第一步骤中所形成的图案化的材料层的氧化或还原。
在实施例中,所述化学反应的驱动包括通过所述辐射与选定区32上方的气体局部地相互作用而产生反应性物质53。图9中示意性地描绘这种相互作用的示例。在实施例中,所产生的反应性物质53包括氧化剂或还原剂。例如,所产生的反应性物质可以包括使用DUV辐照由O2形成的臭氧。替代地,所产生的反应性物质53可以包括例如通过利用UV辐射来辐照水蒸汽而形成的解离H2O。替代地,所产生的反应性物质53可以包括解离NH3。仅在存在反应性物质时发生的原子层沉积化学反应因此可以被驱动,以仅在由辐照限定的选定区32中发生。虽然这些过程可以使用DUV辐射,但如果方法中的其它步骤使用EUV辐射,则可以实现比仅使用DUV可能实现的空间分辨率更高的空间分辨率。
在实施例中,原子层沉积过程包括以下反应中的一种或更多种:
BBr3+NH3以产生BN
Zn(OC2H5)2+H2O以产生ZnO
Ta(OC2H5)2+H2O以产生Ta2O5
Ta(OC2H5)5+O2以产生Ta2O5
Al(CH3)3+O2以产生Al2O3
Ti(OCH(CH3)2)4+O2以产生TiO2
在以上六个示例反应中的每个示例反应中,第一组份包括呈气态形式的前驱体材料且第二组份包括氧化剂。这些反应全部是光敏性的。
对于基于NH3的反应,原子层沉积过程可以包括例如使用准分子激光器来辐照NH3以解离所述NH3的步骤(同一准分子激光器在这种情况下也可以用以解离前驱体材料BBr3)。因此可以形成六方BN的图案化单层。六方BN的图案化单层可以用于例如如上文所描述的隧穿FET中。
对于基于H2O的反应,原子层沉积过程可以包括使用UV辐射来辐照水蒸汽以解离所述水蒸汽的步骤。对于基于O2的反应,原子层沉积过程可以包括利用DUV辐射来辐照O2以产生臭氧的步骤。
图10和图11示意性地描绘根据一实施例的方法的图案化的材料层30′的形成。如图10中所描绘的,所述方法包括提供叠层70。所述叠层70包括衬底W和材料单层74。一个或更多个中间层72可以可选地被设置在衬底W与材料单层74之间。处理所述叠层70以移除材料单层74的一个或更多个选定区76中的材料。在所示出实施例中,材料的移除会将图案施加至材料单层74。在材料单层74已经包含图案的实施例中,材料的移除会修改材料单层74中的图案。因此,在材料单层74包括通过以上参考图3至图9所描述的方法中的任一方法所形成的图案化的材料层30的情况中,例如,本实施例的方法可以用以修改图案以提供新图案。
可以使用各种技术提供材料单层74。在实施例中,使用原子层沉积过程来形成材料单层74。在实施例中,材料单层74包括呈任何组合的形式的以下中的一种或更多种、基本上由呈任何组合的形式的以下中的一种或更多种组成、或由呈任何组合的形式的以下中的一种或更多种组成:MoS2、六方BN、BN、ZnO、Ta2O5、Al2O3、TiO2。替代地或另外,材料单层74可以包括其它材料。
在实施例中,通过选择性地辐照一个或更多个选定区76中的材料(例如使得辐射直接与所述材料相互作用)来执行材料的移除。图10描绘在由图案化辐射束80辐照过程中的叠层70。选定区76中的材料受到所述辐照干扰。所述干扰是过程中的将导致选定区76中的材料被移除的阶段。图11描绘在已完成移除过程之后的叠层70,其中材料单层74中的间隙限定材料单层74中的图案。材料单层74变成图案化的材料层30′。入射辐射与选定区76中的材料之间的相互作用造成所述移除,但各种机制都可能做出贡献。
在一种类别的实施例中,通过激光切除而发生材料的移除。已知激光切除用于钻孔或切割材料,通常为金属。本发明人已发现,能够以实现适合于对材料单层74(诸如在本公开中所考虑的那些材料单层)进行图案化的控制水平的方式来对激光参数进行调谐。激光参数的调谐可以包括以下中的一种或更多种的调谐:通量、脉冲长度、重复率、脉冲形状和波长。在实施例中,激光器被配置成在短于10-11s、可选地短于10-12s、可选地短于10-13s、可选地短于10-14s、可选地短于10-15s的脉冲长度下操作。激光切除的使用相对于常规的基于光刻的图案化方法改善了产量,这是因为在单个步骤中执行材料的图案化和移除。用于执行激光切除的激光器可以被提供为单独的装置或集成至以上参考图1和图2所描述的类型的光刻设备中。
图12是示范使用激光切除可能的控制程度的曲线图。竖直轴表示使用激光切除至SiN的顶部上的非晶碳层中的切割深度。水平轴表示所施加的激光脉冲的数目N,以104为单位。在这个示例中,使用脉冲长度为400fs且通量约为100mJ/cm2的红外线激光。图12示出,观测到每脉冲0.03nm的平均移除速率,其中随着过程穿透不同层,激光切除的速率具有明显差异。在体系A中,激光切除逐渐地切割通过非晶碳层至1.5微米的深度。在体系B中,激光切除在到达非晶碳层与SiN之间的界面时突然减缓。通过继续施加脉冲,激光切除最终(在额外20000个脉冲之后)突破界面且进入SiN层中(体系C)。因此,通过控制所施加的脉冲的数目,可能可靠地控制切割通过材料达所期望的深度(例如每脉冲具有0.03nm移除深度),特别是在期望切割在两种不同材料之间的界面处准确停止的情况下。在所示出的示例中,施加50000个脉冲将可靠地切穿1.5微米的材料至两个层之间的界面的精确部位,但所述方法适用于正在被切穿的材料的任何深度(体系A中的较少脉冲对于较薄层将是必需的)。归因于当到达界面时激光切除过程的长时间减慢(这有助于在所述界面下方的材料被损坏之前停止切除过程),所述方法可以被应用以精确地切穿任意薄层,而不损坏下面的层,包括切穿如图10和图11中所描绘的材料单层74。
在另一类别的实施例中,通过材料与环境之间的化学反应而发生材料的移除。化学反应由辐照驱动。化学反应可以是光化学反应。在实施例中,驱动化学反应的辐射包括EUV辐射(具有小于100nm的波长)、基本上由EUV辐射(具有小于100nm的波长)组成、或由EUV辐射(具有小于100nm的波长)组成。EUV辐射的使用会提供高空间分辨率。EUV辐射的使用也允许通过EUV光刻设备来实施所述方法。在其它实施例中,可以使用较长的波长辐射,诸如DUV。在实施例中,化学反应的驱动包括通过辐射与气态环境局部地相互作用而产生反应性物质。在实施例中,所产生的反应性物质包括氧化剂或还原剂。
图13示意性地描绘用于执行方法的设备160。所述设备160因此形成图案化的材料层。所述设备160包括辐照系统。辐照系统可以包括光刻设备LA。光刻设备LA通过将来自图案形成装置MA的图案化辐射束134投影至衬底W上来辐照材料单层74的一个或更多个选定区76。所述光刻设备LA可以如上文参考图1所描述的来配置(例如当辐照包括DUV辐射和/或需要浸没光刻时)或如上文参考图2所描述的来配置(例如当辐照包括EUV辐射时)。
在实施例中,光刻设备LA被配置成执行浸没光刻。在这种实施例中,材料单层74的一个或更多个选定区76可以在与浸没液体接触时被辐照。通过辐照而移除的材料可以方便地由浸没液体流带走。在实施例中,随后使被辐照的衬底W干燥且对干燥后的衬底W执行任何其它所需处理。
在实施例中,提供环境控制系统145。所述环境控制系统145允许控制衬底W上方的环境142的组成。在实施例中,环境控制系统145包括腔室136以提供包括材料单层74的一个或更多个选定区76的密封环境142。在一些实施例中,衬底W的全部在图案化的材料层的形成期间将位于腔室36内。在一实施例中,提供材料交换系统138(例如进入腔室136的端口和相关联的阀和/或导管),所述材料交换系统允许将材料添加至密封环境142和从密封环境142移除材料以允许在所述密封环境142内建立不同的组成环境。可以通过流管理器144将材料提供至材料交换系统138和从材料交换系统138提供材料。流管理器144可以包括储集器、管道、阀、槽、泵、控制系统和/或提供进入腔室36中和离开腔室136的所需的材料流所必需的其它部件的任何合适的组合。以这种方式实现的不同的组成环境可以对应于用以在形成图案化的材料层之前形成材料单层74的原子层沉积过程的不同的对应阶段,以及对应于期间形成图案化的材料层的阶段。在一些实施例中,添加至腔室136和从腔室136移除的材料是气态的,由此提供由不同的气体组合而组成的组成环境。在通过经由浸没液体来辐照衬底W从而执行一个或更多个步骤的实施例中,环境控制系统145可以被配置成允许在受控液态环境维持于衬底W上方(例如在浸没光刻模式中的曝光期间)的状态与受控气态环境维持于衬底W上方(例如当形成图案化的材料层时)的状态之间进行切换。
在另一类别的实施例中,至少部分地经由通过入射EUV辐射82与衬底W之间的相互作用而产生二次电子从而发生沉积过程的驱动,如图14中示意性地所描绘的。在这样的实施例中,在衬底W的主体中(即,衬底W的表面84下方)产生二次电子。一些二次电子将具有足够的能量以经由表面84离开衬底W且进入衬底W上方的空间86(即,衬底W的侧面,EUV辐射82从所述侧面入射到衬底W上)。在衬底W是硅晶片的实施例中,与约5eV的典型功函数进行比较,预期二次电子通常将具有在0eV与约20eV之间散布的能量(其中平均值约为10eV)。
衬底W上方的空间86受到控制(例如受到通过如上文所描述的环境控制系统45、145控制)以包括前驱体材料90(例如作为蒸汽)。在实施例中,前驱体材料90包括例如一个或更多个含碳化合物,其中期望将碳沉积至衬底W上。已离开衬底W的二次电子的一部分与所述前驱体材料90相互作用。与前驱体材料90的相互作用可以对前驱体材料90进行改性以促进衍生于前驱体材料90的材料在衬底W上的沉积。前驱体材料90的改性可以包括前驱体材料90的离子化。在期望沉积例如碳的情况下,前驱体材料90的改性可以包括在表面84附近形成碳离子,这促进碳簇在表面84上的生长。
通过二次电子来促进材料的沉积主要或仅仅发生于由EUV辐射82辐照的区88中。可以使用EUV辐射82以高清晰度来限定空间图案。将这种能力与通过二次电子来促进沉积的局部性质组合会允许以高准确度形成图案化的沉积材料层。
在实施例中,促进材料沉积包括促进在表面84上的材料沉积和在已经沉积于表面84上的沉积材料89上的材料沉积。以这种方式,所述过程可以根据需要而沉积材料单层以及较厚层。
在实施例中,EUV辐射82与衬底W上方的气体相互作用以产生等离子体。在实施例中,与气体的相互作用包括氢的离子化。在实施例中,等离子体提供蚀刻功能。等离子体蚀刻在本领域中是已知的且可以用以清洁EUV光刻设备的反射镜上的不想要的材料(特别是碳和锡)积聚。然而,本发明人已发现,在通过EUV辐射产生等离子体的情况下,蚀刻在正在被直接辐照的表面的区中(即在EUV斑内)出乎意料地不太有效。不希望受理论所束缚,据信由于EUV辐射以比通过等离子体蚀刻而移除材料更快的速率引发所辐照的区中的材料沉积,可以产生保护效应。替代地或另外,EUV辐射可能造成抵抗等离子体蚀刻的化学改变、键形成和/或相改变,诸如(部分)结晶。所辐照的区88外部的等离子体蚀刻与所辐照的区88内的材料沉积的促进的组合,允许以高可靠性且在所辐照的区88外部具有最小或没有不想要的材料沉积的情况下对沉积材料的图案进行沉积。图15是示出来自显示了所述EUV辐照的保护效应的实验的示例结果的曲线图。所述实验包括如上文所描述的,在其中衬底W具有已经被沉积于其上的碳材料层且其中EUV从衬底W上方的空间86中的氢产生等离子体的情况下,在区88中利用EUV辐射82来辐照衬底W。水平轴表示沿着穿过所辐照的区88的衬底W上的线的位置范围。左侧竖直轴和虚线曲线表示入射EUV辐射82的强度IEUV随着位置的变化。虚线曲线因此限定区88的部位:即介于约6mm与10mm之间。右侧竖直轴和实线曲线表示由EUV辐射82产生的氢等离子体所导致的碳清洁(CC)过程的有效性变化。看到碳清洁过程的有效性(在这个示例中由所移除的材料的深度(以nm为单位)表示)在由EUV辐射82辐照的区88中显著减小。EUV辐射82因此局部地保护碳层抵抗由EUV产生的等离子体的蚀刻。
图16是示出来自进一步显示由EUV辐射82进行的保护以抵抗由EUV产生的等离子体的蚀刻的实验的示例结果的曲线图。在这种情况下,所述曲线图绘制了碳清洁过程(CC)的有效性(竖直轴)相对于入射EUV辐射82的强度IEUV(水平轴)的变化。看到保护效应随着入射EUV辐射82的强度IEUV增大到高至约1W/cm2而快速增加。高于1W/cm2,保护效应的强度随着入射EUV辐射82的强度IEUV增大而不太快速地增加。
已在用锡代替碳的情况下观测到与上文所论述和图15和图16中所示的类似的行为,并且预期基础机制适用于广泛范围的其它材料。通过适当地选择前驱体材料90(例如作为具有给定比率的气体的组合),可能使用相同方法来选择性地沉积相应的广泛范围的材料。例如,所述方法可以用于选择性地沉积石墨烯、hBN、过渡金属硫族化物(未来FET、光子学和光电子器件和引线所必需的)。
在另一类别的实施例中,如图17中示意性地所描绘的,在衬底W上方施加电场E。所述电场E迫使二次电子远离衬底W。在实施例中,电场E大体上垂直于衬底W的表面84。在实施例中,通过电场控制器93施加电场E。在实施例中,电场控制器93包括提升衬底W相对于接地的电位(即,将电压施加至衬底W)的电路。
电场E提供改善的良率和改善的图案清晰度(锐度)。不希望受理论所束缚,据信这些效应可以由于以下机制中的一种或更多种机制而产生。首先,通过促使二次电子移动至衬底W上方的空间86中,电场E促进二次电子与前驱体材料90之间的增加的相互作用,由此提高良率。其次,电场E可以促使已由二次电子离子化的前驱体材料快速且直接地朝向衬底移动,由此促进高效和局部化的沉积。第三,特别是当电场E相对于表面84垂直地定向时,所述电场减小了二次电子和离子化前驱体材料的侧向散布,由此有利于通过沉积过程形成的图案的较陡边缘。
在图17的示例中,作为时间t的函数的EUV辐射82的强度I的变化由虚线曲线92示意性地表示,并且作为时间t的函数的施加至衬底W的电压由实线曲线91描绘。二次电子e-由圆圈示意性地表示。还没有由EUV辐射82改性的前驱体材料X0由三角形表示。已由EUV辐射82改性(例如通过离子化)的前驱体材料X*和X+由正方形表示。子图94是在无电场的情况下施加EUV辐射82的时间段期间衬底W的示意性侧视图。子图96是在具有电场的情况下施加EUV辐射82的时间段期间同一衬底W的示意性侧视图。子图96示意性地图示电场E可以如何改善良率和图案清晰度,其中大数目个二次电子在侧向局部化区中被驱动远离表面84,从而促进在所述侧向局部化区中增加的改性后的前驱体材料的生成。
可以采用等离子体蚀刻的上述局部抑制以提供预先存在的材料层的受控蚀刻。在实施例中,提供一种方法,其中在一个或更多个选定区中由EUV辐射来辐照包括衬底W和衬底W上的材料层的叠层。所述辐照将图案施加至材料层。如果材料层已经包括图案,则辐照可以修改图案。辐照通过在衬底W上方的区86中产生等离子体来移除材料,如上文所描述的。例如,可以通过使氢离子化来产生等离子体。辐射与衬底W相互作用以相对于其它区局部地抑制(或防止)一个或更多个选定区中的材料移除(如上文参考例如图15和图16所描述的)。其它区是没有被辐照且没有观测到清洁效应的抑制的区。
上文参考图14至图17的实施例提及的前驱体材料90可以包括上文关于较早实施例所论述的前驱体材料90中的任一前驱体材料。在实施例中,前驱体材料90包括碳或碳化合物。在这种实施例中,所沉积的(或所选择性蚀刻的)材料可以包括碳或碳化合物。在实施例中,前驱体材料90包括锡或锡化合物。在这种实施例中,所沉积的(或所选择性蚀刻的)材料可以包括锡或锡化合物。预期所述机制适用于广泛范围的其它材料。在需要等离子体蚀刻的情况下,可以提供合适的等离子体促进材料,诸如氢。等离子体促进材料和/或前驱体材料的相对浓度和组成可以被调整以优化良率和/或图案化品质。
可以使用以下方面进一步描述实施例:
1.一种形成图案化的材料层的方法,包括:
在沉积过程期间利用具有小于100nm的波长的电磁辐射来辐照衬底的表面的选定部分,所述辐照使得在选定区中局部地驱动所述沉积过程且由此使所述沉积过程以由所述选定部分限定的图案形成材料层。
2.根据方面1所述的方法,其中在所述选定部分中所述沉积过程的驱动包括驱动涉及前驱体材料的化学反应。
3.根据方面2所述的方法,其中所述化学反应包括由所述辐照驱动的光化学反应。
4.根据方面3所述的方法,其中所述光化学反应是多光子光化学反应,所述多光子光化学反应涉及所述光化学反应中所涉及的至少一种物质中的每种物质对两个或更多个光子的吸收。
5.根据方面4所述的方法,其中所述多光子光化学反应是双光子光化学反应。
6.根据方面2至5中任一项所述的方法,其中所述前驱体材料包括Mo(thd)3,其中thd=2,2,6,6-四甲基庚烷-3,5-二酮基。
7.根据方面2至6中任一项所述的方法,其中所述化学反应至少部分地由通过所述辐照产生于所述衬底中的热来驱动。
8.根据方面7所述的方法,其中所述化学反应包括涉及被吸附至所述选定区的所述前驱体材料的解离的热解过程。
9.根据方面2至8中任一项所述的方法,其中所述前驱体材料包括以下中的一种或更多种:BBr3、Zn(OC2H5)2、Ta(OC2H5)2、Ta(OC2H5)5、Al(CH3)3、Ti(OCH(CH3)2)4
10.根据方面2至9中任一项所述的方法,其中所述化学反应的所述驱动包括通过所述辐射与所述选定区上方的气体局部地相互作用而产生反应性物质。
11.根据方面10所述的方法,其中所产生的反应性物质包括氧化剂或还原剂。
12.根据方面10或11所述的方法,其中所产生的反应性物质包括以下中的一种或更多种:解离O2、解离H2O、解离NH3
13.根据方面1至12中任一项所述的方法,其中所述沉积过程的所述驱动包括通过所述电磁辐射与所述衬底之间的相互作用而产生二次电子。
14.根据方面13所述的方法,其中所述二次电子的一部分离开所述衬底且与所述衬底上方的前驱体材料相互作用,所述二次电子与所述前驱体材料之间的相互作用使得促进衍生于所述前驱体材料的材料的沉积。
15.根据方面14所述的方法,还包括施加迫使二次电子远离所述衬底的电场。
16.根据方面15所述的方法,其中迫使二次电子远离所述衬底的力相对于所述衬底的所述表面垂直地指向。
17.根据方面13至16中任一项所述的方法,其中所述前驱体材料和通过所述沉积过程沉积的材料层包括以下中的一种或更多种:碳或碳化合物、锡或锡化合物。
18.根据任一前述方面所述的方法,其中所述沉积过程包括原子层沉积过程。
19.根据方面18所述的方法,其中所述原子层沉积过程包括两个步骤,并且在所述两个步骤中的任一步骤或两个步骤期间执行所述衬底的所述表面的所述选定部分的辐照。
20.根据方面19所述的方法,其中所述步骤中的至少一个步骤包括在所述衬底的所述选定部分与液体接触时辐照所述衬底的所述选定部分。
21.一种形成图案化的材料层的方法,包括:
提供包括衬底和材料层的叠层;和
利用具有小于100nm的波长的电磁辐射来辐照所述材料层的一个或更多个选定区,以将图案施加至所述材料层或修改所述材料层中的图案,其中:
所述辐照通过在所述衬底上方的所述区中产生等离子体而导致在所述辐照期间移除材料;并且
所述辐射与所述衬底相互作用以相对于其它区局部地抑制所述一个或更多个选定区中的材料的移除,以施加图案或修改图案。
22.根据方面1至21中任一项所述的方法,其中所述电磁辐射具有在4nm至20nm的范围内的波长。
23.一种形成图案化的材料层的方法,包括:
在原子层沉积过程期间利用电磁辐射来辐照衬底的表面的选定部分,所述辐照使得在选定区中局部地驱动所述原子层沉积过程且由此使所述原子层沉积过程以由所述选定部分限定的图案形成材料层,其中:
所述原子层沉积过程包括两个步骤,并且在所述两个步骤中的至少一个步骤期间且在所述衬底的所述选定部分与液体接触时执行所述选定部分的所述辐照。
24.根据任一前述方面所述的方法,还包括:
处理以图案的形式形成的所述材料层以移除一个或更多个选定区中的材料,由此修改图案。
25.根据方面24所述的方法,其中材料的移除通过选择性地辐照所述一个或更多个选定区中的材料来执行。
26.一种形成图案化的材料层的方法,包括:
提供包括衬底和材料单层的叠层;和
处理所述叠层以通过选择性地辐照所述材料单层的一个或更多个选定区中的材料来移除所述材料单层的所述一个或更多个选定区中的材料,由此将图案施加至所述材料单层或修改所述材料单层中的图案。
27.根据方面25或26所述的方法,其中在选择性地辐照期间移除所述一个或更多个选定区中的材料。
28.根据方面25至27中任一项所述的方法,其中材料的移除通过激光切除而发生。
29.根据方面25至28中任一项所述的方法,其中材料的移除通过材料与环境之间的化学反应而发生,所述化学反应由辐照驱动。
30.根据方面29所述的方法,其中驱动所述化学反应的辐射包括具有低于100nm的波长的辐射。
31.一种形成半导体器件的方法,包括使用根据方面1至30中任一项所述的方法以在所述器件中形成至少一个层。
32.根据方面31所述的方法,其中所述半导体器件包括隧穿FET,并且根据方面1至30中任一项所述的方法被用于形成所述隧穿FET的至少一个单层。
33.一种用于形成图案化的材料层的设备,包括:
辐照系统,所述辐照系统被配置成在沉积过程期间利用具有小于100nm的波长的电磁辐射来辐照衬底的表面的选定部分;和
环境控制系统,所述环境控制系统被配置成允许以使得允许所述沉积过程继续进行的方式来控制所述衬底上方的环境的组成。
34.一种用于形成图案化的材料层的设备,包括:
辐照系统,所述辐照系统被配置成在沉积过程期间利用电磁辐射来辐照衬底的表面的选定部分;和
环境控制系统,所述环境控制系统被配置成允许以使得允许所述沉积过程继续进行的方式来控制所述衬底上方的环境的组成,
其中所述环境控制系统被配置成:在所述沉积过程的至少一个步骤中在所述选定部分的辐照期间,允许液体被维持为与所述选定部分接触。
35.根据方面33或34所述的设备,其中所述环境控制系统包括:
腔室,所述腔室用以提供包括所述衬底的所述表面的所述选定部分的密封环境;和
材料交换系统,所述材料交换系统被配置成允许材料被添加至所述密封环境和从所述密封环境被移除以允许在所述密封环境内建立不同的组成环境,所述不同的组成环境对应于所述沉积过程的不同的对应步骤。
36.根据方面33至35中任一项所述的设备,其中:
所述环境控制系统被配置成控制所述衬底上方的环境以在所述环境中提供前驱体材料;
所述环境的控制使得通过所述电磁辐射与所述衬底之间的相互作用而产生的二次电子的一部分与所述环境中的所述前驱体材料相互作用;并且
二次电子与所述前驱体材料之间的相互作用使得促进衍生于所述前驱体材料的材料的沉积。
37.根据方面33至36中任一项所述的设备,还包括:
电场控制器,所述电场控制器被配置成施加被定向以迫使二次电子远离所述衬底的电场。
38.根据方面37所述的设备,其中所述电场控制器被配置成使得所述电场相对于所述衬底的所述表面垂直地指向。
39.根据方面37或38所述的设备,其中所述电场控制器被配置成通过将电压施加至所述衬底来施加所述电场。
40.一种用于形成图案化的材料层的设备,包括:
辐照系统,所述辐照系统被配置成利用具有小于100nm的波长的电磁辐射来辐照衬底上的材料层的一个或更多个选定区;和
环境控制系统,所述环境控制系统被配置成允许在辐照期间控制所述衬底上方的环境的组成,其中:
所述环境控制系统被配置成控制所述环境以在所述环境中提供等离子体促进材料;
所述等离子体促进材料使得导致在所述电磁辐射穿过受控的环境时待由所述电磁辐射产生的等离子体;
所述等离子体使得在辐照期间移除所述材料层中的材料;并且
所述辐射与所述衬底相互作用以相对于其它区局部地抑制所述一个或更多个选定区中的材料的移除,由此将图案施加至所述材料层或修改所述材料层中的图案。
41.一种用于形成图案化的材料层的设备,包括:
辐照系统,所述辐照系统被配置成利用具有小于100nm的波长的电磁辐射选择性地辐照材料单层的一个或更多个选定区;和
环境控制系统,所述环境控制系统被配置成允许以如下方式来控制所述衬底上方的环境的组成:使得通过所述材料单层的所述一个或更多个选定区中的材料与受控的环境之间的化学反应而移除所述材料单层的所述一个或更多个选定区中的材料,所述化学反应由辐照驱动。
42.根据方面33至41中任一项所述的设备,其中所述辐照系统包括光刻设备,所述光刻设备被配置成通过将来自图案形成装置的图案化辐射束投影至所述衬底上来提供辐照。
虽然可以在本文中具体地参考在IC制造中光刻设备的使用,但应理解,本文中所描述的光刻设备可以具有其它应用。可能的其它应用包括制造集成光学系统、用于磁畴存储器的引导和检测图案、平板显示器、液晶显示器(LCD)、薄膜磁头等。
虽然上文已描述本发明的具体实施例,但应了解,可以与所描述方式不同的其它方式来实践本发明。以上描述意图是说明性的,而不是限制性的。因此,本领域技术人员将明白,可以在不背离下文所阐明的权利要求的范围的情况下对所描述的本发明进行修改。

Claims (15)

1.一种形成图案化的材料层的方法,包括:
在沉积过程期间利用具有小于100nm的波长的电磁辐射来辐照衬底的表面的选定部分,所述辐照使得在选定区中局部地驱动所述沉积过程且由此使所述沉积过程以由所述选定部分限定的图案形成材料层;和
施加迫使电子远离所述衬底的电场。
2.根据权利要求1所述的方法,其中在所述选定部分中的所述沉积过程的驱动包括:驱动涉及前驱体材料的化学反应。
3.根据权利要求2所述的方法,其中所述化学反应包括由所述辐照驱动的光化学反应。
4.根据权利要求3所述的方法,其中所述光化学反应是多光子光化学反应,该多光子光化学反应涉及所述光化学反应中所涉及的至少一种物质中的每种物质对两个或更多个光子的吸收。
5.根据权利要求4所述的方法,其中所述多光子光化学反应是双光子光化学反应。
6.根据权利要求2所述的方法,其中所述前驱体材料包括Mo(thd)3,其中thd=2,2,6,6-四甲基庚烷-3,5-二酮基。
7.根据权利要求2所述的方法,其中:
所述化学反应至少部分地由通过所述辐照产生于所述衬底中的热来驱动;并且
所述化学反应包括涉及被吸附至所述选定区的所述前驱体材料的解离的热解过程。
8.根据权利要求2所述的方法,其中所述前驱体材料包括以下中的一种或更多种:BBr3、Zn(OC2H5)2、Ta(OC2H5)2、Ta(OC2H5)5、Al(CH3)3、Ti(OCH(CH3)2)4
9.根据权利要求1所述的方法,其中所述沉积过程包括原子层沉积过程。
10.根据权利要求1所述的方法,其中所述电场相对于所述衬底的所述表面垂直地指向。
11.根据权利要求1所述的方法,其中通过将电压施加至所述衬底来施加所述电场。
12.一种用于形成图案化的材料层的设备,包括:
辐照系统,所述辐照系统被配置成在沉积过程期间利用具有小于100nm的波长的电磁辐射来辐照衬底的表面的选定部分;和
环境控制系统,所述环境控制系统被配置成允许以使得允许所述沉积过程继续进行的方式来控制所述衬底上方的环境的组成;以及
电场控制器,所述电场控制器被配置成施加被定向成迫使二次电子远离所述衬底的电场。
13.根据权利要求12所述的设备,其中所述电场控制器被配置成使得所述电场相对于所述衬底的所述表面垂直地指向。
14.根据权利要求12所述的设备,其中所述电场控制器被配置成通过将电压施加至所述衬底来施加所述电场。
15.根据权利要求12所述的设备,其中:
所述环境控制系统被配置成控制所述衬底上方的环境以在环境中提供前驱体材料。
CN201980016708.7A 2018-03-02 2019-02-21 形成图案化的材料层的方法和设备 Active CN111837074B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
EP18159656.0 2018-02-03
EP18159656.0A EP3534211A1 (en) 2018-03-02 2018-03-02 Method and apparatus for forming a patterned layer of material
EP18198942.7 2018-10-05
EP18198942 2018-10-05
EP18204446.1 2018-11-05
EP18204446 2018-11-05
PCT/EP2019/054313 WO2019166318A1 (en) 2018-03-02 2019-02-21 Method and apparatus for forming a patterned layer of material

Publications (2)

Publication Number Publication Date
CN111837074A true CN111837074A (zh) 2020-10-27
CN111837074B CN111837074B (zh) 2023-11-03

Family

ID=65443863

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980016708.7A Active CN111837074B (zh) 2018-03-02 2019-02-21 形成图案化的材料层的方法和设备

Country Status (8)

Country Link
US (1) US20210079519A1 (zh)
EP (1) EP3759550A1 (zh)
JP (1) JP7250803B2 (zh)
KR (1) KR102447189B1 (zh)
CN (1) CN111837074B (zh)
IL (1) IL276936A (zh)
TW (1) TWI714973B (zh)
WO (1) WO2019166318A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022106157A1 (en) 2020-11-18 2022-05-27 Asml Netherlands B.V. Method of forming a patterned layer of material
EP4001455A1 (en) 2020-11-18 2022-05-25 ASML Netherlands B.V. Method of forming a patterned layer of material
DE102021116036A1 (de) 2021-06-21 2022-12-22 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung eingetragener Verein Verfahren und System zum Herstellen einer metallischen Struktur
EP4206823A1 (en) 2021-12-30 2023-07-05 ASML Netherlands B.V. Method of patterning a target layer, apparatus for patterning a target layer
WO2024002578A1 (en) 2022-06-27 2024-01-04 Asml Netherlands B.V. Material, method and apparatus for forming a patterned layer of 2d material
EP4343020A1 (en) 2022-09-21 2024-03-27 ASML Netherlands B.V. Method of forming a patterned layer of material, apparatus for forming a patterned layer of material

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6369978A (ja) * 1986-09-12 1988-03-30 Nippon Telegr & Teleph Corp <Ntt> 薄膜パタン形成方法
JPH05275259A (ja) * 1992-02-26 1993-10-22 Nec Corp 導体コイルパターンの製造方法及び製造装置
JP2006153998A (ja) * 2004-11-25 2006-06-15 Sharp Corp パターン形成方法およびパターン形成装置
JP2009132854A (ja) * 2007-02-06 2009-06-18 Canon Inc 感光性化合物、感光性組成物、レジストパターンの形成方法及びデバイスの製造方法
JP2016517633A (ja) * 2013-03-14 2016-06-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 蒸着で堆積されたフォトレジスト、及びそのための製造及びリソグラフィシステム
JP2017518523A (ja) * 2014-04-09 2017-07-06 エーエスエムエル ネザーランズ ビー.ブイ. 物体を洗浄するための装置

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS592046A (ja) * 1982-06-28 1984-01-07 Nec Corp X線露光被照射体
JP2985321B2 (ja) * 1991-01-31 1999-11-29 日本電気株式会社 マスクパタ−ン形成方法
US6503314B1 (en) * 2000-08-28 2003-01-07 Sharp Laboratories Of America, Inc. MOCVD ferroelectric and dielectric thin films depositions using mixed solvents
US6673524B2 (en) * 2000-11-17 2004-01-06 Kouros Ghandehari Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
KR100399617B1 (ko) * 2000-12-27 2003-09-29 엘지.필립스 엘시디 주식회사 폴리 실리콘 박막 제조방법
JP3977324B2 (ja) 2002-11-12 2007-09-19 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置
US7728503B2 (en) * 2006-03-29 2010-06-01 Ricoh Company, Ltd. Electron emission element, charging device, process cartridge, and image forming apparatus
JP4245623B2 (ja) 2006-08-22 2009-03-25 ゼット株式会社 球技用心臓防護シャツ、及び、それに用いられる心臓防護パット
KR20100093349A (ko) * 2009-02-16 2010-08-25 삼성전자주식회사 기상 박막 형성 방법 및 반도체 집적 회로 장치의 제조 방법
JP5708648B2 (ja) * 2010-08-06 2015-04-30 旭硝子株式会社 サポート基板
US8426085B2 (en) * 2010-12-02 2013-04-23 Intermolecular, Inc. Method and apparatus for EUV mask having diffusion barrier
WO2013113537A2 (en) 2012-01-30 2013-08-08 Asml Netherlands B.V. Optical element, lithographic apparatus incorporating such an element, method of manufacturing an optical element
US9499909B2 (en) * 2013-03-15 2016-11-22 Applied Materials, Inc. Methods for photo-excitation of precursors in epitaxial processes using a rotary scanning unit
US9793503B2 (en) * 2014-10-22 2017-10-17 Ellen Tuanying Chen Nanostructured organic memristor/memcapacitor of making with an embedded low-to-high frequency switch and a method of inducing an electromagnetic field thereto
US9466464B1 (en) * 2015-01-23 2016-10-11 Multibeam Corporation Precision substrate material removal using miniature-column charged particle beam arrays
KR102314722B1 (ko) * 2015-05-27 2021-10-20 에이에스엠 아이피 홀딩 비.브이. 몰리브덴 또는 텅스텐 함유 박막의 ald용 전구체의 합성 및 사용
EP3482259B1 (en) 2016-07-06 2024-01-10 ASML Netherlands B.V. A substrate holder and a method of manufacturing a substrate holder

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6369978A (ja) * 1986-09-12 1988-03-30 Nippon Telegr & Teleph Corp <Ntt> 薄膜パタン形成方法
JPH05275259A (ja) * 1992-02-26 1993-10-22 Nec Corp 導体コイルパターンの製造方法及び製造装置
JP2006153998A (ja) * 2004-11-25 2006-06-15 Sharp Corp パターン形成方法およびパターン形成装置
JP2009132854A (ja) * 2007-02-06 2009-06-18 Canon Inc 感光性化合物、感光性組成物、レジストパターンの形成方法及びデバイスの製造方法
JP2016517633A (ja) * 2013-03-14 2016-06-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 蒸着で堆積されたフォトレジスト、及びそのための製造及びリソグラフィシステム
JP2017518523A (ja) * 2014-04-09 2017-07-06 エーエスエムエル ネザーランズ ビー.ブイ. 物体を洗浄するための装置

Also Published As

Publication number Publication date
EP3759550A1 (en) 2021-01-06
JP7250803B2 (ja) 2023-04-03
WO2019166318A1 (en) 2019-09-06
IL276936A (en) 2020-10-29
KR20200118119A (ko) 2020-10-14
CN111837074B (zh) 2023-11-03
TWI714973B (zh) 2021-01-01
JP2021515264A (ja) 2021-06-17
KR102447189B1 (ko) 2022-09-26
TW201944169A (zh) 2019-11-16
US20210079519A1 (en) 2021-03-18

Similar Documents

Publication Publication Date Title
CN111837074B (zh) 形成图案化的材料层的方法和设备
KR100737759B1 (ko) 리소그래피 투영장치의 구성요소의 표면을 세정하는 방법, 리소그래피 투영장치, 디바이스 제조방법, 및 세정장치
KR102639026B1 (ko) 패턴화된 재료의 층을 형성하기 위한 방법 및 장치
JP2009510714A (ja) リソグラフィ装置およびそのためのクリーニング方法
JP6303008B2 (ja) 異なる波長の二つ以上の紫外光源を用いて基板を処理するシステム
EP3534211A1 (en) Method and apparatus for forming a patterned layer of material
JP2010087505A (ja) 非接触洗浄のためのシステム、リソグラフィ装置、及びデバイス製造方法
US20230350301A1 (en) Method and apparatus for forming a patterned layer of material
EP3722457A1 (en) Method and apparatus for forming a patterned layer of material
CN108121169B (zh) 远紫外线对准标记的形成方法
US9291890B2 (en) Method for repairing a mask
KR102619440B1 (ko) 패턴화된 탄소층을 형성하기 위한 방법 및 장치, 패턴화된 물질층을 형성하는 방법
JP2004525506A (ja) X線/euv投影リソグラフィによる金属/半導体化合物の構造の作製方法
EP4206823A1 (en) Method of patterning a target layer, apparatus for patterning a target layer
JP2007157981A (ja) 露光装置
EP4343020A1 (en) Method of forming a patterned layer of material, apparatus for forming a patterned layer of material
WO2023110313A1 (en) Method and system for preventing degradation of a material of an optical component for euv-lithography
CN116057468A (zh) 用于蚀刻光刻掩模的方法与设备

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant