KR102447189B1 - 재료의 패터닝된 층을 형성하기 위한 방법 및 장치 - Google Patents

재료의 패터닝된 층을 형성하기 위한 방법 및 장치 Download PDF

Info

Publication number
KR102447189B1
KR102447189B1 KR1020207025362A KR20207025362A KR102447189B1 KR 102447189 B1 KR102447189 B1 KR 102447189B1 KR 1020207025362 A KR1020207025362 A KR 1020207025362A KR 20207025362 A KR20207025362 A KR 20207025362A KR 102447189 B1 KR102447189 B1 KR 102447189B1
Authority
KR
South Korea
Prior art keywords
substrate
deposition process
irradiation
radiation
electric field
Prior art date
Application number
KR1020207025362A
Other languages
English (en)
Other versions
KR20200118119A (ko
Inventor
야거 피터 빌렘 허만 드
샌더 프레드릭 부이스터
레어 마리-끌레르 반
루벤 코르넬리스 마스
알렉세이 올레고비치 폴랴코프
타마라 드루지니나
빅토리아 보로니나
예브게니야 쿠르가노바
짐 빈센트 오베르캄프
베르나르도 카스트루프
캄펜 마르텐 반
알렉산드르 돌고프
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP18159656.0A external-priority patent/EP3534211A1/en
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20200118119A publication Critical patent/KR20200118119A/ko
Application granted granted Critical
Publication of KR102447189B1 publication Critical patent/KR102447189B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/047Coating on selected surface areas, e.g. using masks using irradiation by energy or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using coherent light, UV to IR, e.g. lasers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation
    • G03F7/2039X-ray radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2053Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a laser
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02568Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7391Gated diode structures

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Optics & Photonics (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

재료의 패터닝된 층을 형성하기 위한 방법 및 장치가 개시된다. 하나의 구성에서, 기판의 표면의 선택된 부분이 증착 프로세스 중에 100 nm보다 작은 파장을 가지는 전자기 방사선으로 조사된다. 더욱이, 전기장 제어기는 이차 전자를 기판으로부터 강제로 멀어지게 하도록 배향되는 전기장을 인가하도록 구성된다. 이러한 조사는 선택된 영역 내에서 증착 프로세스를 국지적으로 유발하여, 증착 프로세스에 의해 재료의 층이 상기 선택된 부분에 의해 규정된 패턴으로 형성되도록 한다.

Description

재료의 패터닝된 층을 형성하기 위한 방법 및 장치
관련 출원에 대한 상호 참조
본원은 2018 년 3 월 2 일에 출원된 EP 출원 제 18159656.0 및 2018 년 10 월 5 일에 출원된 EP 출원 제 18198942.7 및 2018 년 11 월 5 일에 출원된 EP 출원 제 18204446.1에 대한 우선권을 주장하는데, 이들은 그 전체 내용이 원용되어 본원에 통합된다.
본 발명은 재료의 패터닝된 층을 형성하기 위한 방법 및 장치에 관한 것이다.
반도체 제조 프로세스가 계속하여 발전함에 따라, 디바이스 당 트랜지스터와 같은 회로 소자들의 양은 일반적으로 "무어(Moore)의 법칙"이라고 불리는 경향을 따라서 수 십 년에 걸쳐 지속적으로 증가하는 반면에, 기능 소자들의 치수는 계속하여 감소되어 왔다. 무어(Moore)의 법칙이 계속되게 하기 위해서, 반도체 산업은 점점 더 작은 피쳐를 생성할 수 있게 하는 기술을 찾고 있다.
많은 반도체 제조 프로세스는 리소그래피에 의존한다. 리소그래피 중의 기판의 노광은 필드마다 수행되는 반면에, 거의 모든 또는 모든 다른 단계(예를 들어 에칭, 증착, 화학적 기계적 평탄화(CMP), 주입)은 전체 기판에 대해 동시에 수행된다. 리소그래피 처리가 더 작은 피쳐로 이동함에 따라, 기판에 걸친 균일성에 대한 요구가 증가하고, 이것은 전체 기판 처리가 점점 어려워진다는 것을 의미한다. 임계 치수 균일성은 포토레지스트 내의 화학적 노이즈에 의해 한정될 수 있다.
터널링 FET는 그 감쇠 시간이 짧고 암-전류가 0이기 때문에(따라서 저 전력 소모), 장래의 트랜지스터 레이아웃에 포함될 가능성이 높은 후보이다. 터널링 FET를 제조하는 것은, 원자 단일층, 예컨대 MoS2의 패터닝된 스택을 형성해야 할 필요성이 있기 때문에 어렵다. 리소그래피가 패터닝을 수행하기 위하여 사용될 수 있지만, 포토레지스트를 에칭 또는 스트리핑하기 위한 프로세스가 원자 단일층에 결함이 생기게 할 수 있고, 따라서 수율에 영향을 준다는 것이 발견되었다.
본 발명의 목적은 패터닝된 층을 형성하기 위한 대안적이거나 개선된 방법 및 장치를 제공하는 것이다.
하나의 양태에 따르면, 재료의 패터닝된 층을 형성하는 방법으로서, 증착 프로세스 중에, 기판의 표면의 선택된 부분을 100 nm보다 작은 파장을 가지는 전자기 방사선으로 조사하는 단계를 포함하는 방법이 제공되는데, 조사는 선택된 영역 내에서 증착 프로세스를 국지적으로 유발하여, 증착 프로세스에 의해 재료의 층이 상기 선택된 부분에 의해 규정된 패턴으로 형성되도록 하기 위한 것이다.
따라서, 방사선 패턴이 증착 프로세스(예를 들어, 원자층 증착 프로세스 또는 화학적 기상 증착 프로세스를 포함할 수 있음)가 어디에서 일어나는지를 규정하여, 이를 통하여 재료의 패터닝된 층이 레지스트에 대한 필요가 없이 형성될 수 있게 하는 방법이 제공된다. EUV 방사선(100nm 미만의 파장을 가지는 방사선)을 사용하면 효과적이고 실용적이라는 것이 밝혀졌고, 따라서 고분해능 피쳐가 개시된 기법을 사용하여 형성되게 한다. 레지스트를 제거하는 것과 연관된, 잠재적으로 손상을 일으키는 처리 단계가 회피될 수 있다. 반도체 디바이스 제조의 콘텍스트에서, 화학적 노이즈와 연관된 오차가 줄어들 수 있다고 기대되는데, 그 이유는 증착 시에 사용되는 전구체 재료가 통상적인 레지스트 재료와 비교할 때 작은 분자이기 때문이다. 화학물질 노이즈가 국소 임계 치수 비-균일성에 미치는 기여도는, 빌딩 블록이 폴리머 또는 금속 산화물 나노입자 중 어느 하나인 화학적으로 증폭된 레지스트 및 비-화학적으로 증폭된 레지스트에 대한 경우보다 작을 것으로 기대된다. 국소 임계 치수 균일성을 개선하면, 디바이스 피쳐의 에지 배치 정확도를 개선하는데 기여할 수 있다.
증착 프로세스(예를 들어 원자층 증착 프로세스) 중에 기판을 조사하면, 패턴이 직접적으로 규정되게 할 수 있는 것뿐만 아니라, 조사를 사용하지 않는 구성에 비하여 증착 프로세스(예를 들어 원자층 증착 프로세스)를 가속할 수도 있고, 이를 통하여 양호한 쓰루풋을 제공한다.
증착 프로세스(예를 들어 원자층 증착 프로세스)를 유발(driving)하는 것이 처리되는 중인 표면에서 본질적으로 생기는 화학 반응을 수반하기 때문에, 결과적으로 얻어지는 패턴의 정확도는 표면 아래의 스택 내의 변동에 상대적으로 무감하게 될 것이다.
하나의 통합된 프로세스는, 대안적인 레지스트-기반 반도체 제조 프로세스(예를 들어 노광, 현상, 증착 등)에서는 여러 별개의 프로세스가 필요할 결과를 얻어낸다. 이것은 프로세스 최적화의 범위를 증가시킬 수 있다.
일 실시예에서, 증착 프로세스(예를 들어 원자층 증착 프로세스)를 선택된 부분 내에서 유발하는 것은, 상기 전구체 재료를 수반하는 화학 반응을 유발하는 것을 포함하는데, 여기에서 화학 반응은 조사에 의해 유발되는 광화학 반응을 포함하고, 광화학 반응은 광화학 반응에 수반된 적어도 하나의 종들 각각에 의한 두 개 이상의 광자의 흡수를 수반한다. 조사가 다광자 광화학 반응을 유발하도록 원자층 증착을 구성하면, 특히 높은 공간적 콘트라스트가 얻어질 수 있게 된다.
일 실시예에서, 화학 반응의 유발은, 선택된 영역 위의 가스와 국지적으로 상호작용하는 방사선에 의해 반응성 종을 생성하는 것을 포함한다. 반응성 종을 국지적으로 생성하기 위하여 방사선을 사용하면, 광범위한 재료의 공간적으로 제어된 증착 또는 변경이 가능해진다.
일 양태에 따르면, 재료의 패터닝된 층을 형성하는 방법으로서, 원자층 증착 프로세스 중에, 기판의 표면의 선택된 부분을 전자기 방사선으로 조사하는 단계를 포함하고, 상기 조사는 선택된 영역 내에서 상기 원자층 증착 프로세스를 국지적으로 유발하여, 상기 원자층 증착 프로세스에 의해 상기 선택된 부분에 의해 규정된 패턴으로 재료의 층을 형성하게 하기 위한 것이며, 상기 원자층 증착 프로세스는 두 단계를 포함하고, 상기 선택된 부분의 조사는 상기 기판의 선택된 부분이 액체와 접촉하는 동안에 두 단계 중 적어도 하나의 단계 중에 수행되는, 패터닝된 층 형성 방법이 제공된다.
따라서, 침지 프로세스(선택된 부분이 액체로 커버됨) 중에 인가되는 방사선 패턴이 원자층 증착 프로세스가 어디에서 발생할지를 규정하여, 원자층 증착 프로시저의 확장된 범위(방사선 패턴이 순전히 가스상 환경에서 적용되는 경우에 비하여)에 있는 레지스트가 필요 없이 재료의 패터닝된 층이 형성되게 하는 방법이 제공된다. 침지액의 흐름은 조사에 의해 생성된 부산물을 편리하게 밖으로 운반할 수 있다.
일 양태에 따르면, 재료의 패터닝된 층을 형성하기 위한 장치로서, 증착 프로세스 중에, 기판의 표면의 선택된 부분을 100 nm보다 작은 파장을 가지는 전자기 방사선으로 조사하도록 구성되는 조사 시스템; 상기 증착 프로세스가 진행될 수 있도록, 상기 기판 위의 환경의 조성이 제어될 수 있게 구성되는 환경 제어 시스템을 포함하는, 패터닝된 층 형성 장치가 제공된다.
일 양태에 따르면, 재료의 패터닝된 층을 형성하기 위한 장치로서, 증착 프로세스 중에, 기판의 표면의 선택된 부분을 전자기 방사선으로 조사하도록 구성되는 조사 시스템; 및 상기 증착 프로세스가 진행될 수 있도록, 상기 기판 위의 환경의 조성이 제어될 수 있게 구성되는 환경 제어 시스템을 포함하고, 상기 환경 제어 시스템은, 증착 프로세스의 적어도 하나의 단계에서 상기 선택된 부분의 조사 중에, 액체가 상기 선택된 부분과 접촉된 상태를 유지하게 하도록 구성되는, 패터닝된 층 형성 장치가 제공된다.
일 실시예에서, 상기 조사 시스템은, 패터닝된 방사선 빔을 패터닝 디바이스로부터 상기 기판 상에 투영함으로써 상기 선택된 부분의 조사를 제공하도록 구성되는 리소그래피 장치를 포함한다.
따라서, 레지스트의 고정밀도 노광을 달성하도록 개발된 리소그래피 장치의 성능이, 레지스트를 사용하지 않고 증착 프로세스(예를 들어 원자층 증착 프로세스) 중에 패턴을 정확하게 형성할 수 있게 하도록 활용될 수 있다. 더 적은 처리 단계를 사용하고 및/또는 레지스트를 제거해야 하는 것과 연관된 수율 손실이 없이 높은 정확도가 달성될 수 있다.
일 양태에 따르면, 재료의 패터닝된 층을 형성하는 방법으로서, 기판 및 재료의 단일층을 포함하는 스택을 제공하는 단계; 및 상기 하나 이상의 선택된 영역 내의 재료를 선택적으로 조사함으로써 상기 재료의 단일층의 하나 이상의 선택된 영역 내의 재료를 제거하도록 상기 스택을 처리함으로써, 재료의 단일층에 패턴을 적용하거나 상기 재료의 단일층 내의 패턴을 변경하는 단계를 포함하는, 패터닝된 층 형성 방법이 제공된다. 재료의 단일층 내의 재료의 선택적 조사를 사용하여 하나 이상의 선택된 영역 내의 재료를 제거하면, 패턴이 단일 단계에서 형성되거나 변경될 수 있게 되어, 높은 쓰루풋을 달성하게 된다.
일 실시예에서, 재료의 제거는 레이저 삭마에 의해 일어난다. 발명자들은, 레이저 삭마가 재료의 단일층에 적용될 때에도 높은 효율, 정확도 및 신뢰성을 제공한다는 것을 발견했다.
일 양태에 따르면, 재료의 패터닝된 층을 형성하는 방법으로서, 기판 및 재료의 층을 포함하는 스택을 제공하는 단계; 및 패턴을 상기 재료의 층에 적용하거나 상기 재료의 층 내의 패턴을 변경하도록, 상기 재료의 층의 하나 이상의 선택된 영역을 100 nm보다 작은 파장을 가지는 전자기 방사선으로 조사하는 단계를 포함하고, 상기 조사는, 플라즈마를 상기 기판 위의 영역 내에 생성함으로써, 상기 조사 중에 재료가 제거되게 하고, 상기 방사선은, 패턴을 적용하거나 패턴을 변경하기 위하여, 상기 하나 이상의 선택된 영역 내의 재료의 제거를 그 외의 영역에 비해 국지적으로 억제하도록, 상기 기판과 상호작용하는, 패터닝된 층 형성 방법이 제공된다. 이러한 접근법은, 제거될 영역을 규정하기 위하여, 노광 및 현상과 같은 임의의 리소그래피 패터닝 단계가 제거 프로세스로와 별개로 수행되어야 할 필요가 없이, 제거 프로세스 중에 제거될(예를 들어 에칭될) 영역이 고정밀도로 그리고 탄력적으로 제거될 수 있게 한다.
일 양태에 따르면, 재료의 패터닝된 층을 형성하기 위한 장치로서, 기판 상의 재료의 층의 하나 이상의 선택된 영역을 100 nm보다 작은 파장을 가지는 전자기 방사선으로 조사하도록 구성되는 조사 시스템; 및 상기 기판 위의 환경의 조성이 상기 조사 중에 제어될 수 있게 구성되는 환경 제어 시스템을 포함하고 상기 환경 제어 시스템은, 상기 환경 내에 플라즈마-촉진 재료를 제공하게끔 상기 환경을 제어하도록 구성되고, 상기 플라즈마-촉진 재료는, 상기 전자기 방사선이 제어된 환경을 통과할 때 플라즈마가 상기 전자기 방사선에 의해 생성되게 하기 위한 것이며, 상기 플라즈마는, 상기 조사 중에 상기 재료의 층 내의 재료가 제거되게 하기 위한 것이고, 상기 방사선은, 하나 이상의 선택된 영역 내의 재료의 제거를 그 외의 영역에 비해 국지적으로 억제하도록 상기 기판과 상호작용함으로써, 패턴을 상기 재료의 층에 적용하거나 상기 재료의 층 내의 패턴을 변경하는, 패터닝된 층 형성 장치가 제공된다.
본 발명의 실시예는 첨부된 개략적인 도면을 참조하여 이제 예시하는 방식으로만 설명될 것이다:
- 도 1은 리소그래피 장치와 방사선 소스를 포함하는 리소그래피 시스템의 제 1 예를 도시한다;
- 도 2는 리소그래피 장치와 방사선 소스를 포함하는 리소그래피 시스템의 제 2 예를 도시한다;
- 도 3은 터널링 FET의 개략적인 측면도이다;
- 도 4는 원자층 증착 프로세스의 제 1 단계 중의, 기판 상의 선택된 영역의 조사를 개략적으로 도시한다;
- 도 5는 도 4에서 도시되는 단계에 후속하는, 원자층 증착 프로세스 중의 단계를 개략적으로 도시한다;
- 도 6은 일 실시예에 따른 환경 제어 시스템에 방사선을 제공하는 리소그래피 장치를 개략적으로 도시한다;
- 도 7은 원자층 증착 프로세스의 일부를 형성하는, 열분해 화학 반응을 국지적으로 유발하기 위한, 기판의 선택된 부분의 조사를 개략적으로 도시한다;
- 도 8은 도 7에서 도시되는 단계에 후속하는, 원자층 증착 프로세스 중의 단계를 개략적으로 도시한다;
- 도 9는 원자층 증착 프로세스에 참여하는 반응성 종을 국지적으로 생성하기 위한, 기판의 선택된 부분의 조사를 개략적으로 도시한다;
- 도 10은 재료의 단일층의 하나 이상의 선택된 영역 내에서의 재료의 선택적 조사를 보여주는 개략적인 측단면도이다;
- 도 11은 선택적 조사에 의해 선택된 영역 내의가 제거된 후의, 도 10의 스택을 보여주는 개략적인 측단면도이다;
- 도 12는 레이저 삭마 프로세스 중의 절삭의 깊이의 변동을, 인가된 펄스의 개수의 함수로서 보여주는 그래프이다;
- 도 13은 환경 제어 시스템에 방사선을 제공하는 리소그래피 장치를 개략적으로 도시한다;
- 도 14는 재료의 패터닝된 층을 형성하는 방법에서 조사되는, 기판의 개략적인 측면도이다;
- 도 15는 EUV 방사선이 플라즈마 에칭 프로세스에 대한 국소 보호를 어떻게 제공할 수 있는지를 시연하는 그래프이다;
- 도 16은 도 15에 도시되는 국소 보호의 강도가 EUV 방사선의 세기의 함수로서 어떻게 변하는지를 보여주는 그래프이다; 그리고
- 도 17은 수율 및 패턴 분해능을 향상시키기 위하여 전기장이 인가되는, 도 14에서 도시되는 방법에서의 변동을 개략적으로 도시한다.
리소그래피 장치는 원하는 패턴을 기판에 적용하도록 구성된 기계이다. 리소그래피 장치는 예컨대 집적회로(IC)의 제조 시에 사용될 수 있다. 리소그래피 장치는 예를 들어 패터닝 디바이스(예를 들어 마스크)에 있는 패턴을 기판 위에 제공된 방사선-감응 재료(레지스트)의 층에 투영시킬 수 있다.
기판에 패턴을 투영하기 위하여, 리소그래피 장치는 전자기 방사선을 사용할 수 있다. 이러한 방사선의 파장이 기판 상에 패터닝되는 피쳐의 최소 크기를 결정한다. 현재 사용되는 통상적인 파장은 365 nm(i-라인), 248 nm, 193 nm 및 13.5 nm이다. 100Nm 미만, 선택적으로는 5 내지 100 nm의 범위, 선택적으로는 4 nm 내지 20 nm의 범위, 예를 들어 6.7 nm 또는 13.5 nm에 속하는 파장을 가지는 극자외(EUV) 방사선을 사용하는 리소그래피 장치는, 예를 들어 193 nm의 파장을 가지는 전자기 방사선을 사용하는 리소그래피 장치보다 더 작은 피쳐를 기판 위에 형성하기 위해 사용될 수 있다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는, 그렇지 않다고 언급되지 않으면, 자외 방사선(예를 들어 365, 248, 193, 157 또는 126 nm의 파장을 가지는 방사선) 및 EUV(예를 들어 약 5-100 nm 범위의 파장을 가지는 극자외 방사선)를 포함하는 모든 타입의 전자기 방사선을 망라하도록 사용된다.
도 1은 리소그래피 장치(LA)를 개략적으로 묘사한다. 리소그래피 장치(LA)는 방사선 빔(B)(예를 들어, UV 방사선 또는 DUV 방사선 또는 EUV 방사선)을 조절하도록 구성되는 조명 시스템(조명기(IL)라고도 불림), 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고 특정 파라미터에 따라서 패터닝 디바이스(MA)를 정확하게 위치설정하도록 구성되는 제 1 위치설정기(PM)에 연결되는 마스크(예를 들어, 마스크 테이블)(MT), 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 홀딩하도록 구성되고 특정 파라미터에 따라서 기판 지지대를 정확하게 위치설정하도록 구성되는 제 2 위치설정기(PW)에 연결되는 기판 지지대(예를 들어, 웨이퍼 테이블)(WT), 및 패터닝 디바이스(MA)에 의하여 방사선 빔(B)에 부여된 패턴을 기판(W)의 타겟부(C)(예를 들어, 하나 이상의 다이를 포함) 상에 투영하도록 구성되는 투영 시스템(예를 들어, 굴절성 투영 렌즈 시스템)(PS)을 포함한다.
동작 시에, 조명 시스템(IL)은 방사선 빔을 빔 전달 시스템(BD)을 통해 방사선 소스(SO)로부터 수광한다. 조명 시스템(IL)은 방사선을 지향시키고, 성형(shaping)하며, 또는 제어하기 위한 다양한 유형의 광 컴포넌트, 예컨대 굴절식, 반사, 자기적, 전자기, 정전기 및/또는 다른 유형의 광 컴포넌트, 및/또는 이들의 임의의 조합을 포함할 수도 있다. 조명기(IL)는 방사선 빔(B)이 패터닝 디바이스(MA)의 평면 상에 그 단면에서 원하는 공간 및 각도 세기 분포를 가지도록 조정하기 위하여 사용될 수도 있다.
본 명세서에서 사용되는 "투영 시스템(PS)"이라는 용어는, 이용되고 있는 노광 방사선(exposure radiation)에 대해 적합하거나 또는 침지액(immersion liquid)의 사용 또는 진공의 사용과 같은 다른 요인들에 대해 적합한, 굴절식, 반사식, 반사 굴절식(catadioptric), 애너모픽(anamorphic), 자기식, 전자기식, 및/또는 정전식 광학 시스템, 및/또는 이들의 임의의 조합을 포함하는 다양한 타입의 투영 시스템도 포함하는 것으로 넓게 해석되어야 한다. 본 명세서에서 "투영 렌즈"라는 용어의 모든 사용은 더 일반적인 용어인 "투영 시스템(PS)"과 같은 의미인 것으로 간주될 수도 있다.
리소그래피 장치(LA)는, 투영 시스템(PS)과 기판(W) 사이의 공간을 채우기 위해 기판의 적어도 일부분이 상대적으로 높은 굴절률을 가진 액체, 예컨대 물에 의해 커버될 수 있는 유형일 수 있으며 이것은 침지 리소그래피라고도 불린다. 침지 기법에 대한 더 많은 정보가 본 명세서에서 원용에 의해 통합되는 US6952253에 제공된다.
리소그래피 장치(LA)는 두 개 이상의 기판 지지대(WT)를 가지는 타입일 수도 있다("듀얼 스테이지"라고도 불림). 이러한 "다중 스테이지" 머신에서, 기판 지지대(WT)는 병렬적으로 사용될 수 있고, 및/또는 기판(W)의 후속 노광을 준비하는 단계들이 기판 지지대(WT) 중 하나 상에 위치될 수 있는 반면에, 다른 기판 지지대(WT) 상의 다른 기판(W)은 다른 기판(W) 상에 패턴을 노광시키기 위해서 사용되고 있다.
기판 지지대(WT)에 추가하여, 리소그래피 장치(LA)는 측정 스테이지를 포함할 수 있다. 측정 스테이지는 센서 및/또는 세정 디바이스를 홀딩하도록 구성된다. 센서는 투영 시스템(PS)의 속성 또는 방사선 빔(B)의 속성을 측정하도록 구성될 수 있다. 측정 스테이지는 다수의 센서를 홀딩할 수 있다. 세정 디바이스는 리소그래피 장치의 부분, 예를 들어 투영 시스템(PS)의 부분 또는 침지액을 제공하는 시스템의 부분을 세정하도록 구성될 수 있다. 측정 스테이지는, 기판 지지대(WT)가 투영 시스템(PS)으로부터 멀어질 때 투영 시스템(PS) 아래에서 이동할 수 있다.
동작 시에, 방사선 빔(B)은 패터닝 디바이스, 예를 들어 지지 구조체(MT) 상에 홀딩되는 마스크(MA) 상에 입사하고, 그리고 패터닝 디바이스(MA) 상에 있는 패턴(디자인 레이아웃)에 의하여 패터닝된다. 패터닝 디바이스(MA)를 가로지르면, 방사선 빔(B)은 기판(W)의 타겟부(C) 상에 빔을 포커싱하는 투영 시스템(PL)을 통과한다. 제 2 위치설정기(PW) 및 위치 측정 시스템(IF)의 도움을 받아, 예를 들어 방사선 빔(B)의 경로에 있는 상이한 타겟부들(C)을 포커싱되고 정렬된 위치에 위치설정하기 위하여, 기판 지지대(WT)가 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정 디바이스(PM) 및 가능하게는 다른 위치 센서(도 1에는 명확하게 묘사되지 않음)가, 방사선 빔(B)의 경로에 대하여 패터닝 디바이스(MA)를 정확하게 위치설정하기 위하여 사용될 수 있다. 패터닝 디바이스(MA) 및 기판(W)은 마스크 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다. 비록 도시된 바와 같이 기판 정렬 마크들(P1, P2)이 전용 타겟부들 점유하지만, 이들은 타겟부들 사이의 공간에 위치될 수도 있다. 기판 정렬 마크(P1, P2)는 타겟부들(C) 사이에 위치되면 스크라이브 레인 정렬 마크라고 알려져 있다.
도 2는 방사선 소스(SO)와 리소그래피 장치(LA)를 포함하는 리소그래피 시스템을 도시한다. 방사선 소스(SO)는 EUV 방사선 빔(B)을 생성하고 EUV 방사선 빔(B)을 리소그래피 장치(LA)에 공급하도록 구성된다. 리소그래피 장치(LA)는 조명 시스템(IL), 패터닝 디바이스(MA)(예를 들어 마스크)를 지지하도록 구성되는 지지 구조체(MT), 투영 시스템(PS) 및 기판(W)을 지지하도록 구성되는 기판 테이블(WT)을 포함한다.
조명 시스템(IL)은 패터닝 디바이스(MA)에 입사하기 이전에 방사선 빔(B)을 조절하도록 구성된다. 또한, 조명 시스템(IL)은 다면형(facetted) 필드 미러 디바이스(10) 및 다면형 퓨필 미러 디바이스(11)를 포함할 수 있다. 다면형 필드 미러 디바이스(10)와 다면형 퓨필 미러 디바이스(11)는 함께 원하는 단면 형상 및 원하는 세기 분포를 가지는 EUV 방사선 빔(B)을 제공한다. 조명 시스템(IL)은 다면형 필드 미러 디바이스(10) 및 다면형 퓨필 미러 디바이스(11)에 더하여 또는 그 대신에 다른 미러 또는 디바이스를 포함할 수 있다.
이렇게 조절된 후에, EUV 방사선 빔(B)은 패터닝 디바이스(MA)와 상호작용한다. 이러한 상호작용의 결과, 패터닝된 EUV 방사선 빔(B')이 생성된다. 투영 시스템(PS)은 패터닝된 방사선 빔(B')을 기판(W) 상에 투영하도록 구성된다. 이를 위하여, 투영 시스템(PS)은 패터닝된 EUV 방사선 빔(B')을 기판 테이블(WT)에 의하여 홀딩되는 기판(W) 상에 투영하도록 구성되는 복수 개의 미러(13, 14)를 포함할 수 있다. 투영 시스템(PS)은 축소 인자를 패터닝된 EUV 방사선 빔(B')에 적용하여, 패터닝 디바이스(MA) 상의 대응하는 피쳐보다 더 작은 피쳐가 있는 이미지를 형성할 수 있다. 예를 들어, 4 또는 8의 축소 인자가 적용될 수 있다. 비록 투영 시스템(PS)이 도 2에서 두 개의 미러(13, 14)를 가지는 것으로 예시되지만, 투영 시스템은 임의의 상이한 개수의 미러(예를 들어 6 개 또는 8 개의 미러)를 포함할 수도 있다.
기판(W)은 이전에 형성된 패턴을 포함할 수도 있다. 이러한 경우에, 리소그래피 장치(LA)는 패터닝된 EUV 방사선 빔(B')에 의해 형성되는 이미지를 이전에 기판(W)에 형성된 패턴과 정렬한다.
상대 진공, 즉 대기압에 훨씬 못 미치는 압력의 적은 양의 가스(예를 들어 수소)가 방사선 소스(SO), 조명 시스템(IL) 및/또는 투영 시스템(PS) 내에 제공될 수 있다.
방사선 소스(SO)는 레이저 생성 플라즈마(LPP) 소스, 방전 생성 플라즈마(DPP) 소스, 자유 전자 레이저(FEL) 또는 EUV 방사선을 생성할 수 있는 임의의 다른 방사선 소스일 수 있다.
도 3은 터널링 FET(20)의 개략적인 측면도이다. 터널링 FET(20)는 상단 게이트(21), 상부 유전체 층(22), 하부 유전체 층(23) 및 하단 게이트(24)의 층들의 수직 스택을 포함한다. 소스(25) 및 드레인(26)은 2-차원 층(27 및 28)에 의해 층들의 수직 스택에 각각 연결된다. 2-차원 층(27 및 28) 각각은, 단일층 또는 단일 원자층이라고 불릴 수 있는 일 원자 두께의 층으로 이루어질 수 있다. 2-차원 층(27 및 28) 중 어느 하나 또는 양쪽 모두는, 예를 들어 MoS2 또는 육각-BN으로부터 형성될 수 있다. 터널링 FET(20)를 제조하려면 2-차원 층(27 및 28)을 측방향으로 패터닝해야 한다. 본 명세서의 도입부에서 언급된 바와 같이, 패터닝은 포토레지스트에 적용된 리소그래피를 사용하여 수행될 수 있지만, 이러한 접근법은 결함이 생기게 할 수 있다. 본 발명의 실시예들은 재료의 패터닝된 층을 형성하기 위한 대안적인 접근법을 제공한다. 실시예는 터널링 FET의 적어도 하나의 단일층(예를 들어 2-차원 층(27 및 28) 중 하나 또는 양자 모두)을 제조하기 위하여 또는 다른 반도체 디바이스를 제조하기 위하여 또는 반도체 디바이스가 아닌 디바이스를 제조하기 위하여 사용될 수 있다.
도 4 및 도 5는 일 실시예의 방법에 따른, 재료의 패터닝된 층(30)의 형성을 개략적으로 도시한다. 도 4에서 도시되는 바와 같이, 이러한 방법은 기판(W)의 표면의 선택된 부분(32)을 증착 프로세스 중에 조사하는 것(34)을 포함한다. 일 실시예에서, 증착 프로세스는 원자층 증착 프로세스를 포함하거나, 본질적으로 원자층 증착 프로세스로 이루어지거나, 원자층 증착 프로세스로 이루어진다. 국지적인 조사는, 선택된 영역(32) 내에서 증착 프로세스(예를 들어, 원자층 증착)를 국지적으로 유발하여, 증착 프로세스(예를 들어, 원자층 증착)가 재료의 층(30)(도 5 참조)을 선택된 부분(32)에 의해 규정된 패턴으로 형성하게 한다. 따라서, 패턴이 임의의 레지스트가 필요 없이 형성된다. 그러므로, 레지스트를 제거하기 위한 처리가 필요없고, 그러면 재료의 패터닝된 층(30)을 손상시킬 위험성이 감소된다. 통상적인 리소그래피-기반 반도체 제조 프로세스에 반해, 레지스트 내의 분자들을 끊거나 교차결합하기 위해 사용되는 대신에, 본 발명의 실시예들에서는 방사선이 증착 프로세스(예를 들어 원자층 증착 프로세스)에 수반된 화학 반응을 유발하기 위해서 사용되고 있다.
이러한 실시예에서, 조사는, 증착 프로세스(예를 들어 원자층 증착 프로세스)를 국지적으로 유발할 수 있는 임의의 타입의 EUV 방사선(100nm 미만의 파장을 가짐)을 포함하거나, 본질적으로 그것으로 이루어지거나, 이루어지는 방사선으로 수행된다. EUV 방사선을 사용하면 높은 공간 분해능이 제공된다. 일부 다른 실시예들에서, 조사는, 후술되는 바와 같이 침지액과 조합된, 더 높은 파장의 방사선을 포함하거나, 본질적으로 그것으로 이루어지거나, 그것으로 이루어지는 방사선으로 수행된다. 더 높은 파장의 방사선은 100nm 내지 400nm의 범위에 속할 수 있다(DUV 방사선을 포함함).
원자층 증착은, 적어도 두 개의 화학물질(전구체 재료라고 불릴 수 있음) 각각이 순차적이고 스스로 한정하는 방식으로 재료의 표면과 반응하게 되는, 공지된 박막 증착 기법이다. 화학적 기상 증착에 반해, 두 개의 전구체 재료는 기판(W) 위에 동시에 존재하지 않는다.
본 발명의 실시예들에서는, 원자층 증착이 적어도 제 1 단계 및 제 2 단계를 포함한다. 그 예가 도 4에서 도시되는 제 1 단계에서, 제 1 전구체 재료(51)는 기판(W)의 표면과 반응하게 된다. 그 예가 도 5에서 도시되는 제 2 단계에서, 제 2 전구체 재료(52)는 제 1 전구체(51)가 제 1 단계에서 기판(W)과 반응했던 영역(이러한 예에서는 선택된 영역(32))에서 기판(W)과 반응하게 된다.
도 4의 및 도 5 예에서, 기판(W)은 제 1 단계에서만 조사된다. 다른 실시예들에서, 선택된 부분(32)의 조사는 제 2 단계 중에만, 또는 제 1 단계 및 제 2 단계 중에 수행된다. 침지액을 수반하지 않는 실시예들에서, 두 단계 중 적어도 하나 중의 선택된 부분(32)의 조사는 EUV 방사선을 사용하여 수행된다. 조사는, DUV 방사선을 포함하는 다른 형태의 조사(침지액이 있거나 없음)를 사용하여 하나 이상의 다른 단계에서 추가적으로 수행될 수도 있다.
도 6은 이러한 방법을 수행하기 위한 장치(60)를 개략적으로 도시한다. 따라서, 장치(60)는 재료의 패터닝된 층을 형성한다. 장치(60)는 조사 시스템을 포함한다. 조사 시스템은 리소그래피 장치(LA)를 포함할 수 있다. 리소그래피 장치(LA)는 패터닝된 방사선 빔을 패터닝 디바이스(MA)로부터 기판(W) 상으로 투영함으로써 선택된 부분(32)을 조사한다. 리소그래피 장치(LA)는 도 1을 참조하여 전술된 바와 같이(예를 들어 조사가 DUV 방사선을 포함하고 및/또는 침지 리소그래피가 요구될 때) 또는 도 2를 참조하여 전술된 바와 같이(예를 들어 조사가 EUV 방사선을 포함할 때) 구성될 수 있다.
일 실시예에서, 리소그래피 장치(LA)는 침지 리소그래피를 수행하도록 구성된다. 이러한 실시예에서, 증착 프로세스(예를 들어 원자층 증착 프로세스)는, 선택된 부분(32)이 침지액과 접촉하는 동안에 선택된 부분(32)이 조사되는 단계를 포함할 수 있다. 따라서, 예를 들어 증착 프로세스(예를 들어 원자층 증착 프로세스)는, 가스상 전구체 재료를 기판(W)에 흡착하는 것을 포함하는 제 1 단계 및 흡착된 전구체가 침지액을 통과한 조사에 의하여 선택된 부분(32)을 변경하는(예를 들어 흡착 프로세스의 부산물을 제거하기 위함) 제 2 단계를 포함할 수 있다. 침지액을 통과한 조사에 의해 생성된 임의의 부산물은 침지액의 흐름에 의해서 편리하게 밖으로 운반될 수 있다. 일 실시예에서, 조사된 기판(W)은 후속하여 건조되고, 임의의 추가적으로 요구되는 처리가 건조된 기판(W) 상에 수행된다.
일 실시예에서, 환경 제어 시스템(45)이 제공된다. 환경 제어 시스템(45)은, 기판(W) 위의 환경(42)이, 증착 프로세스(예를 들어 원자층 증착 프로세스)가 진행하게 하는 방식으로 제어되게 한다. 일 실시예에서, 환경 제어 시스템(45)은 기판(W)의 표면의 선택된 부분(32)을 포함하여 실링된 환경(42)을 제공하기 위한 챔버(36)를 포함한다. 일부 실시예들에서, 기판(W) 전부가 증착 프로세스(예를 들어 원자층 증착 프로세스) 중에 챔버(36) 내에 있게 될 것이다. 일 실시예에서, 재료가 실링된 환경(42)에 추가되거나 제거되게 하여 실링된 환경(42) 내에 상이한 조성 환경이 구축되게 하는 재료 교환 시스템(38)(예를 들어 챔버(36)에 연결된 포트 및 연관된 밸브 및/또는 도관)이 제공된다. 재료는 흐름 관리자(44)에 의해 재료 교환 시스템(38) 안팎으로 제공될 수 있다. 흐름 관리자(44)는 저장소, 덕팅(ducting), 밸브, 싱크, 펌프, 제어 시스템, 및/또는 챔버(36) 안팎에 재료의 요구된 흐름을 제공하기 위하여 필요한 다른 컴포넌트의 임의의 적합한 조합을 포함할 수 있다. 이러한 방식으로 얻어진 상이한 조성 환경은 원자층 증착 프로세스의 각각의 상이한 스테이지에 대응한다. 일부 실시예들에서, 챔버(36)에 추가되거나 제거되는 재료는 가스상이고, 이를 통하여 상이한 조합의 가스로 이루어지는 조성 환경을 제공한다. 원자층 증착 프로세스의 하나 이상의 단계가 기판(W)을 침지액을 통과하여 조사함으로써 수행되는 일 실시예에서, 환경 제어 시스템(45)은 제어된 액체 환경이 기판(W) 위에서 유지되는 상태(예를 들어 침지 리소그래피 모드에서의 노광 중) 및 제어된 가스상 환경이 기판(W) 위에서 유지되는 상태(예를 들어 가스상 전구체 재료로부터의 전구체의 흡착 중) 사이의 스위칭을 허용하도록 구성될 수 있다.
일부 실시예들에서, 선택된 부분(32)에서의 증착 프로세스(예를 들어 원자층 증착 프로세스)의 유발은 전구체 재료를 수반하는 화학 반응을 유발하는 것을 포함한다. 전구체 재료는 조사 중에 기판 위에 구축된 조성 환경의 일부로서 제공될 것이다. 화학 반응을 유발하면, 화학 반응이 조사가 없는 경우보다 더 빠른 속도로 진행되게 할 수 있다. 또는, 화학 반응은 조사가 없으면 아예 일어나지 않도록 될 수도 있다. 일 실시예에서, 화학 반응은 흡열성이고, 조사가 화학 반응이 진행하게 하는데 필요한 에너지를 제공한다. 일부 실시예들에서, 화학 반응은 조사에 의해 기판(W) 내에 생성된 열에 의해 적어도 부분적으로 유발된다. 따라서, 조사에 의해 유발되는 화학 반응은 진행되려면 더 높은 온도를 요구하거나 높은 온도에서 더 빨리 진행하는 화학 반응을 포함할 수 있다. 일부 실시예들에서, 화학 반응은 조사에 의해 유발되는 광화학 반응을 포함한다. 따라서, 화학 반응에 직접적으로 수반된 적어도 하나의 종은 조사로부터 광자를 흡수하고, 광자가 흡수되면 화학 반응이 진행될 수 있다. 일부 실시예들에서, 광화학 반응은, 광화학 반응 내에 수반된 적어도 하나의 종들 각각에 의한 두 개 이상의 광자의 흡수를 수반하는 다광자 광화학 반응을 포함한다. 두 개 이상의 광자가 흡수되어야 하는 경우, 화학 반응은 단일 광자 광화학 반응의 경우보다 조사의 세기의 변동에 훨씬 더 민감해진다(즉, 화학 반응 속도가 세기의 함수로서 더 훨씬 더 크게 변함). 세기에 대한 감도가 증가되면, 측방향 콘트라스트가 개선된다. 일 실시예에서, 화학 반응이 패턴을 생성하도록 국지적으로 유발되는 뚜렷한 프로세스 윈도우를 제공하기 위하여, 광화학 반응 및 방사선 유도 가열의 조합이 사용된다. 일부 실시예들에서, 기판(W)은 추가적으로 또는 대안적으로 가열되거나 외부적으로(즉 방사선에 의하지 않고) 냉각되어 뚜렷한 프로세스 윈도우를 제공할 수 있다.
일 실시예에서, 조사는 Mo(thd)3를 포함하거나, 본질적으로 그것으로 이루어지거나, 그것으로 이루어지는 전구체 재료 내의 흡열성 화학 반응을 유발하는데, 여기에서 thd = 2,2,6,6-테트라메틸헵탄-3,5-디오나토이다. 조사에 의해 Mo가 선택된 영역(32) 내에 증착된다. Mo는 선택된 영역(32) 밖에는 증착되지 않는다. 이러한 화학 반응은 2-광자 광화학 반응의 일 예이다. 그러므로, Mo의 높은 콘트라스트의 패터닝된 층이 획득될 수 있다. 원자층 증착 프로세스의 후속 단계가 요구되는 바에 따라 수행되어 관심 재료를 조사에 의해 규정되는 형상으로(즉, 다른 곳이 아니라 영역(32) 위에만) 빌드업할 수 있다. 추가적 재료가 예를 들어 Mo의 층 상에 성장될 수 있다. 일 실시예에서, 추가적인 재료는 S이다. 그러므로, MoS2의 패터닝된 단일층이 형성될 수 있다. MoS2의 패터닝된 단일층은, 예를 들어 전술된 바와 같이 터널링 FET내에서 사용될 수 있다.
일 실시예에서, 화학 반응은 선택된 영역(32)에 흡착된 전구체 재료의 해리를 수반하는 열분해 프로세스를 포함한다. 이러한 타입의 일 실시예에서의 단계들이 도 7 및 도 8에 개략적으로 도시한다 도시된다. 이러한 실시예는 화학 반응이 조사(34)에 의해서 기판(W) 내에 생성된 열(35)에 의해 적어도 부분적으로 유발되는 경우의 일 예이다. 도 7에서 도시되는 바와 같이, 열(35)은 원자층 증착 프로세스의 제 1 단계 중에, 선택된 영역(32)에서 전구체 재료의 분자가 배타적으로 해리되게 한다. 따라서, 재료의 패터닝된 층이 제공된다. 도 8은 선택된 영역(32)에 있는(그리고 다른 영역에는 없는) 재료가 변경되는 원자층 증착 프로세스의 후속 단계를 보여준다. 후속 단계는, 예를 들어 제 1 단계에서 형성된 재료의 패터닝된 층의 산화 또는 환원을 포함할 수 있다.
일 실시예에서, 화학 반응의 유발은, 선택된 영역(32) 위의 가스와 국지적으로 상호작용하는 방사선에 의해 반응성 종(53)을 생성하는 것을 포함한다. 이러한 상호작용의 일 예가 도 9에 개략적으로 도시된다. 일 실시예에서, 생성된 반응성 종(53)은 산화제 또는 환원제를 포함한다. 생성된 반응성 종은 예를 들어 DUV 조사를 사용하여, O2로부터 형성된 오존을 포함할 수 있다. 또는, 생성된 반응성 종(53)은 예를 들어 수증기를 UV 방사선으로 조사함으로써 형성된 해리된 H2O를 포함할 수 있다. 또는, 생성된 반응성 종(53)은 해리된 NH3를 포함할 수 있다. 따라서, 반응성 종이 존재할 때에만 일어나는 원자층 증착 화학 반응이 조사에 의해 규정된 선택된 영역(32) 내에서만 일어나게 될 수 있다. 비록 이러한 프로세스가 DUV 방사선을 사용하지만, 방법의 다른 단계가 EUV 방사선을 사용한다면, DUV만을 사용할 때 얻어질 수 있는 것보다 더 높은 공간 분해능이 얻어질 수 있다.
일 실시예에서, 원자층 증착 프로세스는 다음 반응 중 하나 이상을 포함한다:
BN을 생성하기 위한 BBr3+NH3
ZnO를 생성하기 위한 Zn(OC2H5)2+H2O
Ta2O5를 생성하기 위한 Ta(OC2H5)2+H2O
Ta2O5를 생성하기 위한 Ta(OC2H5)5+O2
Al2O3를 생성하기 위한 Al(CH3)3+O2
TiO2를 생성하기 위한 Ti(OCH(CH3)2)4+O2
여섯 개의 예시적인 반응 각각에서, 첫 번째 성분은 가스상 형태의 전구체 재료를 포함하고, 두 번째 성분은 산화제를 포함한다. 이러한 반응 모두는 광활성이다.
NH3에 기반한 반응의 경우, 원자층 증착 프로세스는, 예를 들어 엑시머 레이저를 사용해서 NH3를 조사하여 NH3를 해리하는 단계를 포함할 수 있다(이러한 경우에, 동일한 엑시머 레이저가 전구체 재료 BBr3를 해리하기 위해서도 사용될 수 있음). 그러므로, 육각형-BN의 패터닝된 단일층이 형성될 수 있다. 육각형-BN의 패터닝된 단일층은, 예를 들어 전술된 바와 같은 터널링 FET에서 사용될 수 있다.
H2O 계의 반응의 경우, 원자층 증착 프로세스는 UV 방사선을 사용하여 수증기를 조사하여 수증기를 해리시키는 단계를 포함할 수 있다. O2 계의 반응의 경우, 원자층 증착 프로세스는 DUV 방사선으로 O2를 조사하여 오존을 생성하는 단계를 포함할 수 있다.
도 10 및 도 11은 일 실시예의 방법에 따른, 재료의 패터닝된 층(30')의 형성을 개략적으로 도시한다. 도 10에서 도시되는 바와 같이, 이러한 방법은 스택(70)을 제공하는 것을 포함한다. 스택(70)은 기판(W) 및 재료의 단일층(74)을 포함한다. 선택적으로, 하나 이상의 중간 층(72)이 기판(W)과 재료의 단일층(74) 사이에 제공될 수 있다. 스택(70)은 재료의 단일층(74)의 하나 이상의 선택된 영역(76) 내에서 재료를 제거하도록 처리된다. 도시된 실시예에서, 재료를 제거하면 재료의 단일층(74)에 패턴이 적용된다. 재료의 단일층(74)이 패턴을 이미 포함하는 실시예들에서, 재료를 제거하면 재료의 단일층(74) 내의 패턴이 변경된다. 따라서, 재료의 단일층(74)이 도 3 내지 도 9를 참조하여 전술된 방법 중 임의의 것에 의해 형성된 재료의 패터닝된 층(30)을 포함하는 경우, 예를 들어 본 발명의 실시예의 방법이 패턴을 변경하여 새로운 패턴을 제공하기 위하여 사용될 수 있다.
재료의 단일층(74)은 다양한 기법을 사용하여 제공될 수 있다. 일 실시예에서, 재료의 단일층(74)은 원자층 증착 프로세스를 사용하여 형성된다. 일 실시예에서, 재료의 단일층(74)은 다음 중 하나 이상을 임의의 조합으로 포함하거나, 본질적으로 그것으로 이루어지거나, 그것으로 이루어진다: MoS2, 육각형-BN, BN, ZnO, Ta2O5, Al2O3, TiO2. 재료의 단일층(74)은 다른 재료를 대안적으로 또는 추가적으로 포함할 수 있다.
일 실시예에서, 재료의 제거는 하나 이상의 선택된 영역(76) 내의 재료를 선택적으로 조사함으로써(예를 들어, 방사선이 재료와 직접적으로 상호작용하도록) 수행된다. 도 10은 패터닝된 방사선 빔(80)에 의해 조사되는 과정의 스택(70)을 보여준다. 선택된 영역(76) 내의 재료가 조사에 의해 교란된다. 교란은, 선택된 영역(76) 내의 재료가 제거되게 하는 결과를 가져올 프로세스의 스테이지이다. 도 11은 제거 프로세스가 완료된 후의 스택(70)을 보여주는데, 재료의 단일층(74) 내의 갭이 재료의 단일층(74) 내의 패턴을 규정한다. 재료의 단일층(74)은 재료의 패터닝된 층(30')이 된다. 입사 방사선과 선택된 영역(76) 내의 재료 사이의 상호작용에 의하여 제거가 이루어지지만, 다양한 메커니즘들이 기여할 수 있다.
실시예의 일 클래스에서, 재료의 제거는 레이저 삭마에 의해 일어난다. 레이저 삭마는 재료, 통상적으로 금속을 드릴링 또는 절삭하는 데에 사용되기 위한 것으로 알려져 있다. 발명자들은, 레이저 파라미터가, 본 발명에서 고려되는 것들과 같은 재료의 단일층(74)을 패터닝하기에 적합한 제어의 레벨을 달성하기 위한 방식으로 튜닝될 수 있다는 것을 발견했다. 레이저 파라미터의 튜닝은, 다음 중 하나 이상의의 튜닝을 포함할 수 있다: 플루엔스(fluence), 펄스 길이, 반복률, 펄스 형상, 및 파장. 일 실시예에서, 레이저는 10-11s보다 짧은, 선택적으로 10-12s보다 짧은, 선택적으로 10-13s보다 짧은, 선택적으로 10-14s보다 짧은, 선택적으로 10-15s보다 짧은 펄스 길이로 동작하도록 구성된다. 레이저 삭마를 사용하면, 종래의 리소그래피-기반 패터닝 접근법에 비해 쓰루풋이 개선되는데, 이것은 재료의 패터닝 및 제거가 단일 단계에서 수행되기 때문이다. 레이저 삭마를 수행하기 위한 레이저는 독립형 디바이스로서 제공되거나 도 1 및 도 2를 참조하여 전술된 타입의 리소그래피 장치에 통합될 수 있다.
도 12는 레이저 삭마를 사용할 경우에 얻어질 수 있는 제어의 정도를 시연하는 그래프이다. 세로축은 레이저 삭마를 사용할 경우의 SiN 위의 비정질 탄소층 내로의 절삭 깊이를 나타낸다. 가로축은 인가된 레이저 펄스의 개수 N을 104의 단위로 나타낸다. 이러한 예에서, 400 fs의 펄스 길이 및 약 100 mJ/cm2의 플루엔스의 적외선 레이저가 사용되었다. 도 12는 펄스당 0.03nm의 평균 제거율이 관측된 것을 보여주는데, 이것은 프로세스가 상이한 층들을 통과할 때의 레이저 삭마의 속도에 있어서 큰 차이를 보인다. 범위 A에서, 레이저 삭마는 비정질 탄소 층을 1.5 마이크론의 깊이까지 점진적으로 절삭한다. 범위 B에서, 레이저 삭마는 비정질 탄소 층과 SiN의 계면에 도달하면 급격히 느려진다. 펄스를 계속 인가함으로써, 레이저 삭마는 결국에(20000 개의 추가적인 펄스를 인가한 후에) 계면을 파괴하고 SiN 층 내로 들어간다(범위 C). 따라서, 특히 절삭이 두 상이한 재료들 사이의 계면에서 정확하게 중지되는 것이 요구되는 경우, 인가되는 펄스의 개수를 제어함으로써, 재료를 요구되는 깊이(예를 들어 펄스 당 0.03nm 깊이의 제거)를 신뢰성있게 제어하는 것이 가능해진다. 도시된 예에서, 50000 개의 펄스를 인가하면 1.5 마이크론의 재료를 두 층들 사이의 계면의 정밀한 위치까지 신뢰성있게 절삭해 들어갈 것이지만, 이러한 접근법은 절삭되는 재료의 임의의 깊이에도 적용가능하다(더 얇은 층에 대해서는 범위 A에서 더 적은 펄스가 필요할 것임). 계면에 도달한 경우 레이저 삭마 프로세스의 속도가 오랫동안 느려지기 때문에, 계면 아래의 재료가 손상되기 전에 삭마 프로세스를 중단하는 것이 가능해지고, 본 발명의 방법은, 도 10 및 도 11에 도시되는 바와 같은 재료의 단일층(74)을 통과하는 것을 포함하여, 아래의 층에 손상을 주지 않고 임의의 얇은 층을 통과하여 정밀하게 절삭하기 위해 적용될 수 있다.
실시예들의 다른 클래스에서, 재료의 제거는 재료와 환경 사이의 화학 반응에 의해 일어난다. 화학 반응은 조사에 의해 유발된다. 화학 반응은 광화학 반응일 수 있다. 일 실시예에서, 화학 반응을 유발하는 방사선은 EUV 방사선(100nm 미만의 파장을 가짐)을 포함하거나, 본질적으로 그것으로 이루어지거나, 그것으로 이루어진다. EUV 방사선을 사용하면 높은 공간 분해능이 제공된다. 또한, EUV 방사선을 사용하면 이러한 방법이 EUV 리소그래피 장치에 의해 구현될 수 있다. 다른 실시예들에서는, 더 긴 파장의 방사선, 예컨대 DUV가 사용될 수도 있다. 일 실시예에서, 화학 반응의 유발은 가스상 환경과 국지적으로 상호작용하는 방사선에 의해 반응성 종을 생성하는 것을 포함한다. 일 실시예에서, 생성된 반응성 종은 산화제 또는 환원제를 포함한다.
도 13은 이러한 방법을 수행하기 위한 장치(160)를 개략적으로 도시한다. 따라서, 장치(160)는 재료의 패터닝된 층을 형성한다. 장치(160)는 조사 시스템을 포함한다. 조사 시스템은 리소그래피 장치(LA)를 포함할 수 있다. 리소그래피 장치(LA)는 패터닝된 방사선 빔(134)을 패터닝 디바이스(MA)로부터 기판(W) 상에 투영함으로써, 재료의 단일층(74)의 하나 이상의 선택된 영역(76)을 조사한다. 리소그래피 장치(LA)는 도 1을 참조하여 전술된 바와 같이(예를 들어 조사가 DUV 방사선을 포함하고 및/또는 침지 리소그래피가 요구될 때) 또는 도 2를 참조하여 전술된 바와 같이(예를 들어 조사가 EUV 방사선을 포함할 때) 구성될 수 있다.
일 실시예에서, 리소그래피 장치(LA)는 침지 리소그래피를 수행하도록 구성된다. 이러한 실시예에서, 재료의 단일층(74)의 하나 이상의 선택된 영역(76)은 침지액과 접촉하는 동안에 조사될 수 있다. 조사에 의해 제거된 재료는 침지액의 흐름에 의해 편리하게 밖으로 운반될 수 있다. 일 실시예에서, 조사된 기판(W)은 후속하여 건조되고, 임의의 추가적으로 요구되는 처리가 건조된 기판(W) 상에 수행된다.
일 실시예에서는, 환경 제어 시스템(145)이 제공된다. 환경 제어 시스템(145)은 기판(W) 위의 환경(142)의 조성이 제어되게 한다. 일 실시예에서, 환경 제어 시스템(145)은 재료의 단일층(74)의 하나 이상의 선택된 영역(76)을 포함하는 실링된 환경(142)을 제공하기 위한 챔버(136)를 포함한다. 일부 실시예들에서, 재료의 패터닝된 층이 형성되는 동안 기판(W) 전부가 챔버(36) 내에 있을 것이다. 일 실시예에서, 재료가 실링된 환경(142)에 추가되거나 제거되게 하여 실링된 환경(142) 내에 상이한 조성 환경이 구축되게 하는 재료 교환 시스템(138)(예를 들어 챔버(136)에 연결된 포트 및 연관된 밸브 및/또는 도관)이 제공된다. 재료는 흐름 관리자(144)에 의해 재료 교환 시스템(138) 안팎으로 제공될 수 있다. 흐름 관리자(144)는 저장소, 덕팅(ducting), 밸브, 싱크, 펌프, 제어 시스템, 및/또는 챔버(136) 안팎에 재료의 요구된 흐름을 제공하기 위하여 필요한 다른 컴포넌트의 임의의 적합한 조합을 포함할 수 있다. 이러한 방식으로 얻어진 상이한 조성 환경은, 재료의 패터닝된 층이 형성되기 전에 재료의 단일층(74)을 형성하기 위하여 사용되는 원자층 증착 프로세스의 각각의 상이한 스테이지, 및 재료의 패터닝된 층이 형성되는 스테이지에 대응할 수 있다. 일부 실시예들에서, 챔버(136)에 추가되거나 제거되는 재료는 가스상이고, 이를 통하여 상이한 조합의 가스로 이루어지는 조성 환경을 제공한다. 하나 이상의 단계가 기판(W)을 침지액을 통과하여 조사함으로써 수행되는 일 실시예에서, 환경 제어 시스템(145)은 제어된 액체 환경이 기판(W) 위에서 유지되는 상태(예를 들어 침지 리소그래피 모드에서의 노광 중) 및 제어된 가스상 환경이 기판(W) 위에서 유지되는 상태(예를 들어 재료의 패터닝된 층이 형성되는 동안) 사이의 스위칭을 허용하도록 구성될 수 있다.
실시예의 다른 클래스에서, 증착 프로세스의 유발은, 도 14에 개략적으로 도시된 바와 같이 입사 EUV 방사선(82)과 기판(W) 사이의 상호작용에 의해 이차 전자가 생성되는 것을 통하여, 적어도 부분적으로 일어난다. 이러한 실시예에서, 이차 전자는 기판(W)의 벌크 내에(즉 기판(W)의 표면(84) 아래에) 생성된다. 이차 전자 중 일부는 표면(84)을 통해 기판(W)을 벗어나고 기판(W) 위의(즉 EUV 방사선(82)이 기판(W)에 입사하는 기판(W)의 면 위)의 공간(86)에 진입하기에 충분한 에너지를 가질 것이다. 기판(W)이 실리콘 웨이퍼인 실시예들에서, 약 5 eV의 통상적인 일함수와 비교할 때, 이차 전자가 0 eV 및 약(20eV)의 에너지 범위(평균은 약 10eV)를 통상적으로 가질 것이라는 것이 기대된다.
기판(W) 위의 공간(86)은 전구체 재료(90)(예를 들어 증기)를 포함하도록 제어된다(예를 들어 전술된 바와 같은 환경 제어 시스템(45, 145)에 의하여). 일 실시예에서, 전구체 재료(90)는, 예를 들어 탄소를 기판(W) 상에 증착하는 것이 필요한 경우에는 하나 이상의 탄소 보유 화합물을 포함한다. 기판(W)을 떠난 이차 전자 중 일부가 전구체 재료(90)와 상호작용한다. 전구체 재료(90)의 상호작용하면 전구체 재료(90)가 변경되어 전구체 재료(90)로부터 유도된 재료가 기판(W) 상에 증착되는 것이 촉진될 수 있다. 전구체 재료(90)를 변경하는 것은 전구체 재료(90)의 이온화를 포함할 수 있다. 예를 들어, 탄소를 증착하려고 할 경우, 전구체 재료(90)의 변경은 표면(84) 근처에 탄소 이온을 형성하는 것을 포함할 수 있고, 그러면 표면(84) 상에 탄소 클러스터가 성장하는 것이 촉진된다.
재료의 증착을 이차 전자에 의해 촉진하는 것은, EUV 방사선(82)에 의해 조사된 영역(88) 내에서 주로 또는 배타적으로 일어난다. 공간적 패턴이 EUV 방사선(82)을 사용하여 높은 분해능으로 규정될 수 있다. 이러한 능력을 이차 전자에 의해 증착을 촉진하는 국소적인 성질과 결합하면, 증착된 재료의 패터닝된 층이 높은 정확도로 형성될 수 있게 된다.
일 실시예에서, 재료의 증착을 촉진시키는 것은, 표면(84) 상에서 그리고 이미 표면(84) 상에 증착된 바 있는 증착된 재료(89) 상에서 재료의 증착을 촉진하는 것을 포함한다. 이러한 방식으로, 프로세스는 재료의 단일층 및 더 두꺼운 층을 요구되는 바에 따라 증착할 수 있다.
일 실시예에서, EUV 방사선(82)은 기판(W) 위의 가스와 상호작용하여 플라즈마를 생성한다. 일 실시예에서, 가스와의 상호작용은 수소의 이온화를 포함한다. 일 실시예에서, 플라즈마는 에칭 기능을 제공한다. 플라즈마 에칭이 당업계에 공지되어 있고, 재료(특히 탄소 및 주석)가 EUV 리소그래피 장치의 미러 상에 원치 않이게 빌드업된 것을 세정하기 위해 사용될 수 있다. 그러나, 발명자들은 플라즈마가 EUV 방사선에 의해 생성되는 경우, 에칭이 직접적으로 조사되고 있는 표면의 영역(즉 EUV 스폿 내의 영역) 내에서는 에칭이 놀랍게도 덜 효율적이라는 것을 발견했다. 이론에 의해 제한되는 것을 원치 않지만, 이것은 조사된 영역 내에서 EUV 방사선이 재료가 플라즈마 에칭에 의해 제공되는 것보다 빨리 재료의 증착을 유도하는 것에 기인하여 발생할 수 있는 것으로 여겨진다. 대안적으로 또는 추가적으로, EUV 방사선은 플라즈마 에칭에 저항하는 화학적 변화, 결합 형성, 및/또는 상변화, 예컨대 (부분적인) 결정화가 생기게 할 수 있다. 조사된 영역(88) 밖에서의 플라즈마 에칭과 조사된 영역(88) 내에서의 재료의 증착의 촉진을 결합하면, 증착된 재료의 패턴이, 높은 신뢰도로 그리고 조사된 영역(88) 밖에는 재료의 증착이 최소화되거나 원치 않은 증착이 없게 증착될 수 있게 된다. 도 15는 EUV 조사의 보호 효과를 시연하는 실험으로부터 얻어진 예시적인 결과를 보여주는 그래프이다. 기판(W)이 그 위에 이미 증착된 탄소 재료의 층을 가졌고 기판(W) 위에의 공간(86) 내에서 EUV가 수소로부터 플라즈마를 생성한 경우, 실험은 전술된 바와 같이 영역(88) 내에서 기판(W)을 EUV 방사선(82)으로 조사하는 것을 포함했다. 가로축은 조사된 영역(88)을 통과하는 기판(W) 상의 선을 따른 위치의 범위를 나타낸다. 좌측 세로축과 파선 곡선은 입사 EUV 방사선(82)의 세기 IEUV의 위치에 따른 변동을 나타낸다. 따라서, 파선 곡선은 영역(88)의 위치: 즉 약 6mm 및 10mm 사이를 규정한다. 우측 세로축 및 실선 곡선은 EUV 방사선(82)에 의해 생성된 수소 플라즈마에 의해 매개되는 탄소 세정(CC) 프로세스의 효율의 변동을 나타낸다. 탄소 세정 프로세스의 효율(이러한 예에서는 제거된 재료의 nm 깊이로 표현됨)은 EUV 방사선(82)에 의해 조사되고 있는 영역(88) 내에서는 크게 감소된다는 것으로 보인다. 따라서, EUV 방사선(82)은 EUV 생성 플라즈마에 의한 에칭에 대하여 탄소의 층을 국지적으로 보호한다.
도 16은 EUV 생성된 플라즈마에 의한 에칭에 대한 EUV 방사선(82)의 보호를 더욱 시연하는 실험으로부터 얻어진 예시적인 결과를 보여주는 그래프이다. 이러한 경우에, 그래프는 EUV 방사선(82) IEUV의 세기(가로축)에 대한, 탄소 세정 프로세스(CC)의 효율(세로축)의 변동을 보여준다. 보호 효과는 입사 EUV 방사선(82)의 세기 IEUV가 약 1 W/cm2까지 증가함에 따라 신속하게 증가하는 것으로 보인다. 1 W/cm2를 넘으면, 입사 EUV 방사선(82)의 세기 IEUV가 증가할 때 보호 효과는 덜 빠르게 증가된다.
도 15 및 도 16에서 전술되고 논의된 것과 유사한 거동이 탄소 대신에 주석에 대해서도 관측되었고, 내재된 메커니즘은 광범위한 다른 재료에도 적용될 것으로 기대된다. 전구체 재료(90)의 적절한 선택(예를 들어 주어진 비율로 가스들을 조합하는 것)에 의하여, 대응하는 넓은 범위의 재료를 동일한 접근법을 사용하여 선택적으로 증착하는 것이 가능하다. 예를 들어, 이러한 접근법은 그래핀, hBN, 전이 금속 칼코게나이드(chalcogenides)(장래의 FET, 광자 및 광전자공학 디바이스 및 리드(lead)를 위해 필요함)의 선택적 증착을 위하여 사용될 수 있다.
실시예의 추가적 클래스에서, 도 17에 개략적으로 도시된 바와 같이, 전기장(E)이 기판(W) 위에 인가된다. 전기장(E)은 이차 전자를 기판(W)으로부터 강제로 멀어지게 한다. 일 실시예에서, 전기장(E)은 기판(W)의 표면(84)에 실질적으로 수직이다. 일 실시예에서, 전기장(E)은 전기장 제어기(93)에 의해 인가된다. 일 실시예에서, 전기장 제어기(93)는 접지에 대한 기판(W)의 전위를 상승시키는(즉 기판(W)에 전압을 인가하는) 전기 회로를 포함한다.
전기장(E)은 개선된 수율 및 개선된 패턴 분해능(선예도)을 제공한다. 이론에 의해 한정되는 것을 원하지는 않지만, 이러한 효과는 다음 메커니즘 중 하나 이상에 기인하여 발생할 수 있다고 여겨진다. 우선, 이차 전자가 기판(W) 위에의 공간(86)으로 이동하는 것을 독려함으로써, 전기장(E)은 이차 전자와 전구체 재료(90) 사이의 증가된 상호작용을 촉진하고, 이를 통하여 수율을 증가시킨다. 둘째로, 이차 전자에 의해 이온화된 바 있는 전구체 재료는 전기장(E)에 의하여 신속하고 직접적으로 기판을 향해서 이동하도록 독려될 수 있고, 이를 통하여 효율적이고 국지화된 증착을 촉진한다. 셋째로, 특히 전기장(E)이 표면(84)에 대해 수직으로 배향되는 경우, 전기장은 이차 전자 및 이온화된 전구체 재료의 측방향 확산을 감소시키고, 이를 통하여 증착 프로세스에 의해 형성된 패턴의 에지가 선명해지게 한다.
도 17의 예에서, EUV 방사선(82)의 세기 I의 시간 t의 함수로서의 변동은 파선 곡선(92)에 의해 개략적으로 표현되고, 기판(W)에 이가되는 시간 t의 함수인 전압은 실선 곡선(91)으로 표현된다. 이차 전자 e-는 개략적으로 원으로 표현된다. EUV 방사선(82)에 의해 변경되지 않은 전구체 재료 X0는 삼각형으로 표현된다. EUV 방사선(82)에 의해 변경된 바 있는(예를 들어 이온화에 의하여) 전구체 재료 X* 및 X+ 정사각형으로 표현된다. 서브-다이어그램 94는 EUV 방사선(82)이 전기장이 없이 인가되는 시간 기간 동안의 기판(W)의 개략적인 측면도이다. 서브-다이어그램 96은 EUV 방사선(82)이 전기장과 함께 인가되는 시간 기간 동안의 동일한 기판(W)의 개략적인 측면도이다. 서브-다이어그램 96은 전기장(E)이 수율 및 패턴 분해능을 어떻게 개선시킬 수 있는지를 개략적으로 도시하는데, 많은 수의 이차 전자가 측방향 국지화된 영역 내에서 표면(84)으로부터 멀어지게 구동되면서, 측방향 국지화된 영역 내에서 변경된 전구체 재료가 더 많이 생성되는 것을 촉진한다.
플라즈마 에칭의 전술된 국소 억제가 재료의 미리 존재하는 층의 제어된 에칭을 제공하기 위해서 활용될 수 있다. 일 실시예에서, 기판(W) 및 기판(W) 상의 재료의 층을 포함하는 스택이 하나 이상의 선택된 영역 내에서 EUV 방사선에 의해 조사되는 방법이 제공된다. 조사는 패턴을 재료의 층에 적용시킨다. 재료의 층이 패턴을 이미 포함하는 경우, 조사는 패턴을 변경시킬 수 있다. 조사는 전술된 바와 같이 기판(W) 위의 영역(86) 내에 플라즈마를 생성함으로써 재료를 제거한다. 플라즈마는 예를 들어 수소를 이온화함으로써 생성될 수 있다. 방사선은 기판(W)과 상호작용하여 하나 이상의 선택된 영역 내에서의 재료의 제거를 그 외의 영역에 비하여 국지적으로 억제(또는 방지)한다(예를 들어 도 15 및 도 16을 참조하여 전술된 바와 같이). 그 외의 영역은 조사되지 않고 있으며 세정 억제의 억제 효과가 관측되지 않는 영역이다.
도 14 내지 도 17의 실시예에 관하여 앞서 언급된 전구체 재료(90)는 더 앞선 실시예들에 관해서 전술된 전구체 재료(90) 중 임의의 것을 포함할 수 있다. 일 실시예에서, 전구체 재료(90)는 탄소 또는 탄소 화합물을 포함한다. 이러한 실시예에서, 증착되는(또는 선택적으로 에칭되는) 재료는 탄소 또는 탄소 화합물을 포함할 수 있다. 일 실시예에서, 전구체 재료(90)는 주석 또는 주석 화합물을 포함한다. 이러한 실시예에서, 증착되는(또는 선택적으로 에칭되는) 재료는 주석 또는 주석 화합물을 포함할 수 있다. 이러한 메커니즘은 광범위한 다른 재료에 적용가능할 것으로 기대된다. 플라즈마 에칭이 요구되는 경우, 수소와 같은 적합한 플라즈마-촉진 재료가 제공될 수 있다. 플라즈마-촉진 재료들 및/또는 전구체 재료들의 상대적인 농도 및 조성은 수율 및/또는 패터닝 품질을 최적화하도록 조절될 수 있다.
이러한 실시예들은 다음 절들을 사용하여 더 기술될 수 있다.
1. 재료의 패터닝된 층을 형성하는 방법으로서,
증착 프로세스 중에, 기판의 표면의 선택된 부분을 100 nm보다 작은 파장을 가지는 전자기 방사선으로 조사(irradiating)하는 단계를 포함하고,
조사는 선택된 영역 내에서 증착 프로세스를 국지적으로 유발(driving)하여, 증착 프로세스에 의해 재료의 층이 상기 선택된 부분에 의해 규정된 패턴으로 형성되도록 하기 위한 것인, 패터닝된 층 형성 방법.
2. 제 1 절에 있어서,
상기 선택된 부분 내에서 상기 증착 프로세스를 유발하는 것은, 상기 전구체 재료를 수반하는 화학 반응을 유발하는 것을 포함하는, 패터닝된 층 형성 방법.
3. 제 2 절에 있어서,
상기 화학 반응은 상기 조사에 의해 유발되는 광화학 반응을 포함하는, 패터닝된 층 형성 방법.
4. 제 3 절에 있어서,
상기 광화학 반응은, 상기 광화학 반응 내에 수반된 적어도 하나의 종들 각각에 의한 두 개 이상의 광자의 흡수를 수반하는 다광자 광화학 반응인, 패터닝된 층 형성 방법.
5. 제 4 절에 있어서,
상기 다광자 광화학 반응은 2-광자 광화학 반응인, 패터닝된 층 형성 방법.
6. 제 2 절 내지 제 5 절 중 어느 한 절에 있어서,
상기 전구체 재료는 Mo(thd)3를 포함하고, thd = 2,2,6,6-테트라메틸헵탄(tetramethylheptane)-3,5-디오나토(dionato)인, 패터닝된 층 형성 방법.
7. 제 2 절 내지 제 6 절 중 어느 한 절에 있어서,
상기 화학 반응은 상기 조사에 의해 상기 기판 내에 생성된 열에 의해 적어도 부분적으로 유발되는, 패터닝된 층 형성 방법.
8. 제 7 절에 있어서,
상기 화학 반응은 상기 선택된 영역에 흡착된 전구체 재료의 해리를 수반하는 열분해 프로세스를 포함하는, 패터닝된 층 형성 방법.
9. 제 2 절 내지 제 8 절 중 어느 한 절에 있어서,
상기 전구체 재료는: BBr3, Zn(OC2H5)2, Ta(OC2H5)2, Ta(OC2H5)5, Al(CH3)3, Ti(OCH(CH3) 2)4 중 하나 이상을 포함하는, 패터닝된 층 형성 방법.
10. 제 2 절 내지 제 9 절 중 어느 한 절에 있어서,
상기 화학 반응의 유발은, 선택된 영역 위의 가스와 국지적으로 상호작용하는 방사선에 의해 반응성 종을 생성하는 것을 포함하는, 패터닝된 층 형성 방법.
11. 제 10 절에 있어서,
생성된 반응성 종은 산화제 또는 환원제를 포함하는, 패터닝된 층 형성 방법.
12. 제 10 절 또는 제 11 절에 있어서,
생성된 반응성 종은: 해리된 O2, 해리된 H2O, 해리된 NH3 중 하나 이상을 포함하는, 패터닝된 층 형성 방법.
13. 제 1 절 내지 제 12 절 중 어느 한 절에 있어서,
증착 프로세스의 유발은, 전자기 방사선과 상기 기판 사이의 상호작용에 의해 이차 전자를 생성하는 것을 포함하는, 패터닝된 층 형성 방법.
14. 제 13 절에 있어서,
상기 이차 전자 중 일부는 상기 기판을 벗어나고, 상기 기판 위의 전구체 재료와 상호작용하며,
상기 이차 전자와 전구체 재료 사이의 상호작용은, 상기 전구체 재료로부터 유도된 재료의 증착을 촉진하기 위한 것인, 패터닝된 층 형성 방법.
15. 제 14 절에 있어서,
상기 방법은,
이차 전자를 상기 기판으로부터 강제로 멀어지게 하는 전기장을 인가하는 단계를 더 포함하는, 패터닝된 층 형성 방법.
16. 제 15 절에 있어서,
강제력이 상기 기판의 표면에 대해 수직으로 지향되는, 패터닝된 층 형성 방법.
17. 제 13 절 내지 제 16 절 중 어느 한 절에 있어서,
상기 전구체 재료 및 증착 프로세스에 의해 증착되는 상기 재료의 층은: 탄소 또는 탄소 화합물, 주석 또는 주석 화합물 중 하나 이상을 포함하는, 패터닝된 층 형성 방법.
18. 제 1 절 내지 제 17 절 중 어느 한 절에 있어서,
상기 증착 프로세스는 원자층 증착 프로세스인, 패터닝된 층 형성 방법.
19. 제 18 절에 있어서,
상기 원자층 증착 프로세스는 두 단계를 포함하고,
상기 기판의 표면의 선택된 부분의 조사는, 두 단계 중 어느 하나 또는 양자 모두 중에 수행되는, 패터닝된 층 형성 방법.
20. 제 19 절에 있어서,
상기 단계들 중 적어도 하나는, 상기 기판의 선택된 부분이 액체와 접촉하는 동안에 상기 기판의 선택된 부분을 조사하는 것을 포함하는, 패터닝된 층 형성 방법.
21. 재료의 패터닝된 층을 형성하는 방법으로서,
기판 및 재료의 층을 포함하는 스택을 제공하는 단계; 및
패턴을 상기 재료의 층에 적용하거나 상기 재료의 층 내의 패턴을 변경하도록, 상기 재료의 층의 하나 이상의 선택된 영역을 100 nm보다 작은 파장을 가지는 전자기 방사선으로 조사하는 단계를 포함하고,
상기 조사는, 플라즈마를 상기 기판 위의 영역 내에 생성함으로써, 상기 조사 중에 재료가 제거되게 하고,
상기 방사선은, 패턴을 적용하거나 패턴을 변경하기 위하여, 상기 하나 이상의 선택된 영역 내의 재료의 제거를 그 외의 영역에 비해 국지적으로 억제하도록, 상기 기판과 상호작용하는, 패터닝된 층 형성 방법.
22. 제 1 절 내지 제 21 절 중 어느 한 절에 있어서,
상기 전자기 방사선은 4nm 내지 20nm의 범위에 속하는 파장을 가지는, 패터닝된 층 형성 방법.
23. 재료의 패터닝된 층을 형성하는 방법으로서,
원자층 증착 프로세스 중에, 기판의 표면의 선택된 부분을 전자기 방사선으로 조사하는 단계를 포함하고,
상기 조사는 선택된 영역 내에서 상기 원자층 증착 프로세스를 국지적으로 유발하여, 상기 원자층 증착 프로세스에 의해 재료의 층을 상기 선택된 부분에 의해 규정된 패턴으로 형성되도록 하기 위한 것이며,
상기 원자층 증착 프로세스는 두 단계를 포함하고,
상기 선택된 부분의 조사는 상기 기판의 선택된 부분이 액체와 접촉하는 동안에 두 단계 중 적어도 하나의 단계 중에 수행되는, 패터닝된 층 형성 방법.
24. 제 1 절 내지 제 23 절 중 어느 한 절에 있어서,
상기 방법은,
상기 하나 이상의 선택된 영역 내의 재료를 제거하여, 패턴을 변경하도록, 상기 패턴 내에 형성된 재료의 층을 처리하는 단계를 더 포함하는, 패터닝된 층 형성 방법.
25. 제 24 절에 있어서,
상기 재료의 제거는, 하나 이상의 선택된 영역 내의 재료를 선택적으로 조사함으로써 수행되는, 패터닝된 층 형성 방법.
26. 재료의 패터닝된 층을 형성하는 방법으로서,
기판 및 재료의 단일층을 포함하는 스택을 제공하는 단계; 및
상기 하나 이상의 선택된 영역 내의 재료를 선택적으로 조사함으로써 상기 재료의 단일층의 하나 이상의 선택된 영역 내의 재료를 제거하도록 상기 스택을 처리함으로써, 재료의 단일층에 패턴을 적용하거나 상기 재료의 단일층 내의 패턴을 변경하는 단계를 포함하는, 패터닝된 층 형성 방법.
27. 제 25 절 또는 제 26 절에 있어서,
상기 재료는 상기 선택적 조사 중에, 상기 하나 이상의 선택된 영역 내에서 제거되는, 패터닝된 층 형성 방법.
28. 제 25 절 내지 제 27 절 중 어느 한 절에 있어서,
상기 재료의 제거는 레이저 삭마에 의해 일어나는, 패터닝된 층 형성 방법.
29. 제 25 절 내지 제 28 절 중 어느 한 절에 있어서,
상기 재료의 제거는, 상기 재료와 환경 사이의 화학 반응에 의해 일어나고, 상기 화학 반응은 상기 조사에 의해 유발되는, 패터닝된 층 형성 방법.
30. 제 29 절에 있어서,
상기 화학 반응을 유발하는 방사선은, 100 nm 미만의 파장을 가지는 방사선을 포함하는, 패터닝된 층 형성 방법.
31. 반도체 디바이스를 형성하는 방법으로서,
제 1 절 내지 제 30 절 중 어느 한 절의 방법을 사용하여 디바이스 내의 적어도 하나의 층을 형성하는 단계를 포함하는, 반도체 디바이스 형성 방법.
32. 제 31 절에 있어서,
상기 반도체 디바이스는 터널링 FET를 포함하고,
제 1 절 내지 제 30 절 중 어느 한 절의 방법은, 상기 터널링 FET의 적어도 하나의 단일층을 형성하기 위하여 사용되는, 반도체 디바이스 형성 방법.
33. 재료의 패터닝된 층을 형성하기 위한 장치로서,
증착 프로세스 중에, 기판의 표면의 선택된 부분을 100 nm보다 작은 파장을 가지는 전자기 방사선으로 조사하도록 구성되는 조사 시스템; 및
상기 증착 프로세스가 진행될 수 있도록, 상기 기판 위의 환경의 조성이 제어될 수 있게 구성되는 환경 제어 시스템을 포함하는, 패터닝된 층 형성 장치.
34. 재료의 패터닝된 층을 형성하기 위한 장치로서,
증착 프로세스 중에, 기판의 표면의 선택된 부분을 전자기 방사선으로 조사하도록 구성되는 조사 시스템; 및
상기 증착 프로세스가 진행될 수 있도록, 상기 기판 위의 환경의 조성이 제어될 수 있게 구성되는 환경 제어 시스템을 포함하고,
상기 환경 제어 시스템은, 증착 프로세스의 적어도 하나의 단계에서 상기 선택된 부분의 조사 중에, 액체가 상기 선택된 부분과 접촉된 상태를 유지하게 하도록 구성되는, 패터닝된 층 형성 장치.
35. 제 33 절 또는 제 34 절에 있어서,
상기 환경 제어 시스템은,
상기 기판의 표면의 선택된 부분을 포함하는 실링된 환경을 제공하기 위한 챔버; 및
상이한 조성 환경(compositional environment)이 상기 실링된 환경 내에 구축되게 하도록, 재료가 상기 실링된 환경에 추가되거나 상기 실링된 환경으로부터 제거되게 하게끔 구성되는 재료 교환 시스템을 포함하고,
상이한 조성 환경은 상기 증착 프로세스의 각각의 상이한 단계에 대응하는, 패터닝된 층 형성 장치.
36. 제 33 절 내지 제 35 절 중 어느 한 절에 있어서,
상기 환경 제어 시스템은, 상기 기판 위의 환경을 제어하여 상기 환경 내에 전구체 재료를 제공하도록 구성되고,
상기 환경의 제어는, 상기 전자기 방사선과 상기 기판 사이의 상호작용에 의해 생성된 이차 전자 중 일부가 상기 환경 내의 전구체 재료와 상호작용하게 하며,
상기 이차 전자와 상기 전구체 재료 사이의 상호작용은, 상기 전구체 재료로부터 유도된 재료의 증착을 촉진하게 하기 위한 것인, 패터닝된 층 형성 장치.
37. 제 33 절 내지 제 36 절 중 어느 한 절에 있어서,
상기 장치는,
이차 전자를 상기 기판으로부터 강제로 멀어지게 하도록 배향되는 전기장을 인가하도록 구성되는 전기장 제어기를 더 포함하는, 패터닝된 층 형성 장치.
38. 제 37 절에 있어서,
상기 전기장 제어기는, 전기장이 상기 기판의 표면에 대해 수직으로 지향되게 하도록 구성되는, 패터닝된 층 형성 장치.
39. 제 37 절 또는 제 38 절에 있어서,
상기 전기장 제어기는, 전압을 상기 기판에 인가함으로써 전기장을 인가하도록 구성되는, 패터닝된 층 형성 장치.
40. 재료의 패터닝된 층을 형성하기 위한 장치로서,
기판 상의 재료의 층의 하나 이상의 선택된 영역을 100 nm보다 작은 파장을 가지는 전자기 방사선으로 조사하도록 구성되는 조사 시스템; 및
상기 기판 위의 환경의 조성이 상기 조사 중에 제어될 수 있게 구성되는 환경 제어 시스템을 포함하고
상기 환경 제어 시스템은, 상기 환경 내에 플라즈마-촉진 재료를 제공하게끔 상기 환경을 제어하도록 구성되고,
상기 플라즈마-촉진 재료는, 상기 전자기 방사선이 제어된 환경을 통과할 때 플라즈마가 상기 전자기 방사선에 의해 생성되게 하기 위한 것이며,
상기 플라즈마는, 상기 조사 중에 상기 재료의 층 내의 재료가 제거되게 하기 위한 것이고,
상기 방사선은, 하나 이상의 선택된 영역 내의 재료의 제거를 그 외의 영역에 비해 국지적으로 억제하도록 상기 기판과 상호작용함으로써, 패턴을 상기 재료의 층에 적용하거나 상기 재료의 층 내의 패턴을 변경하는, 패터닝된 층 형성 장치.
41. 재료의 패터닝된 층을 형성하기 위한 장치로서,
재료의 단일층의 하나 이상의 선택된 영역을 100 nm보다 작은 파장을 가지는 전자기 방사선으로 선택적으로 조사하도록 구성되는 조사 시스템; 및
상기 기판 위의 환경의 조성이, 상기 재료와 제어된 환경 사이의 화학 반응에 의하여 상기 재료의 단일층의 하나 이상의 선택된 영역 내의 재료가 제거되게 하는 방식으로 제어되게끔 하도록 구성되는 환경 제어 시스템을 포함하고,
상기 화학 반응은 상기 조사에 의해 유발되는, 패터닝된 층 형성 장치.
42. 제 33 절 내지 제 41 절 중 어느 한 절에 있어서,
상기 조사 시스템은, 패터닝된 방사선 빔을 패터닝 디바이스로부터 상기 기판 상에 투영함으로써 상기 조사를 제공하도록 구성되는 리소그래피 장치를 포함하는, 패터닝된 층 형성 장치.
본 명세서에서 IC를 제조하는 분야에 리소그래피 장치를 이용하는 것에 대해 특히 언급될 수 있지만, 본원에서 기술된 리소그래피 장치는 다른 응용예를 가질 수 있음이 이해돼야 한다. 가능한 다른 적용예는 집적 광학 시스템, 자기 도메인 메모리(magnetic domain memory)용 가이드 및 검출 패턴(guidance and detection pattern), 평판 디스플레이, LCD(Liquid Crystal Display), 박막 자기 헤드 등의 제조를 포함한다.
비록 본 발명의 특정한 실시예가 위에서 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수 있다는 것이 이해될 것이다. 위의 설명은 한정적인 것이 아니라 예시적인 의도로 제공된다. 따라서, 다음 진술되는 청구항의 범위로부터 벗어나지 않으면서, 설명된 바와 같은 본 발명에 변경이 이루어질 수 있다는 것이 당업자에게는 명백할 것이다.
레지스트의 고정밀도 노광을 달성하도록 개발된 리소그래피 장치의 성능이, 레지스트를 사용하지 않고 증착 프로세스(예를 들어 원자층 증착 프로세스) 중에 패턴을 정확하게 형성할 수 있게 하도록 활용될 수 있다. 더 적은 처리 단계를 사용하고 및/또는 레지스트를 제거해야 하는 것과 연관된 수율 손실이 없이 높은 정확도가 달성될 수 있다.

Claims (15)

  1. 재료의 패터닝된 층을 형성하는 방법으로서,
    증착 프로세스 중에, 기판의 표면의 선택된 부분을 100 nm보다 작은 파장을 가지는 전자기 방사선으로 조사(irradiating)하는 단계 - 상기 조사는 상기 선택된 부분 내에서 상기 증착 프로세스를 국지적으로 유발(driving)하여, 상기 증착 프로세스에 의해 재료의 층이 상기 선택된 부분에 의해 규정된 패턴으로 형성되도록 하기 위한 것임 -; 및
    전자를 상기 기판으로부터 강제로 멀어지게 하는 전기장을 인가하는 단계를 포함하는, 패터닝된 층 형성 방법.
  2. 제 1 항에 있어서,
    상기 선택된 부분 내에서 상기 증착 프로세스를 유발하는 것은, 전구체 재료를 수반하는 화학 반응을 유발하는 것을 포함하는, 패터닝된 층 형성 방법.
  3. 제 2 항에 있어서,
    상기 화학 반응은 상기 조사에 의해 유발되는 광화학 반응을 포함하는, 패터닝된 층 형성 방법.
  4. 제 3 항에 있어서,
    상기 광화학 반응은, 상기 광화학 반응 내에 수반된 적어도 하나의 종들 각각에 의한 두 개 이상의 광자의 흡수를 수반하는 다광자 광화학 반응인, 패터닝된 층 형성 방법.
  5. 제 4 항에 있어서,
    상기 다광자 광화학 반응은 2-광자 광화학 반응인, 패터닝된 층 형성 방법.
  6. 제 2 항에 있어서,
    상기 전구체 재료는 Mo(thd)3를 포함하고, thd = 2,2,6,6-테트라메틸헵탄(tetramethylheptane)-3,5-디오나토(dionato)인, 패터닝된 층 형성 방법.
  7. 제 2 항에 있어서,
    상기 화학 반응은 상기 조사에 의해 상기 기판 내에 생성된 열에 의해 적어도 부분적으로 유발되고,
    상기 화학 반응은 상기 선택된 부분에 흡착된 전구체 재료의 해리를 수반하는 열분해 프로세스를 포함하는, 패터닝된 층 형성 방법.
  8. 제 2 항에 있어서,
    상기 전구체 재료는: BBr3, Zn(OC2H5)2, Ta(OC2H5)2, Ta(OC2H5)5, Al(CH3)3, Ti(OCH(CH3) 2)4 중 하나 이상을 포함하는, 패터닝된 층 형성 방법.
  9. 제 1 항에 있어서,
    상기 증착 프로세스는 원자층 증착 프로세스를 포함하는, 패터닝된 층 형성 방법.
  10. 제 1 항에 있어서,
    상기 전기장은 상기 기판의 표면에 대해 수직으로 지향되는, 패터닝된 층 형성 방법.
  11. 제 1 항에 있어서,
    상기 전기장은 전압을 상기 기판에 인가함으로써 인가되는, 패터닝된 층 형성 방법.
  12. 재료의 패터닝된 층을 형성하기 위한 장치로서,
    증착 프로세스 중에, 기판의 표면의 선택된 부분을 100 nm보다 작은 파장을 가지는 전자기 방사선으로 조사하도록 구성되는 조사 시스템; 및
    상기 증착 프로세스가 진행될 수 있도록, 상기 기판 위의 환경의 조성이 제어될 수 있게 구성되는 환경 제어 시스템; 및
    이차 전자를 상기 기판으로부터 강제로 멀어지게 하도록 배향되는 전기장을 인가하도록 구성되는 전기장 제어기를 포함하는, 패터닝된 층 형성 장치.
  13. 제 12 항에 있어서,
    상기 전기장 제어기는, 전기장이 상기 기판의 표면에 대해 수직으로 지향되게 하도록 구성되는, 패터닝된 층 형성 장치.
  14. 제 12 항에 있어서,
    상기 전기장 제어기는, 전압을 상기 기판에 인가함으로써 전기장을 인가하도록 구성되는, 패터닝된 층 형성 장치.
  15. 제 12 항에 있어서,
    상기 환경 제어 시스템은, 상기 환경에 전구체 재료를 제공하게끔 상기 기판 위의 환경을 제어하도록 구성되는, 패터닝된 층 형성 장치.
KR1020207025362A 2018-03-02 2019-02-21 재료의 패터닝된 층을 형성하기 위한 방법 및 장치 KR102447189B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
EP18159656.0 2018-02-03
EP18159656.0A EP3534211A1 (en) 2018-03-02 2018-03-02 Method and apparatus for forming a patterned layer of material
EP18198942 2018-10-05
EP18198942.7 2018-10-05
EP18204446.1 2018-11-05
EP18204446 2018-11-05
PCT/EP2019/054313 WO2019166318A1 (en) 2018-03-02 2019-02-21 Method and apparatus for forming a patterned layer of material

Publications (2)

Publication Number Publication Date
KR20200118119A KR20200118119A (ko) 2020-10-14
KR102447189B1 true KR102447189B1 (ko) 2022-09-26

Family

ID=65443863

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207025362A KR102447189B1 (ko) 2018-03-02 2019-02-21 재료의 패터닝된 층을 형성하기 위한 방법 및 장치

Country Status (8)

Country Link
US (1) US20210079519A1 (ko)
EP (1) EP3759550A1 (ko)
JP (1) JP7250803B2 (ko)
KR (1) KR102447189B1 (ko)
CN (1) CN111837074B (ko)
IL (1) IL276936A (ko)
TW (1) TWI714973B (ko)
WO (1) WO2019166318A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022106157A1 (en) 2020-11-18 2022-05-27 Asml Netherlands B.V. Method of forming a patterned layer of material
EP4001455A1 (en) 2020-11-18 2022-05-25 ASML Netherlands B.V. Method of forming a patterned layer of material
DE102021116036A1 (de) 2021-06-21 2022-12-22 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung eingetragener Verein Verfahren und System zum Herstellen einer metallischen Struktur
EP4206823A1 (en) 2021-12-30 2023-07-05 ASML Netherlands B.V. Method of patterning a target layer, apparatus for patterning a target layer
WO2024002578A1 (en) 2022-06-27 2024-01-04 Asml Netherlands B.V. Material, method and apparatus for forming a patterned layer of 2d material
EP4343020A1 (en) 2022-09-21 2024-03-27 ASML Netherlands B.V. Method of forming a patterned layer of material, apparatus for forming a patterned layer of material

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013113537A2 (en) 2012-01-30 2013-08-08 Asml Netherlands B.V. Optical element, lithographic apparatus incorporating such an element, method of manufacturing an optical element
WO2018007498A1 (en) 2016-07-06 2018-01-11 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten A substrate holder and a method of manufacturing a substrate holder

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS592046A (ja) * 1982-06-28 1984-01-07 Nec Corp X線露光被照射体
JPS6369978A (ja) * 1986-09-12 1988-03-30 Nippon Telegr & Teleph Corp <Ntt> 薄膜パタン形成方法
JP2985321B2 (ja) * 1991-01-31 1999-11-29 日本電気株式会社 マスクパタ−ン形成方法
JP3145764B2 (ja) * 1992-02-26 2001-03-12 日本電気株式会社 導体コイルパターンの製造方法及び製造装置
US6503314B1 (en) * 2000-08-28 2003-01-07 Sharp Laboratories Of America, Inc. MOCVD ferroelectric and dielectric thin films depositions using mixed solvents
US6673524B2 (en) * 2000-11-17 2004-01-06 Kouros Ghandehari Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
KR100399617B1 (ko) * 2000-12-27 2003-09-29 엘지.필립스 엘시디 주식회사 폴리 실리콘 박막 제조방법
TWI232357B (en) 2002-11-12 2005-05-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
JP2006153998A (ja) * 2004-11-25 2006-06-15 Sharp Corp パターン形成方法およびパターン形成装置
US7728503B2 (en) * 2006-03-29 2010-06-01 Ricoh Company, Ltd. Electron emission element, charging device, process cartridge, and image forming apparatus
JP4245623B2 (ja) 2006-08-22 2009-03-25 ゼット株式会社 球技用心臓防護シャツ、及び、それに用いられる心臓防護パット
US7615332B2 (en) * 2007-02-06 2009-11-10 Canon Kabushiki Kaisha Photosensitive compound, photosensitive composition, resist pattern forming method, and device production process
KR20100093349A (ko) * 2009-02-16 2010-08-25 삼성전자주식회사 기상 박막 형성 방법 및 반도체 집적 회로 장치의 제조 방법
EP2602816A1 (en) 2010-08-06 2013-06-12 Asahi Glass Company, Limited Support substrate
US8426085B2 (en) * 2010-12-02 2013-04-23 Intermolecular, Inc. Method and apparatus for EUV mask having diffusion barrier
US9632411B2 (en) * 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9499909B2 (en) * 2013-03-15 2016-11-22 Applied Materials, Inc. Methods for photo-excitation of precursors in epitaxial processes using a rotary scanning unit
CN106164776B (zh) * 2014-04-09 2019-04-23 Asml荷兰有限公司 用于清洁对象的装置
US9793503B2 (en) * 2014-10-22 2017-10-17 Ellen Tuanying Chen Nanostructured organic memristor/memcapacitor of making with an embedded low-to-high frequency switch and a method of inducing an electromagnetic field thereto
US9466464B1 (en) 2015-01-23 2016-10-11 Multibeam Corporation Precision substrate material removal using miniature-column charged particle beam arrays
CN113652672B (zh) * 2015-05-27 2023-12-22 Asm Ip 控股有限公司 用于含钼或钨薄膜的ald的前体的合成和用途

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013113537A2 (en) 2012-01-30 2013-08-08 Asml Netherlands B.V. Optical element, lithographic apparatus incorporating such an element, method of manufacturing an optical element
WO2018007498A1 (en) 2016-07-06 2018-01-11 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten A substrate holder and a method of manufacturing a substrate holder

Also Published As

Publication number Publication date
EP3759550A1 (en) 2021-01-06
CN111837074A (zh) 2020-10-27
WO2019166318A1 (en) 2019-09-06
JP7250803B2 (ja) 2023-04-03
TW201944169A (zh) 2019-11-16
CN111837074B (zh) 2023-11-03
TWI714973B (zh) 2021-01-01
JP2021515264A (ja) 2021-06-17
IL276936A (en) 2020-10-29
KR20200118119A (ko) 2020-10-14
US20210079519A1 (en) 2021-03-18

Similar Documents

Publication Publication Date Title
KR102447189B1 (ko) 재료의 패터닝된 층을 형성하기 위한 방법 및 장치
KR100737759B1 (ko) 리소그래피 투영장치의 구성요소의 표면을 세정하는 방법, 리소그래피 투영장치, 디바이스 제조방법, 및 세정장치
KR102639026B1 (ko) 패턴화된 재료의 층을 형성하기 위한 방법 및 장치
JP6303008B2 (ja) 異なる波長の二つ以上の紫外光源を用いて基板を処理するシステム
US10061193B2 (en) Focused radiation beam induced deposition
US9869938B2 (en) Photonic activation of reactants for sub-micron feature formation using depleted beams
US20110259374A1 (en) Cleaning apparatus and cleaning method
EP3534211A1 (en) Method and apparatus for forming a patterned layer of material
US20230350301A1 (en) Method and apparatus for forming a patterned layer of material
EP3722457A1 (en) Method and apparatus for forming a patterned layer of material
KR102619440B1 (ko) 패턴화된 탄소층을 형성하기 위한 방법 및 장치, 패턴화된 물질층을 형성하는 방법
EP4001455A1 (en) Method of forming a patterned layer of material
EP4206823A1 (en) Method of patterning a target layer, apparatus for patterning a target layer
EP4343020A1 (en) Method of forming a patterned layer of material, apparatus for forming a patterned layer of material
JP2007281458A (ja) デバイス、リソグラフィ装置およびデバイス製造方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant