TW201944169A - 形成材料之圖案化層之方法及裝置 - Google Patents

形成材料之圖案化層之方法及裝置

Info

Publication number
TW201944169A
TW201944169A TW108106798A TW108106798A TW201944169A TW 201944169 A TW201944169 A TW 201944169A TW 108106798 A TW108106798 A TW 108106798A TW 108106798 A TW108106798 A TW 108106798A TW 201944169 A TW201944169 A TW 201944169A
Authority
TW
Taiwan
Prior art keywords
substrate
deposition process
electric field
radiation
irradiation
Prior art date
Application number
TW108106798A
Other languages
English (en)
Other versions
TWI714973B (zh
Inventor
賈格 皮耶特 威廉 荷曼 德
珊德 弗瑞德瑞克 威斯特
雷爾 瑪莉 克萊爾 凡
魯邦 康納利斯 瑪斯
艾利希 奧利高維奇 波里亞考夫
塔瑪拉 卓吉妮雅
維多利亞 佛諾尼娜
艾夫喬尼亞 克爾甘諾凡
金 文森 奧維卡皮
伯納多 凱斯川普
馬騰 凡卡姆潘
亞歷山大 多爾高夫
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP18159656.0A external-priority patent/EP3534211A1/en
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW201944169A publication Critical patent/TW201944169A/zh
Application granted granted Critical
Publication of TWI714973B publication Critical patent/TWI714973B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/047Coating on selected surface areas, e.g. using masks using irradiation by energy or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using coherent light, UV to IR, e.g. lasers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation
    • G03F7/2039X-ray radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2053Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a laser
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02568Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7391Gated diode structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Optics & Photonics (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明揭示用於形成材料之一圖案化層之方法及裝置。在一種配置中,在一沈積製程期間運用具有小於100 nm之一波長的電磁輻射輻照一基板之一表面之一選定部分。此外,一電場控制器經組態以施加經定向以便迫使二次電子遠離該基板的一電場。該輻照在該選定區中局部地驅動該沈積製程且藉此使該沈積製程形成呈由該選定部分界定之一圖案之形式的一材料層。

Description

形成材料之圖案化層之方法及裝置
本發明係關於形成材料之圖案化層之方法及裝置。
隨著半導體製造過程繼續進步,幾十年來,電路元件之尺寸已不斷地減小,而每器件的諸如電晶體之功能元件之量已在穩固地增加,此遵循通常被稱作「莫耳定律(Moore's law)」之趨勢。為了跟得上莫耳定律,半導體行業正追逐使能夠產生愈來愈小特徵的技術。
許多半導體製造過程依賴於微影。在微影期間逐場地執行基板之曝光,而針對整個基板同時執行大多數或全部其他步驟(例如蝕刻、沈積、化學機械平坦化(CMP)植入)。隨著微影處理移至較小特徵,對橫越基板之均一性要求增加,此意謂完整基板處理變得更具挑戰性。臨界尺寸均一性可受到光阻中之化學雜訊限制。
穿隧FET由於其衰減時間短及暗電流為零(且因此功率消耗低)而成為併入未來電晶體佈局中之有前景的候選者。製造穿隧FET由於需要形成諸如MoS2 之原子單層之圖案化堆疊而具有挑戰性。微影可用以執行圖案化,但已發現用於蝕刻或剝離光阻之製程可將缺陷引入至原子單層中,藉此影響良率。
本發明之一目標為提供用於形成圖案化層之替代或改良之方法及裝置。
根據一個態樣,提供一種形成材料之一圖案化層之方法,其包含:在一沈積製程期間運用具有小於100 nm之一波長的電磁輻射輻照一基板之一表面之一選定部分,該輻照係使得在該選定區中局部地驅動該沈積製程且藉此使該沈積製程形成呈由該選定部分界定之一圖案之形式的一材料層。
因此,提供了如下一種方法:其中輻射圖案界定發生沈積製程(其可包含例如原子層沈積製程或化學氣相沈積製程)之地點,藉此允許在無需抗蝕劑的情況下形成材料之圖案化層。已發現使用EUV輻射(具有小於100 nm之波長之輻射)有效且實用的,藉此允許使用所揭示技術形成高解析度特徵。可避免與移除抗蝕劑相關聯的潛在破壞性處理步驟。在半導體器件製造之內容背景中,吾人預期可減小與化學雜訊相關聯之誤差,此係因為用於沈積中之前驅體材料與典型抗蝕劑材料相比為小分子。相比於針對其中建構嵌段為聚合物或金屬氧化物奈米粒子之化學放大型抗蝕劑及非化學放大型抗蝕劑,吾人預期來自化學雜訊對局部臨界尺寸非均一性的貢獻較小。改良局部臨界尺寸均一性可促成改良器件特徵之邊緣置放準確度。
在沈積製程(例如原子層沈積製程)期間輻照基板不僅允許直接界定圖案,而且可相對於不使用輻照之組態加速沈積製程(例如原子層沈積製程),藉此提供良好產出率。
因為沈積製程(例如原子層沈積製程)之驅動涉及本質上在正被處理之表面處發生的化學反應,所以所得圖案之準確度將對該表面下方之堆疊之變化相對而言不敏感。
單一整合製程達成了在替代的以抗蝕劑為基礎之半導體製造製程中將需要若干相異製程(例如曝光、顯影、沈積等)的效果。此可提供製程最佳化之增大之範疇。
在一實施例中,在選定部分中沈積製程(例如原子層沈積製程)之驅動包含驅動涉及前驅體材料之化學反應,其中該化學反應包含由輻照驅動之光化學反應,且該光化學反應係多光子光化學反應,其涉及該光化學反應中所涉及之至少一種物種中的每一者對兩個或多於兩個光子之吸收。組態原子層沈積使得輻照驅動多光子光化學反應會允許達成特別高的空間對比度。
在一實施例中,驅動化學反應包含藉由輻射與選定區上方之氣體局部相互作用而產生反應性物種。使用輻射以局部產生反應性物種會允許在空間上控制廣泛範圍之材料的沈積或改質。
根據一態樣,提供一種形成材料之一圖案化層之方法,其包含:在一原子層沈積製程期間運用電磁輻射輻照一基板之一表面之一選定部分,該輻照係使得在該選定區中局部地驅動該原子層沈積製程且藉此使該原子層沈積製程形成呈由該選定部分界定之一圖案之形式的一材料層,其中:該原子層沈積製程包含兩個步驟,且在該兩個步驟中之至少一者期間且在該基板之該選定部分與一液體接觸時執行該選定部分之該輻照。
此,提供了如下一種方法:其中在浸潤製程(其中選定部分覆蓋有液體)期間施加之輻射圖案可界定發生原子層沈積製程之地點,藉此允許在無需抗蝕劑的情況下在原子層沈積工序之擴展範圍內形成材料之圖案化層(與僅將輻射圖案施加通過氣態環境之狀況相比較)。浸潤液體流亦可方便地帶走藉由輻照產生之副產物。
根據一態樣,提供一種用於形成材料之一圖案化層之裝置,其包含:一輻照系統,其經組態以在一沈積製程期間運用具有小於100 nm之一波長的電磁輻射輻照一基板之一表面之一選定部分;及一環境控制系統,其經組態以允許以使得允許該沈積製程繼續進行之一方式控制該基板上方之環境之組成。
根據一態樣,提供一種用於形成材料之一圖案化層之裝置,其包含:一輻照系統,其經組態以在一沈積製程期間運用電磁輻射輻照一基板之一表面之一選定部分;及一環境控制系統,其經組態以允許以使得允許該沈積製程繼續進行之一方式控制該基板上方之環境之組成,其中該環境控制系統經組態以允許在該沈積製程之至少一個步驟中該選定部分之輻照期間一液體維持與該選定部分接觸。
在一實施例中,該輻照系統包含一微影裝置,該微影裝置經組態以藉由將來自一圖案化器件之一經圖案化輻射光束投影至該基板上而提供該選定部分之該輻照。
因此,可採用經開發以達成抗蝕劑之高精度曝光的微影裝置之能力以允許在不使用抗蝕劑的情況下在沈積製程(例如原子層沈積製程)中準確地形成圖案。可使用較少處理步驟及/或在不存在與必須移除抗蝕劑相關聯之良率損失的情況下達成高準確度。
根據一態樣,提供一種形成材料之一圖案化層之方法,其包含:提供包含一基板及一材料單層之一堆疊;及處理該堆疊以藉由選擇性地輻照該材料單層之一或多個選定區中之材料來移除該一或多個選定區中之該材料,藉此將一圖案施加至該材料單層或修改該材料單層中之一圖案。使用材料單層中之材料之選擇性輻照以移除一或多個選定區中之材料會允許在單個步驟中形成或修改圖案,藉此有助於高產出率。
在一實施例中,材料之移除藉由雷射切除發生。本發明人已發現,雷射切除提供高效率準確度及可靠性,即使當應用至材料單層時。
根據一態樣,提供一種形成材料之一圖案化層之方法,其包含:提供包含一基板及一材料層之一堆疊;及運用具有小於100 nm之一波長的電磁輻射輻照該材料層之一或多個選定區,以將一圖案施加至該材料層或修改該材料層中之一圖案,其中:該輻照藉由在該基板上方之該區中產生一電漿而導致在該輻照期間移除材料;且該輻射與該基板相互作用以相對於其他區局部地抑制該一或多個選定區中之材料之該移除,以便施加該圖案或修改該圖案。此途徑允許在移除製程期間待移除(例如待蝕刻)之區的高精度及靈活控制,而無需與該移除製程分別地執行諸如曝光及顯影之任何微影圖案化步驟以便界定待移除之區。
根據一態樣,提供一種用於形成材料之一圖案化層之裝置,其包含:一輻照系統,其經組態以運用具有小於100 nm之一波長的電磁輻射輻照一基板上之一材料層之一或多個選定區;及一環境控制系統,其經組態以允許在該輻照期間控制該基板上方之環境之組成,其中:該環境控制系統經組態以控制該環境以在該環境中提供一電漿促進材料;該電漿促進材料係使得在該電磁輻射穿過該受控環境時待由該電磁輻射產生一電漿;該電漿係使得在該輻照期間移除該材料層中之材料;且該輻射與該基板相互作用以相對於其他區局部地抑制該一或多個選定區中之材料之該移除,藉此將一圖案施加至該材料層或修改該材料層中之一圖案。
微影裝置為經建構以將所要圖案施加至基板上之機器。微影裝置可用於(例如)積體電路(IC)製造中。微影裝置可例如將圖案化器件(例如光罩)處之圖案投影至提供於基板上之輻射敏感材料(抗蝕劑)層上。
為了將圖案投影於基板上,微影裝置可使用電磁輻射。此輻射之波長判定圖案化於基板上之特徵之最小大小。當前在使用中之典型波長為365 nm (i線)、248 nm、193 nm及13.5 nm。與使用例如具有193 nm之波長之輻射的微影裝置相比,使用波長小於100 nm、視情況在5 nm至100 nm之範圍內、視情況在4 nm至20 nm之範圍內(例如6.7 nm或13.5 nm)之極紫外線(EUV)輻射的微影裝置可用以在基板上形成較小特徵。
在本發明文件中,除非另有陳述,否則術語「輻射」及「光束」用以涵蓋所有類型之電磁輻射,包括紫外線輻射(例如具有為365 nm、248 nm、193 nm、157 nm或126 nm之波長)及極紫外線輻射(EUV,例如具有在約5 nm至100 nm之範圍內之波長)。
圖1示意性地描繪微影裝置LA。該微影裝置LA包括:照明系統(亦被稱作照明器) IL,其經組態以調節輻射光束B (例如,UV輻射、DUV輻射或EUV輻射);光罩支撐件(例如光罩台) MT,其經建構以支撐圖案化器件(例如,光罩) MA,且連接至經組態以根據某些參數來準確地定位該圖案化器件MA之第一定位器PM;基板支撐件(例如晶圓台) WT,其經建構以固持基板(例如抗蝕劑塗佈晶圓) W且連接至經組態以根據某些參數來準確地定位該基板支撐件之第二定位器PW;及投影系統(例如折射投影透鏡系統) PS,其經組態以將由圖案化器件MA賦予至輻射光束B之圖案投影至基板W之目標部分C (例如包含一或多個晶粒)上。
在操作中,照明系統IL例如經由光束遞送系統BD自輻射源SO接收輻射光束。照明系統IL可包括用於導向、塑形及/或控制輻射的各種類型之光學組件,諸如折射、反射、磁性、電磁、靜電及/或其他類型之光學組件,或其任何組合。照明器IL可用以調節輻射光束B,以在圖案化器件MA之平面處在其橫截面中具有所要空間及角強度分佈。
本文所使用之術語「投影系統」PS應被廣泛地解譯為涵蓋適於所使用之曝光輻射或適於諸如浸潤液體之使用或真空之使用之其他因素的各種類型之投影系統,包括折射、反射、反射折射、合成、磁性、電磁及/或靜電光學系統,或其任何組合。可認為本文中對術語「投影透鏡」之任何使用皆與更一般之術語「投影系統」PS同義。
微影裝置LA可屬於如下類型:其中基板之至少一部分可由具有相對較高折射率之液體(例如水)覆蓋,以便填充投影系統PS與基板W之間的空間-此亦被稱作浸潤微影。以引用方式併入本文中之US6952253中給出關於浸潤技術之更多資訊。
微影裝置LA亦可屬於具有兩個或多於兩個基板支撐件WT (又名「雙載物台」)之類型。在此「多載物台」機器中,可並行地使用基板支撐件WT,及/或可對位於基板支撐件WT中之一者上的基板W進行準備基板W之後續曝光的步驟,同時將另一基板支撐件WT上之另一基板W用於在另一基板W上曝光圖案。
除了基板支撐件WT以外,微影裝置LA亦可包含量測載物台。量測載物台經配置以固持感測器及/或清潔器件。感測器可經配置以量測投影系統PS之屬性或輻射光束B之屬性。量測載物台可固持多個感測器。清潔器件可經配置以清潔微影裝置之部分,例如投影系統PS之部分或提供浸潤液體之系統之部分。量測載物台可在基板支撐件WT遠離投影系統PS時在投影系統PS下方移動。
在操作中,輻射光束B入射於被固持於光罩支撐件MT上之圖案化器件(例如光罩) MA上,且係由存在於圖案化器件MA上之圖案(設計佈局)而圖案化。在已橫穿光罩MA的情況下,輻射光束B穿過投影系統PS,投影系統PS將該光束聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置量測系統IF,可準確地移動基板支撐件WT,例如以便使不同目標部分C在經聚焦及對準位置處定位於輻射光束B之路徑中。相似地,第一定位器PM及可能另一位置感測器(其未在圖1中明確地描繪)可用以相對於輻射光束B之路徑來準確地定位圖案化器件MA。可使用光罩對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件MA及基板W。儘管如所說明之基板對準標記P1、P2佔據專用目標部分,但該等標記可位於目標部分之間的空間中。當基板對準標記P1、P2位於目標部分C之間時,此等基板對準標記P1、P2被稱為切割道對準標記。
圖2展示包含輻射源SO及微影裝置LA之微影系統。輻射源SO經組態以產生EUV輻射光束B且將EUV輻射光束B供應至微影裝置LA。微影裝置LA包含照明系統IL、經組態以支撐圖案化器件MA (例如光罩)之支撐結構MT、投影系統PS及經組態以支撐基板W之基板台WT。
照明系統IL經組態以在EUV輻射光束B入射於圖案化器件MA上之前調節EUV輻射光束B。另外,照明系統IL可包括琢面化場鏡面器件10及琢面化光瞳鏡面器件11。琢面化場鏡面器件10及琢面化光瞳鏡面器件11一起向EUV輻射光束B提供所要橫截面形狀及所要強度分佈。除了琢面化場鏡面器件10及琢面化光瞳鏡面器件11以外或代替琢面化場鏡面器件10及琢面化光瞳鏡面器件11,照明系統IL亦可包括其他鏡面或器件。
在因此調節之後,EUV輻射光束B與圖案化器件MA相互作用。作為此相互作用之結果,產生經圖案化EUV輻射光束B'。投影系統PS經組態以將經圖案化EUV輻射光束B'投影至基板W上。出於彼目的,投影系統PS可包含經組態以將經圖案化EUV輻射光束B'投影至由基板台WT固持之基板W上的複數個鏡面13、14。投影系統PS可將縮減因數應用於經圖案化EUV輻射光束B',因此形成特徵小於圖案化器件MA上之對應特徵的影像。舉例而言,可應用為4或8之縮減因數。儘管投影系統PS被說明為僅具有圖2中之兩個鏡面13、14,但投影系統PS可包括不同數目個鏡面(例如,六個或八個鏡面)。
基板W可包括先前形成之圖案。在此狀況下,微影裝置LA使由經圖案化EUV輻射光束B'形成之影像與先前形成於基板W上之圖案對準。
相對真空,亦即處於充分低於大氣壓力之壓力下之少量氣體(例如氫氣),可提供於輻射源SO中、提供於照明系統IL中及/或提供於投影系統PS中。
輻射源SO可為雷射產生電漿(laser produced plasma,LPP)源、放電產生電漿(discharge produced plasma,DPP)源、自由電子雷射(free electron laser,FEL)或能夠產生EUV輻射之任何其他輻射源。
圖3為穿隧FET 20之示意性側視圖。該穿隧FET 20包含豎直層堆疊,其包含頂部閘極21、上部介電層22、下部介電層23及底部閘極24。源極25及汲極26分別由二維層27及28連接至該豎直層堆疊。二維層27及28中之每一者可由厚度為一個原子的層組成,該層亦可被稱作單層或單原子層。二維層27及28中之任一者或兩者可例如由MoS2 或六邊形BN形成。製造穿隧FET 20需要在側向方向上圖案化二維層27及28。如本說明書之引入部分中所提及,可使用應用至光阻之微影來執行圖案化,但此途徑可引入缺陷。本發明之實施例提供用於形成材料之圖案化層之替代途徑。實施例可用於製造穿隧FET之至少一個單層(例如二維層27及28中之一者或兩者)或用於製造其他半導體器件或用於製造並非半導體器件的器件。
圖4及圖5示意性地描繪根據一實施例之方法的材料之圖案化層30之形成。如圖4中所描繪,該方法包含在沈積製程期間輻照(34)基板W之表面之選定部分32。在一實施例中,該沈積製程包含原子層沈積製程、基本上由或由原子層沈積製程組成。該輻照局部地驅動選定區32中之沈積製程(例如原子層沈積)且藉此致使該沈積製程(例如原子層沈積)形成呈由選定部分32界定之圖案之形式的材料層30 (參看圖5)。因此在無需任何抗蝕劑的情況下形成圖案。因此無需用以移除抗蝕劑之處理,此降低了材料之圖案化層30損壞的風險。與傳統的以微影為基礎之半導體製造製程形成對比,在本發明之實施例中,輻射正用以驅動沈積製程(例如原子層沈積製程)中所涉及之化學反應,而非正用以破壞或交聯抗蝕劑中之分子。
在此實施例中,運用包含能夠局部地驅動沈積製程(例如原子層沈積製程)之任何類型之EUV輻射(具有小於100 nm之波長)、基本上由或由能夠局部地驅動沈積製程(例如原子層沈積製程)之任何類型之EUV輻射(具有小於100 nm之波長)組成的輻射來執行輻照。使用EUV輻射會提供高空間解析度。在一些其他實施例中,運用包含較高波長輻射、基本上由或由較高波長輻射組成的輻射與浸潤液體結合來執行輻照,如下文所描述。較高波長輻射可在100 nm至400 nm之範圍內(包括DUV輻射)。
原子層沈積為已知薄膜沈積技術,其中使至少兩種化學物質(其可被稱作前驅體材料)中之每一者以依序自限性方式與材料之表面反應。與化學氣相沈積形成對比,該兩種前驅體材料決不同時存在於基板W上方。
在本發明之實施例中,原子層沈積包含至少第一步驟及第二步驟。在第一步驟(其實例在圖4中描繪)中,使第一前驅體材料51與基板W之表面反應。在第二步驟(其實例在圖5中描繪)中,使第二前驅體材料52在於第一步驟中第一前驅體51與基板W反應的區(在此實例中為選定區32)中與基板W反應。
在圖4及圖5之實例中,僅在第一步驟中輻照基板W。在其他實施例中,僅在第二步驟期間或在第一步驟及第二步驟期間執行選定部分32之輻照。在不涉及浸潤液體之實施例中,使用EUV輻射來執行該兩個步驟中之至少一者中選定部分32之輻照。可另外在一個或多個其他步驟中使用其他形式之輻照(具有或不具有浸潤液體),包括DUV輻射來執行輻照。
圖6示意性地描繪用於執行該方法之裝置60。該裝置60因此形成材料之圖案化層。該裝置60包含輻照系統。輻照系統可包含微影裝置LA。微影裝置LA藉由將來自圖案化器件MA之經圖案化輻射光束投影至基板W上來輻照選定部分32。該微影裝置LA可如上文參看圖1所描述予以組態(例如當輻照包含DUV輻射及/或需要浸潤微影時)或如上文參看圖2所描述予以組態(例如當輻照包含EUV輻射時)。
在一實施例中,微影裝置LA經組態以執行浸潤微影。在此實施例中,沈積製程(例如原子層沈積製程)可包含在選定部分32與浸潤液體接觸時輻照選定部分32的步驟。因此,舉例而言,沈積製程(例如原子層沈積製程)可包含:第一步驟,其包含將來自氣態前驅體材料之前驅體吸附至基板W;及第二步驟,其中藉由通過浸潤液體輻照而將選定部分32中之所吸附前驅體改質(例如以移除吸附製程之副產物)。藉由通過浸潤液體輻照所產生之任何副產物可方便地由浸潤液體流帶走。在一實施例中,隨後使經輻照基板W乾燥且對該乾燥基板W執行任何其他所需處理。
在一實施例中,提供環境控制系統45。該環境控制系統45允許以使得允許沈積製程(例如原子層沈積製程)繼續進行之方式控制基板W上方之環境42之組成。在一實施例中,該環境控制系統45包含腔室36以提供包括基板W之表面之選定部分32的密封環境42。在一些實施例中,基板W之全部在沈積製程(例如原子層沈積製程)期間將在該腔室36內。在一實施例中,提供材料交換系統38 (例如進入腔室36之通口及相關聯閥及/或導管),其允許將材料添加至密封環境42及自密封環境42移除材料以允許在該密封環境42內建立不同的組成環境。可藉由流管理器44將材料提供至材料交換系統38及自材料交換系統38提供材料。流管理器44可包含儲集器、管道、閥、槽、泵、控制系統及/或提供到達及離開腔室36之所需材料流所必需的其他組件之任何合適組合。以此方式達成之不同的組成環境對應於原子層沈積製程之不同的各別階段。在一些實施例中,添加至腔室36及自腔室36移除之材料為氣態的,藉此提供由不同氣體組合組成的組成環境。在藉由將通過浸潤液體輻照基板W來執行原子層沈積製程之一或多個步驟的實施例中,環境控制系統45可經組態以允許在受控液體環境維持處於基板W上方(例如在浸潤微影模式中之曝光期間)的狀態與受控氣態環境維持處於基板W上方(例如在來自氣態前驅體材料之前驅體之吸附期間)的狀態之間進行切換。
在一些實施例中,驅動選定部分32中之沈積製程(例如原子層沈積製程)包含驅動涉及前驅體材料之化學反應。將提供前驅體材料,作為在輻照期間建立於基板上方之組成環境之部分。驅動化學反應可致使化學反應以比在不存在輻照的情況下之將存在之狀況相比更快的速率繼續進行。替代地,化學反應可使得其在不存在輻照的情況下根本不會發生。在一實施例中,化學反應係吸熱的且輻照提供允許化學反應繼續進行所必需之能量。在一些實施例中,化學反應至少部分地由藉由輻照而產生於基板W中之熱驅動。因此,由輻照驅動之化學反應可包含需要高溫以繼續進行或在高溫下更快速地繼續進行之化學反應。在一些實施例中,化學反應包含由輻照驅動之光化學反應。因此,化學反應中所涉及之至少一種物種直接自輻照吸收光子且光子之吸收允許化學反應繼續進行。在一些實施例中,光化學反應包含多光子光化學反應,其涉及該光化學反應中所涉及之至少一種物種中的每一者對兩個或多於兩個光子之吸收。與針對單光子光化學反應將存在之狀況相比,吸收兩個或多於兩個光子之要求使得化學反應對輻照強度之變化敏感得多(亦即,化學反應之速率依據強度更強烈地變化)。對強度之增加之敏感度提供改良之側向對比度。在一實施例中,光化學反應及輻射誘發之加熱之組合用以提供明確界定的製程窗,其中化學反應經局部驅動以產生圖案。在一些實施例中,另外或替代地,可在外部加熱或冷卻基板W(亦即不藉由輻射來加熱或冷卻基板W)以提供明確界定之製程窗。
在一實施例中,輻照驅動前驅體材料中之吸熱化學反應,該前驅體材料包含Mo(thd)3 、基本上由或由Mo(thd)3 組成,其中thd = 2,2,6,6-四甲基庚烷-3,5-二酮基。該輻照導致選定區32中之Mo沈積。Mo未沈積於選定區32外部。此化學反應為雙光子光化學反應之實例。因此可達成Mo之高對比度圖案化層。可視需要執行原子層沈積製程之後續步驟以由輻照界定之形狀積聚所關注材料(亦即在選定區32上方而非在別處)。舉例而言,可在Mo層上生長另一材料。在一實施例中,該另一材料包含S。因此可形成MoS2 之圖案化單層。MoS2 之圖案化單層可用於例如如上文所描述之穿隧FET中。
在一實施例中,化學反應包含涉及吸附至選定區32之前驅體材料之解離的熱解製程。此類型之實施例中的步驟在圖7及圖8中示意性地描繪。此實施例為化學反應至少部分地由藉由輻照34而產生於基板W中的熱35驅動之情形的實例。如圖7中所描繪,熱35造成在原子層沈積製程之第一步驟期間在選定區32中前驅體材料之分子獨佔地解離。因此提供材料之圖案化層。圖8展示原子層沈積製程之後續步驟,其中將選定區32 (而非其他區)中之材料改質。後續步驟可包含例如在第一步驟中所形成之材料之圖案化層的氧化或還原。
在一實施例中,驅動化學反應包含藉由輻射與選定區32上方之氣體局部相互作用而產生反應性物種53。圖9中示意性地描繪此相互作用之實例。在一實施例中,所產生之反應性物種53包含氧化劑或還原劑。舉例而言,所產生之反應性物種可包含使用DUV輻照由O2 形成的臭氧。替代地,所產生之反應性物種53可包含例如藉由運用UV輻射輻照水蒸汽而形成的解離H2 O。替代地,所產生之反應性物種53可包含解離NH3 。僅在存在反應性物種時發生的原子層沈積化學反應因此可經驅動,以僅在由輻照界定之選定區32中發生。儘管此等製程可使用DUV輻射,但若方法中之其他步驟使用EUV輻射,則可達成比使用DUV可能達成之空間解析度更高的空間解析度。
在一實施例中,原子層沈積製程包含以下反應中之一或多者:
BBr3 +NH3 以產生BN
Zn(OC2 H5 )2 +H2 O以產生ZnO
Ta(OC2 H5 )2 +H2 O以產生Ta2 O5
Ta(OC2 H5 )5 +O2 以產生Ta2 O5
Al(CH3 )3 +O2 以產生Al2 O3
Ti(OCH(CH3 )2 )4 +O2 以產生TiO2
在以上六個實例反應中之每一者中,第一組份包含呈氣態形式之前驅體材料且第二組份包含氧化劑。此等反應全部為光敏性的。
對於基於NH3 之反應,原子層沈積製程可包含例如使用準分子雷射輻照NH3 以解離該NH3 的步驟(該同一準分子雷射在此狀況下亦可用以解離前驅體材料BBr3 )。因此可形成六邊形BN之圖案化單層。六邊形BN之圖案化單層可用於例如如上文所描述之穿隧FET中。
對於基於H2 O之反應,原子層沈積製程可包含使用UV輻射輻照水蒸汽以解離該水蒸汽的步驟。對於基於O2 之反應,原子層沈積製程可包含運用DUV輻射輻照O2 以產生臭氧的步驟。
圖10及圖11示意性地描繪根據一實施例之方法的材料之圖案化層30'之形成。如圖10中所描繪,該方法包含提供堆疊70。該堆疊70包含基板W及材料單層74。一或多個中間層72可視情況提供於基板W與材料單層74之間。處理該堆疊70以移除材料單層74之一或多個選定區76中之材料。在所展示實施例中,材料之移除會將圖案施加至材料單層74。在材料單層74已經含有圖案之實施例中,材料之移除會修改材料單層74中之圖案。因此,其中材料單層74包含藉由以上參看圖3至圖9所描述之方法中的任一者所形成的材料之圖案化層30,舉例而言,本發明實施例之方法可用以修改圖案以提供新圖案。
可使用各種技術提供材料單層74。在一實施例中,使用原子層沈積製程來形成材料單層74。在一實施例中,材料單層74包含呈任何組合之形式的以下各者中之一或多者、基本上由以下各者組成或由以下各者組成:MoS2 、六邊形BN、BN、ZnO、Ta2 O5 、Al2 O3 、TiO2 。替代地或另外,材料單層74可包含其他材料。
在一實施例中,藉由選擇性地輻照一或多個選定區76中之材料(例如使得輻射直接與該材料相互作用)來執行材料之移除。圖10描繪在製程中由經圖案化輻射光束80輻照的堆疊70。選定區76中之材料受到該輻照干擾。該干擾為製程中之將導致移除選定區76中之材料的階段。圖11描繪在已完成移除製程之後的堆疊70,其中材料單層74中之間隙界定材料單層74中之圖案。材料單層74變成材料之圖案化層30'。入射輻射與選定區76中之材料之間的相互作用造成移除,但各種機制可能有所貢獻。
在一種類別之實施例中,藉由雷射切除發生材料之移除。已知雷射切除用於鑽孔或切割材料,通常為金屬。本發明人已發現,可以使得達成適合於圖案化諸如在本發明中所考慮之彼等材料單層的材料單層74之控制位準之方式來調節雷射參數。雷射參數之調節可包含以下各者中之一或多者之調節:通量、脈衝長度、重複率、脈衝形狀及波長。在一實施例中,雷射經組態以在短於10- 11 s、視情況短於10- 12 s、視情況短於10- 13 s、視情況短於10- 14 s、視情況短於10- 15 s之脈衝長度下操作。使用雷射切除相對於習知以微影為基礎之圖案化途徑改良了產出率,此係因為在單個步驟中執行材料之圖案化及移除。用於執行雷射切除之雷射可被提供為單機器件或整合至屬於以上參看圖1及圖2所描述之類型的微影裝置中。
圖12為示範使用雷射切除可能的控制程度之曲線圖。豎直軸表示使用雷射切除至SiN之頂部上之非晶碳層中的切割深度。水平軸表示所施加之雷射脈衝之數目N,以104 為單位。在此實例中,使用脈衝長度為400 fs且通量約為100 mJ / cm2 的紅外線雷射。圖12展示出,觀測到每脈衝0.03 nm之平均移除速率,其中隨著製程穿透不同層,雷射切除之速率具有明顯差異。在體系A中,雷射切除逐漸地切割通過非晶碳層至1.5微米之深度。在體系B中,雷射切除在到達非晶碳層與SiN之間的界面時突然減緩。藉由繼續施加脈衝,雷射切除最終(在額外20000個脈衝之後)突破界面且到達SiN層(體系C)。因此,藉由控制所施加之脈衝之數目,有可能可靠地控制切割通過材料達所希望的深度(例如每脈衝具有0.03 nm移除深度),特別是在希望切割在兩種不同材料之間的界面處準確停止的情況下。在所展示之實例中,施加50000個脈衝將可靠地切穿1.5微米材料至兩個層之間的界面之精確部位,但該途徑適用於被切穿之材料之任何深度(體系A中之較少脈衝對於較薄層將為必需的)。歸因於當到達界面時雷射切除製程的長時間減慢(此有助於在該界面下方之材料損壞之前停止切除製程),該方法可經應用以精確地切穿任意薄層,而不損壞底層,包括切穿如圖10及圖11中所描繪之材料單層74。
在另一類別之實施例中,藉由材料與環境之間的化學反應而發生材料之移除。化學反應係由輻照驅動。化學反應可為光化學反應。在一實施例中,驅動化學反應之輻射包含EUV輻射(具有小於100 nm之波長)、基本上由或由EUV輻射(具有小於100 nm之波長)組成。使用EUV輻射會提供高空間解析度。使用EUV輻射亦允許藉由EUV微影裝置實施該方法。在其他實施例中,可使用較長波長輻射,諸如DUV。在一實施例中,驅動化學反應包含藉由輻射與氣態環境局部相互作用而產生反應性物種。在一實施例中,所產生之反應性物種包含氧化劑或還原劑。
圖13示意性地描繪用於執行方法之裝置160。該裝置160因此形成材料之圖案化層。該裝置160包含輻照系統。輻照系統可包含微影裝置LA。微影裝置LA藉由將來自圖案化器件MA之經圖案化輻射光束134投影至基板W上來輻照材料單層74之一或多個選定區76。該微影裝置LA可如上文參看圖1所描述予以組態(例如當輻照包含DUV輻射及/或需要浸潤微影時)或如上文參看圖2所描述予以組態(例如當輻照包含EUV輻射時)。
在一實施例中,微影裝置LA經組態以執行浸潤微影。在此實施例中,材料單層74之一或多個選定區76可在與浸潤液體接觸時被輻照。藉由輻照移除之材料可方便地由浸潤液體流帶走。在一實施例中,隨後使經輻照基板W乾燥且對該乾燥基板W執行任何其他所需處理。
在一實施例中,提供環境控制系統145。該環境控制系統145允許控制基板W上方之環境142之組成。在一實施例中,環境控制系統145包含腔室136以提供包括材料單層74之一或多個選定區76的密封環境142。在一些實施例中,基板W之全部在材料之圖案化層之形成期間將在腔室36內。在一實施例中,提供材料交換系統138 (例如進入腔室136之通口及相關聯閥及/或導管),其允許將材料添加至密封環境142及自密封環境142移除材料以允許在該密封環境142內建立不同的組成環境。可藉由流管理器144將材料提供至材料交換系統138及自材料交換系統138提供材料。流管理器144可包含儲集器、管道、閥、槽、泵、控制系統及/或提供到達及離開腔室136之所需材料流所必需的其他組件之任何合適組合。以此方式達成之不同的組成環境可對應於用以在形成材料之圖案化層之前形成材料單層74的原子層沈積製程之不同各別階段,以及對應於期間形成材料之圖案化層之階段。在一些實施例中,添加至腔室136及自腔室136移除之材料為氣態的,藉此提供由不同氣體組合組成的組成環境。在藉由通過浸潤液體輻照基板W來執行一或多個步驟的實施例中,環境控制系統145可經組態以允許在受控液體環境維持處於基板W上方(例如在浸潤微影模式中之曝光期間)的狀態與受控氣態環境維持處於基板W上方(例如當形成材料之圖案化層時)的狀態之間進行切換。
在另一類別之實施例中,至少部分地經由藉由入射EUV輻射82與基板W之間的相互作用而產生二次電子從而發生沈積製程之驅動,如圖14中示意性地所描繪。在此類實施例中,在基板W之主體中(亦即,基板W之表面84之下)產生二次電子。二次電子中之一些將具有足夠的能量以經由表面84離開基板W且進入基板W上方之空間86 (亦即,基板W之側面,EUV輻射82自該側面入射於基板W上)。在基板W為矽晶圓之實施例中,與約5 eV之典型功函數進行比較,吾人預期二次電子通常將具有在0 eV與約20 eV之間散佈的能量(其中平均值約為10 eV)。
基板W上方之空間86受控制(例如受到藉由如上文所描述之環境控制系統45、145控制)以包含前驅體材料90 (例如作為蒸汽)。在一實施例中,前驅體材料90包含例如一或多個含碳化合物,其中需要將碳沈積至基板W上。已離開基板W之二次電子之一部分與該前驅體材料90相互作用。與前驅體材料90之相互作用可將前驅體材料90改質以促進衍生自前驅體材料90之材料沈積於基板W上。前驅體材料90之改質可包含前驅體材料90之離子化。在需要沈積例如碳之狀況下,前驅體材料90之改質可包含在表面84附近形成碳離子,此促進碳簇在表面84上生長。
藉由二次電子促進材料沈積主要或獨佔地發生於由EUV輻射82輻照之區88中。可使用EUV輻射82以高清晰度界定空間圖案。將此能力與藉由二次電子促進沈積之局部性質組合會允許以高準確度形成經沈積材料之圖案化層。
在一實施例中,促進材料沈積包含促進在表面84上及在已經沈積於表面84上的經沈積材料89上之材料沈積。以此方式,製程可根據需要沈積材料單層以及較厚層。
在一實施例中,EUV輻射82與基板W上方之氣體相互作用以產生電漿。在一實施例中,與氣體之相互作用包含氫之離子化。在一實施例中,電漿提供蝕刻函數。電漿蝕刻在此項技術中係已知的且可用以清潔EUV微影裝置之鏡面上之非想要的材料(特別是碳及錫)積聚。然而,本發明人已發現,在藉由EUV輻射產生電漿的情況下,蝕刻在正被直接輻照之表面區中(亦即在EUV光點內)出乎意料地不太有效。不希望受理論所束縛,據信歸因於EUV輻射以比藉由電漿蝕刻移除材料更快的速率誘發經輻照區中之材料沈積,可產生保護效應。替代地或另外,EUV輻射可造成抵抗電漿蝕刻之化學改變、鍵形成及/或相位改變,諸如(部分)結晶。經輻照區88外部之電漿蝕刻與經輻照區88內之材料沈積之促進的組合允許以高可靠性且在經輻照區88外部具有最小或無非想要的材料沈積的情況下沈積經沈積材料之圖案。圖15為展示來自示範EUV輻照之保護效應之實驗之實例結果的曲線圖。該實驗包含如上文所描述,在其中基板W具有已經沈積於其上之碳材料層且其中EUV自基板W上方之空間86中之氫產生電漿的狀況下,在區88中運用EUV輻射82輻照基板W。水平軸表示沿著穿過經輻照區88之基板W上之線的位置範圍。左側豎直軸及虛線曲線表示入射EUV輻射82之強度IEUV 隨著位置之變化。虛線曲線因此界定區88之部位:即介於約6 mm與10 mm之間。右側豎直軸及實線曲線表示由EUV輻射82產生之氫電漿介導的碳清潔(CC)製程之有效性變化。看到碳清潔製程之有效性(在此實例中由經移除材料之深度(以nm為單位)表示)在由EUV輻射82輻照之區88中顯著減小。EUV輻射82因此局部地保護碳層免於由EUV產生電漿之蝕刻。
圖16為展示來自進一步示範由EUV輻射82保護以免於由EUV產生電漿之蝕刻的實驗之實例結果的曲線圖。在此狀況下,該曲線圖標繪碳清潔製程(CC)之有效性(豎直軸)相對於入射EUV輻射82之強度IEUV (水平軸)的變化。看到保護效應隨著入射EUV輻射82之強度IEUV 增大高達約1 W/cm2 而快速增加。高於1 W/cm2 ,保護效應之強度隨著入射EUV輻射82之強度IEUV 增大而不太快速地增加。
已在用錫代替碳的情況下觀測到類似於上文所論述及圖15及圖16中所示範之行為的行為,且預期基礎機制適用於廣泛範圍之其他材料。藉由適當選擇前驅體材料90 (例如作為具有給定比率之氣體之組合),有可能使用相同途徑選擇性地沈積對應廣泛範圍之材料。舉例而言,該途徑可用於選擇性沈積石墨烯、hBN、過渡金屬硫屬化物(未來FET、光子學及光電子器件及引線所必需的)。
在另一類別之實施例中,如圖17中示意性地所描繪,在基板W上方施加電場E。該電場E迫使二次電子遠離基板W。在一實施例中,電場E大體上垂直於基板W之表面84。在一實施例中,藉由電場控制器93施加電場E。在一實施例中,電場控制器93包含提昇基板W相對於接地端之電位(亦即,將電壓施加基板W)之電路。
電場E提供改良之良率及改良之圖案定義(銳度)。不希望受理論所束縛,據信此等效應可歸因於以下機制中之一或多者而產生。首先,藉由促使二次電子移動至基板W上方之空間86中,電場E促進二次電子與前驅體材料90之間的相互作用增加,藉此提高良率。其次,電場E可促使已由二次電子離子化之前驅體材料快速且直接地朝向基板移動,藉此促進高效及局域化之沈積。第三,特別當電場E相對於表面84垂直地定向時,該電場減小了二次電子及離子化前驅體材料之側向散佈,藉此有利於藉由沈積製程形成之圖案之較陡邊緣。
在圖17之實例中,依據時間t 而變化的EUV輻射82之強度I 之變化係由虛線曲線92示意性地表示,且依據時間t而變化的施加至基板W之電壓係由實線曲線91描繪。二次電子e- 係由圓圈示意性地表示。尚未由EUV輻射82改質的前驅體材料X0 係由三角形表示。已由EUV輻射82改質(例如藉由離子化)的前驅體材料X* 及X+係由正方形表示。子圖94為在無電場的情況下施加EUV輻射82之時間段期間基板W的示意性側視圖。子圖96為在具有電場的情況下施加EUV輻射82之時間段期間同一基板W的示意性側視圖。子圖96示意性地說明電場E可如何改良良率及圖案定義,其中大數目個二次電子在側向局域化區中經驅動遠離表面84,從而促進在該側向局域化區中經改質前驅體材料的增加之產生。
可採用電漿蝕刻之上述局部抑制以提供預先存在之材料層之受控蝕刻。在一實施例中,提供一種方法,其中在一或多個選定區中由EUV輻射輻照包含基板W及基板W上之材料層之堆疊。該輻照將圖案施加至材料層。若材料層已經包含圖案,則輻照可修改圖案。輻照藉由在基板W上方之區86中產生電漿來移除材料,如上文所描述。舉例而言,可藉由使氫離子化來產生電漿。輻射與基板W相互作用以局部地抑制(或防止)相對於其他區移除一或多個選定區中之材料(如上文參看例如圖15及圖16所描述)。其他區為未被輻照且未觀測到清潔效應之抑制的區。
上文參看圖14至圖17之實施例所提及之前驅體材料90可包含上文關於較早實施例所論述之前驅體材料90中的任一者。在一實施例中,前驅體材料90包含碳或碳化合物。在此實施例中,經沈積(或經選擇性蝕刻)之材料可包含碳或碳化合物。在一實施例中,前驅體材料90包含錫或錫化合物。在此實施例中,經沈積(或經選擇性蝕刻)之材料可包含錫或錫化合物。預期該機制適用於廣泛範圍之其他材料。在需要電漿蝕刻的情況下,可提供合適的電漿促進材料,諸如氫。電漿促進材料及/或前驅體材料之相對濃度及組成可經調節以最佳化良率及/或圖案化品質。
可使用以下條項進一步描述實施例:
1. 一種形成材料之一圖案化層之方法,其包含:
在一沈積製程期間運用具有小於100 nm之一波長的電磁輻射輻照一基板之一表面之一選定部分,該輻照係使得在該選定區中局部地驅動該沈積製程且藉此使該沈積製程形成呈由該選定部分界定之一圖案之形式的一材料層。
2. 如條項1之方法,其中在該選定部分中該沈積製程之該驅動包含驅動涉及一前驅體材料之一化學反應。
3. 如條項2之方法,其中該化學反應包含由該輻照驅動之一光化學反應。
4. 如條項3之方法,其中該光化學反應係一多光子光化學反應,其涉及該光化學反應中所涉及之至少一種物種中的每一者對兩個或多於兩個光子之吸收。
5. 如條項4之方法,其中該多光子光化學反應係一雙光子光化學反應。
6. 如條項2至5中任一項之方法,其中該前驅體材料包含Mo(thd)3 ,其中thd = 2,2,6,6-四甲基庚烷-3,5-二酮基。
7. 如條項2至6中任一項之方法,其中該化學反應至少部分地由藉由該輻照產生於該基板中之熱驅動。
8. 如條項7之方法,其中該化學反應包含涉及吸附至該選定區之該前驅體材料之解離的一熱解製程。
9. 如條項2至8中任一項之方法,其中該前驅體材料包含以下各者中之一或多者:BBr3 、Zn(OC2 H5 )2 、Ta(OC2 H5 )2 、Ta(OC2 H5 )5 、Al(CH3 )3 、Ti(OCH(CH3 )2 )4
10. 如條項2至9中任一項之方法,其中該化學反應之該驅動包含藉由該輻射與該選定區上方之一氣體局部相互作用而產生一反應性物種。
11. 如條項10之方法,其中該所產生之反應性物種包含一氧化劑或一還原劑。
12. 如條項10 或11之方法,其中該所產生之反應性物種包含以下各者中之一或多者:解離O2 、解離H2 O、解離NH3
13. 如條項1至12中任一項之方法,其中該沈積製程之該驅動包含藉由該電磁輻射與該基板之間的相互作用而產生二次電子。
14. 如條項13之方法,其中該等二次電子之一部分離開該基板且與該基板上方之前驅體材料相互作用,該等二次電子與該前驅體材料之間的該相互作用係使得促進衍生自該前驅體材料之材料沈積。
15. 如條項14之方法,其進一步包含施加迫使二次電子遠離該基板之一電場。
16. 如條項15之方法,其中力相對於該基板之該表面垂直地指向。
17. 如條項13至16中任一項之方法,其中該前驅體材料及藉由該沈積製程沈積之該材料層包含以下各者中之一或多者:碳或一碳化合物、錫或一錫化合物。
18. 如任一前述條項之方法,其中該沈積製程包含一原子層沈積製程。
19. 如條項18之方法,其中該原子層沈積製程包含兩個步驟,且在該兩個步驟中之任一者或兩者期間執行該基板之該表面之該選定部分的該輻照。
20. 如條項19之方法,其中該等步驟中之至少一者包含在該基板之該選定部分與一液體接觸時輻照該基板之該選定部分。
21. 一種形成材料之一圖案化層之方法,其包含:
提供包含一基板及一材料層之一堆疊;及
運用具有小於100 nm之一波長的電磁輻射輻照該材料層之一或多個選定區,以將一圖案施加至該材料層或修改該材料層中之一圖案,其中:
該輻照藉由在該基板上方之該區中產生一電漿而導致在該輻照期間移除材料;且
該輻射與該基板相互作用以相對於其他區局部地抑制該一或多個選定區中之材料之該移除,以便施加該圖案或修改該圖案。
22. 如條項1至21中任一項之方法,其中該電磁輻射具有在4 nm至20 nm之範圍內之一波長。
23. 一種形成材料之一圖案化層之方法,其包含:
在一原子層沈積製程期間運用電磁輻射輻照一基板之一表面之一選定部分,該輻照係使得在該選定區中局部地驅動該原子層沈積製程且藉此使該原子層沈積製程形成呈由該選定部分界定之一圖案之形式的一材料層,其中:
該原子層沈積製程包含兩個步驟,且在該兩個步驟中之至少一者期間且在該基板之該選定部分與一液體接觸時執行該選定部分之該輻照。
24. 如任一前述條項之方法,其進一步包含:
處理以一圖案之形式形成之該材料層以移除一或多個選定區中之材料,藉此修改該圖案。
25. 如條項24之方法,其中材料之該移除係藉由選擇性地輻照該一或多個選定區中之該材料來執行。
26. 一種形成材料之一圖案化層之方法,其包含:
提供包含一基板及一材料單層之一堆疊;及
處理該堆疊以藉由選擇性地輻照該材料單層之一或多個選定區中之材料來移除該一或多個選定區中之該材料,藉此將一圖案施加至該材料單層或修改該材料單層中之一圖案。
27. 如條項25或26之方法,其中在該選擇性輻照期間移除該一或多個選定區中之該材料。
28. 如條項25至27中任一項之方法,其中材料之該移除藉由雷射切除而發生。
29. 如條項25至28中任一項之方法,其中材料之該移除藉由該材料與一環境之間的一化學反應而發生,該化學反應係由該輻照驅動。
30. 如條項29之方法,其中驅動該化學反應之該輻射包含具有低於100 nm之一波長之輻射。
31. 一種形成一半導體器件之方法,其包含使用如條項1至30中任一項之方法以在該器件中形成至少一個層。
32. 如條項31之方法,其中該半導體器件包含一穿隧FET,且如條項1至30中任一項之方法用以形成該穿隧FET之至少一個單層。
33. 一種用於形成材料之一圖案化層之裝置,其包含:
一輻照系統,其經組態以在一沈積製程期間運用具有小於100 nm之一波長的電磁輻射輻照一基板之一表面之一選定部分;及
一環境控制系統,其經組態以允許以使得允許該沈積製程繼續進行之一方式控制該基板上方之環境之組成。
34. 一種用於形成材料之一圖案化層之裝置,其包含:
一輻照系統,其經組態以在一沈積製程期間運用電磁輻射輻照一基板之一表面之一選定部分;及
一環境控制系統,其經組態以允許以使得允許該沈積製程繼續進行之一方式控制該基板上方之環境之組成,
其中該環境控制系統經組態以允許在該沈積製程之至少一個步驟中該選定部分之輻照期間一液體維持與該選定部分接觸。
35. 如條項33或34之裝置,其中該環境控制系統包含:
一腔室,其用以提供包括該基板之該表面之該選定部分的一密封環境;及
一材料交換系統,其經組態以允許材料添加至該密封環境及自該密封環境移除材料以允許在該密封環境內建立不同的組成環境,該等不同的組成環境對應於該沈積製程之不同各別步驟。
36. 如條項33至35中任一項之裝置,其中:
該環境控制系統經組態以控制該基板上方之該環境以在該環境中提供一前驅體材料;
該環境之該控制係使得藉由該電磁輻射與該基板之間的相互作用產生之二次電子之一部分與該環境中之該前驅體材料相互作用;且
該等二次電子與該前驅體材料之間的該相互作用係使得促進衍生自該前驅體材料之材料沈積。
37. 如條項33至36中任一項之裝置,其進一步包含:
一電場控制器,其經組態以施加經定向以便迫使二次電子遠離該基板之一電場。
38. 如條項37之裝置,其中該電場控制器經組態以使得該電場相對於該基板之該表面垂直地指向。
39. 如條項37或38之裝置,其中該電場控制器經組態以藉由將一電壓施加至該基板來施加該電場。
40. 一種用於形成材料之一圖案化層之裝置,其包含:
一輻照系統,其經組態以運用具有小於100 nm之一波長的電磁輻射輻照一基板上之一材料層之一或多個選定區;及
一環境控制系統,其經組態以允許在該輻照期間控制該基板上方之環境之組成,其中:
該環境控制系統經組態以控制該環境以在該環境中提供一電漿促進材料;
該電漿促進材料係使得在該電磁輻射穿過該受控環境時待由該電磁輻射產生一電漿;
該電漿係使得在該輻照期間移除該材料層中之材料;且
該輻射與該基板相互作用以相對於其他區局部地抑制該一或多個選定區中之材料之該移除,藉此將一圖案施加至該材料層或修改該材料層中之一圖案。
41. 一種用於形成材料之一圖案化層之裝置,其包含:
一輻照系統,其經組態以運用具有小於100 nm之一波長的電磁輻射選擇性地輻照一材料單層之一或多個選定區;及
一環境控制系統,其經組態以允許以一方式控制基板上方之環境之組成,該方式係使得藉由該材料單層之該一或多個選定區中之材料與該受控環境之間的一化學反應而移除該材料,該化學反應係由該輻照驅動。
42. 如條項33至41中任一項之裝置,其中該輻照系統包含一微影裝置,該微影裝置經組態以藉由將來自一圖案化器件之一經圖案化輻射光束投影至該基板上來提供該輻照。
儘管可在本文中特定地參考在IC製造中微影裝置之使用,但應理解,本文中所描述之微影裝置可具有其他應用。可能之其他應用包括製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、平板顯示器、液晶顯示器(LCD)、薄膜磁頭等。
雖然上文已描述本發明之特定實施例,但應瞭解,可以與所描述方式不同之其他方式來實踐本發明。以上描述意欲為說明性,而非限制性的。因此,對於熟習此項技術者而言將顯而易見,可在不脫離下文所闡明之申請專利範圍之範疇的情況下對所描述之本發明進行修改。
10‧‧‧琢面化場鏡面器件
11‧‧‧琢面化光瞳鏡面器件
13‧‧‧鏡面
14‧‧‧鏡面
20‧‧‧穿隧FET
21‧‧‧頂部閘極
22‧‧‧上部介電層
23‧‧‧下部介電層
24‧‧‧底部閘極
25‧‧‧源極
26‧‧‧汲極
27‧‧‧二維層
28‧‧‧二維層
30‧‧‧材料之圖案化層/材料層
30'‧‧‧材料之圖案化層
32‧‧‧選定部分/選定區
34‧‧‧輻照
35‧‧‧熱
36‧‧‧腔室
38‧‧‧材料交換系統
42‧‧‧密封環境
44‧‧‧流管理器
45‧‧‧環境控制系統
51‧‧‧第一前驅體材料
52‧‧‧第二前驅體材料
53‧‧‧反應性物種
60‧‧‧裝置
70‧‧‧堆疊
72‧‧‧中間層
74‧‧‧材料單層
76‧‧‧選定區
80‧‧‧經圖案化輻射光束
82‧‧‧入射EUV輻射
84‧‧‧表面
86‧‧‧空間
88‧‧‧經輻照區
89‧‧‧經沈積材料
90‧‧‧前驅體材料
91‧‧‧實線曲線
92‧‧‧虛線曲線
93‧‧‧電場控制器
94‧‧‧子圖
96‧‧‧子圖
134‧‧‧經圖案化輻射光束
136‧‧‧腔室
138‧‧‧材料交換系統
142‧‧‧密封環境
144‧‧‧流管理器
145‧‧‧環境控制系統
160‧‧‧裝置
A‧‧‧體系
B‧‧‧EUV輻射光束(圖1/圖2)/體系(圖12)
B'‧‧‧經圖案化EUV輻射光束
BD‧‧‧光束遞送系統
C‧‧‧目標部分(圖1)/體系(圖12)
e-‧‧‧二次電子
E‧‧‧電場
IL‧‧‧照明系統/照明器
LA‧‧‧微影裝置
M1‧‧‧光罩對準標記
M2‧‧‧光罩對準標記
MA‧‧‧圖案化器件/光罩
MT‧‧‧光罩支撐件/支撐結構
P1‧‧‧基板對準標記
P2‧‧‧基板對準標記
PM‧‧‧第一定位器
PS‧‧‧投影系統
PW‧‧‧第二定位器
SO‧‧‧輻射源
W‧‧‧基板
WT‧‧‧基板支撐件/基板台
X0‧‧‧前驅體材料
X*‧‧‧前驅體材料
X+‧‧‧前驅體材料
現在將參看隨附示意性圖式而僅作為實例來描述本發明之實施例,在該等圖式中:
- 圖1描繪包含微影裝置及輻射源之微影系統的第一實例;
- 圖2描繪包含微影裝置及輻射源之微影系統的第二實例;
- 圖3為穿隧FET之示意性側視圖;
- 圖4示意性地描繪在原子層沈積製程之第一步驟期間基板上之選定區之輻照;
- 圖5示意性地描繪在圖4中所描繪之步驟之後的原子層沈積製程中之步驟;
- 圖6示意性地描繪根據一實施例的將輻射提供至環境控制系統之微影裝置;
- 圖7示意性地描繪為了局部地驅動形成原子層沈積製程之部分的熱解化學反應而對基板之選定部分之輻照;
- 圖8示意性地描繪在圖7中所描繪之步驟之後的原子層沈積製程中之步驟;
- 圖9示意性地描繪為了局部地產生參與原子層沈積製程之反應性物種而對基板之選定部分之輻照;
- 圖10為描繪材料單層之一或多個選定區中的材料之選擇性輻照的示意性側視截面圖;
- 圖11為描繪在選擇性輻照已造成選定區中之材料移除之後之圖10之堆疊的示意性側視截面圖;
- 圖12為展示在雷射切除製程期間作為經施加脈衝之數目之函數的切割深度之變化的曲線圖;
- 圖13示意性地描繪將輻射提供至環境控制系統之微影裝置;
- 圖14為在形成材料之圖案化層之方法中被輻照的基板之示意性側視圖;
- 圖15為示範EUV輻射可如何提供對電漿蝕刻製程之局部保護的曲線圖;
- 圖16為展示圖15中所展示之局部保護之強度如何依據EUV輻射之強度而變化的曲線圖;及
- 圖17示意性地描繪關於圖14中所描繪之方法的變化,其中施加電場以增強良率及圖案定義。

Claims (15)

  1. 一種形成材料之一圖案化層之方法,其包含: 在一沈積製程期間運用具有小於100 nm之一波長的電磁輻射輻照一基板之一表面之一選定部分,該輻照係使得在該選定區中局部地驅動該沈積製程且藉此使該沈積製程形成呈由該選定部分界定之一圖案之形式的一材料層,及 施加迫使電子遠離該基板之一電場。
  2. 如請求項1之方法,其中在該選定部分中該沈積製程之該驅動包含:驅動涉及一前驅體材料之一化學反應。
  3. 如請求項2之方法,其中該化學反應包含由該輻照驅動之一光化學反應。
  4. 如請求項3之方法,其中該光化學反應係一多光子光化學反應,其涉及該光化學反應中所涉及之至少一種物種中的每一者對兩個或多於兩個光子之吸收。
  5. 如請求項4之方法,其中該多光子光化學反應係一雙光子光化學反應。
  6. 如請求項2之方法,其中該前驅體材料包含Mo(thd)3 ,其中thd = 2,2,6,6-四甲基庚烷-3,5-二酮基。
  7. 如請求項2之方法,其中: 該化學反應至少部分地由藉由該輻照產生於該基板中之熱驅動;且 該化學反應包含涉及吸附至該選定區之該前驅體材料之解離的一熱解製程。
  8. 如請求項2之方法,其中該前驅體材料包含以下各者中之一或多者:BBr3 、Zn(OC2 H5 )2 、Ta(OC2 H5 )2 、Ta(OC2 H5 )5 、Al(CH3 )3 、Ti(OCH(CH3 )2 )4
  9. 如請求項1之方法,其中該沈積製程包含一原子層沈積製程。
  10. 如請求項1之方法,其中該電場相對於該基板之該表面垂直地指向。
  11. 如請求項1之方法,其中藉由將一電壓施加至該基板來施加該電場。
  12. 一種用於形成材料之一圖案化層之裝置,其包含: 一輻照系統,其經組態以在一沈積製程期間運用具有小於100 nm之一波長的電磁輻射輻照一基板之一表面之一選定部分;及 一環境控制系統,其經組態以允許以使得允許該沈積製程繼續進行之一方式控制該基板上方之環境之組成;及 一電場控制器,其經組態以施加經定向以便迫使二次電子遠離該基板之一電場。
  13. 如請求項12之裝置,其中該電場控制器經組態以使得該電場相對於該基板之該表面垂直地指向。
  14. 如請求項12之裝置,其中該電場控制器經組態以藉由將一電壓施加至該基板來施加該電場。
  15. 如請求項12之裝置,其中: 該環境控制系統經組態以控制該基板上方之該環境以在該環境中提供一前驅體材料。
TW108106798A 2018-03-02 2019-02-27 形成材料之圖案化層之方法及裝置 TWI714973B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
EPEP18159656 2018-03-02
EP18159656.0A EP3534211A1 (en) 2018-03-02 2018-03-02 Method and apparatus for forming a patterned layer of material
EPEP18198942 2018-10-05
EP18198942 2018-10-05
EPEP18204446 2018-11-05
EP18204446 2018-11-05

Publications (2)

Publication Number Publication Date
TW201944169A true TW201944169A (zh) 2019-11-16
TWI714973B TWI714973B (zh) 2021-01-01

Family

ID=65443863

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108106798A TWI714973B (zh) 2018-03-02 2019-02-27 形成材料之圖案化層之方法及裝置

Country Status (8)

Country Link
US (1) US20210079519A1 (zh)
EP (1) EP3759550A1 (zh)
JP (1) JP7250803B2 (zh)
KR (1) KR102447189B1 (zh)
CN (1) CN111837074B (zh)
IL (1) IL276936A (zh)
TW (1) TWI714973B (zh)
WO (1) WO2019166318A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022106157A1 (en) 2020-11-18 2022-05-27 Asml Netherlands B.V. Method of forming a patterned layer of material
EP4001455A1 (en) 2020-11-18 2022-05-25 ASML Netherlands B.V. Method of forming a patterned layer of material
DE102021116036A1 (de) 2021-06-21 2022-12-22 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung eingetragener Verein Verfahren und System zum Herstellen einer metallischen Struktur
EP4206823A1 (en) 2021-12-30 2023-07-05 ASML Netherlands B.V. Method of patterning a target layer, apparatus for patterning a target layer
WO2024002578A1 (en) 2022-06-27 2024-01-04 Asml Netherlands B.V. Material, method and apparatus for forming a patterned layer of 2d material
EP4343020A1 (en) 2022-09-21 2024-03-27 ASML Netherlands B.V. Method of forming a patterned layer of material, apparatus for forming a patterned layer of material

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS592046A (ja) * 1982-06-28 1984-01-07 Nec Corp X線露光被照射体
JPS6369978A (ja) * 1986-09-12 1988-03-30 Nippon Telegr & Teleph Corp <Ntt> 薄膜パタン形成方法
JP2985321B2 (ja) * 1991-01-31 1999-11-29 日本電気株式会社 マスクパタ−ン形成方法
JP3145764B2 (ja) * 1992-02-26 2001-03-12 日本電気株式会社 導体コイルパターンの製造方法及び製造装置
US6503314B1 (en) * 2000-08-28 2003-01-07 Sharp Laboratories Of America, Inc. MOCVD ferroelectric and dielectric thin films depositions using mixed solvents
US6673524B2 (en) * 2000-11-17 2004-01-06 Kouros Ghandehari Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
KR100399617B1 (ko) * 2000-12-27 2003-09-29 엘지.필립스 엘시디 주식회사 폴리 실리콘 박막 제조방법
JP3977324B2 (ja) 2002-11-12 2007-09-19 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置
JP2006153998A (ja) * 2004-11-25 2006-06-15 Sharp Corp パターン形成方法およびパターン形成装置
US7728503B2 (en) * 2006-03-29 2010-06-01 Ricoh Company, Ltd. Electron emission element, charging device, process cartridge, and image forming apparatus
JP4245623B2 (ja) 2006-08-22 2009-03-25 ゼット株式会社 球技用心臓防護シャツ、及び、それに用いられる心臓防護パット
US7615332B2 (en) * 2007-02-06 2009-11-10 Canon Kabushiki Kaisha Photosensitive compound, photosensitive composition, resist pattern forming method, and device production process
KR20100093349A (ko) * 2009-02-16 2010-08-25 삼성전자주식회사 기상 박막 형성 방법 및 반도체 집적 회로 장치의 제조 방법
JP5708648B2 (ja) * 2010-08-06 2015-04-30 旭硝子株式会社 サポート基板
US8426085B2 (en) * 2010-12-02 2013-04-23 Intermolecular, Inc. Method and apparatus for EUV mask having diffusion barrier
WO2013113537A2 (en) 2012-01-30 2013-08-08 Asml Netherlands B.V. Optical element, lithographic apparatus incorporating such an element, method of manufacturing an optical element
US9632411B2 (en) * 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9499909B2 (en) * 2013-03-15 2016-11-22 Applied Materials, Inc. Methods for photo-excitation of precursors in epitaxial processes using a rotary scanning unit
CN106164776B (zh) * 2014-04-09 2019-04-23 Asml荷兰有限公司 用于清洁对象的装置
US9793503B2 (en) * 2014-10-22 2017-10-17 Ellen Tuanying Chen Nanostructured organic memristor/memcapacitor of making with an embedded low-to-high frequency switch and a method of inducing an electromagnetic field thereto
US9466464B1 (en) * 2015-01-23 2016-10-11 Multibeam Corporation Precision substrate material removal using miniature-column charged particle beam arrays
KR102314722B1 (ko) * 2015-05-27 2021-10-20 에이에스엠 아이피 홀딩 비.브이. 몰리브덴 또는 텅스텐 함유 박막의 ald용 전구체의 합성 및 사용
EP3482259B1 (en) 2016-07-06 2024-01-10 ASML Netherlands B.V. A substrate holder and a method of manufacturing a substrate holder

Also Published As

Publication number Publication date
EP3759550A1 (en) 2021-01-06
JP7250803B2 (ja) 2023-04-03
WO2019166318A1 (en) 2019-09-06
IL276936A (en) 2020-10-29
KR20200118119A (ko) 2020-10-14
CN111837074A (zh) 2020-10-27
CN111837074B (zh) 2023-11-03
TWI714973B (zh) 2021-01-01
JP2021515264A (ja) 2021-06-17
KR102447189B1 (ko) 2022-09-26
US20210079519A1 (en) 2021-03-18

Similar Documents

Publication Publication Date Title
TWI714973B (zh) 形成材料之圖案化層之方法及裝置
KR100737759B1 (ko) 리소그래피 투영장치의 구성요소의 표면을 세정하는 방법, 리소그래피 투영장치, 디바이스 제조방법, 및 세정장치
KR102639026B1 (ko) 패턴화된 재료의 층을 형성하기 위한 방법 및 장치
JP7265493B2 (ja) 情報を測定する装置及び方法
EP3534211A1 (en) Method and apparatus for forming a patterned layer of material
US20240011150A1 (en) Method and apparatus for forming a patterned layer of carbon, method of forming a patterned layer of material
KR102363560B1 (ko) 탄소 오염 방지를 위한 euv 마스크
US20230350301A1 (en) Method and apparatus for forming a patterned layer of material
CN108121169B (zh) 远紫外线对准标记的形成方法
EP3722457A1 (en) Method and apparatus for forming a patterned layer of material
TW202226915A (zh) 形成圖案化之材料層之方法
JP2004525506A (ja) X線/euv投影リソグラフィによる金属/半導体化合物の構造の作製方法
EP4206823A1 (en) Method of patterning a target layer, apparatus for patterning a target layer
EP4343020A1 (en) Method of forming a patterned layer of material, apparatus for forming a patterned layer of material
JP5294678B2 (ja) 露光装置、露光方法、及び表示用パネル基板の製造方法
JP5673329B2 (ja) シリコン酸化物加工方法
Iino et al. Dry etching technologies for reflective multilayer