JP7265493B2 - 情報を測定する装置及び方法 - Google Patents

情報を測定する装置及び方法 Download PDF

Info

Publication number
JP7265493B2
JP7265493B2 JP2019571321A JP2019571321A JP7265493B2 JP 7265493 B2 JP7265493 B2 JP 7265493B2 JP 2019571321 A JP2019571321 A JP 2019571321A JP 2019571321 A JP2019571321 A JP 2019571321A JP 7265493 B2 JP7265493 B2 JP 7265493B2
Authority
JP
Japan
Prior art keywords
layer
substrate
carbon
radiation
energy delivery
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019571321A
Other languages
English (en)
Other versions
JP2020527742A (ja
Inventor
ガオ,アン
ラルバハドーシング,サンジャイシン
ニキペロフ,アンドレイ
ポリャコフ,アレクセイ,オレゴヴィチ
ピーターソン,ブレナン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP17181716.6A external-priority patent/EP3432071A1/en
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2020527742A publication Critical patent/JP2020527742A/ja
Application granted granted Critical
Publication of JP7265493B2 publication Critical patent/JP7265493B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/708Mark formation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54453Marks applied to semiconductor devices or parts for use prior to dicing

Description

関連出願の相互参照
[0001] 本出願は、参照によって全体として本明細書に組み込まれる、2017年7月17日に出願された欧州特許出願公開第17181716.6号及び2017年12月4日に出願された欧州特許出願公開第17205177.3号の優先権を主張するものである。
[0002] 本開示は、半導体素子の製造中に情報を測定することに関する。
[0003] リソグラフィ装置は、所望のパターンを基板に施すように構築された機械である。リソグラフィ装置は、例えば、集積回路(IC)の製造に使用可能である。リソグラフィ装置は、例えば、パターニングデバイス(例えば、マスク)にあるパターン(「デザインレイアウト」又は「デザイン」と呼ばれることも多い)を、基板(例えば、ウェーハ)上に設けられた放射感応性材料(レジスト)層に投影し得る。
[0004] リソグラフィ装置は、基板にパターンを投影するために電磁放射を使用し得る。この放射の波長は、基板上に形成可能なフィーチャの最小サイズを決定する。現在使用されている典型的な波長は、365nm(i線)、248nm、193nm及び13.5nmである。波長が4~20nmの範囲、例えば6.7nm又は13.5nmである極端紫外線(EUV)放射を使用するリソグラフィ装置は、例えば、波長が193nmの放射を使用するリソグラフィ装置よりも小さいフィーチャを基板上に形成するために使用され得る。
[0005] IC又は半導体素子の製造工程の一環として高アスペクト比のエッチングを施すために、いわゆる「ハードマスク」として使用可能なアモルファス炭素層が特定の基板層に堆積される。炭素層は、深い高アスペクト比の構造をIC内部にエッチングすることに使用可能である。アモルファス炭素層は、例えば、動的ランダムアクセスメモリ(DRAM)デバイス及び3D否定論理積(NAND)デバイスの製造に広く使用されている。但し、炭素層は、他のタイプのIC及び半導体デバイスの製造にも使用され得る。
[0006] ICの製造工程の様々な段階において、IC又は半導体デバイスの一部をなす構造のパターンが、下にあるパターンの上に正確に印刷されるように、IC又は半導体デバイスを含む基板をアライメントすることが必要になる場合がある。ミスアライメントは、IC又は半導体デバイスの隣接層間にいわゆるオーバーレイ(OV)エラーを引き起こす可能性があり、その結果、デバイスが動作しないか、又は最適なものにならないことがあり得る。IC又は半導体デバイスのアライメントを検証するために、アライメントセンサ等の適切な計器を使用して、少なくとも1つの層に設けられたフィーチャ、例えばアライメントフィーチャ又は他のマークの位置及び/又は方位等の情報を測定し得る。
[0007] 炭素層が存在する場合、アライメントセンサは、炭素層の下にあるフィーチャを検出できない場合がある。これは、アライメントセンサが動作する波長の放射を炭素層が吸収するためである。これらのフィーチャが正確に検出されないと、基板の各層に構造を印刷するために必要な精度(例えば、場合によりnm配置精度)を達成することが困難になる場合がある。
[0008] ICデバイス(例えば、3D ICデバイス)及び他の半導体デバイスに設けられる金属層でも同様の問題が発生する可能性がある。そのようデバイスは、アライメント処理において課題を引き起こす可能性がある。これは、そのような層が、アライメントセンサが動作する波長に対して不透明である場合があるためである。金属層は、屈折係数及び消光係数が高い場合があるため、不透明の下に位置するフィーチャから取得される情報をアライメントセンサが測定できない場合がある。
[0009] 不透明な金属層又は炭素層は、少なくとも以下のデバイスにおいて、ハードマスク又は機能層として使用されているか又は使用されることになる。
- 3D-NANDデバイスは、炭素又はドープ炭素のハードマスクを含み得る。そのようなデバイスは、厚さが約0.4~2μmに及ぶ重金属(例えば、タングステン(W))のハードマスクを含む場合がある。
- 3Dクロスポイントデバイスは、各層の厚さが約20~100nmに及ぶ複数の金属層(例えば、W層)を使用する。
[00010] 金属層と他の基板層(例えば、金属/(底部反射防止膜(BARC)又はレジスト)、金属/セラミック、金属/半導体)との接合面における高反射率と、金属層の高消光係数による光の減衰との組み合わせにより、不透明金属層を通過した後にアライメントセンサによって検出される信号レベルが不十分となる可能性がある。
[00011] 不透明な金属層又はアモルファス炭素層の反射率が高いことは、アライメントセンサによる測定が間違い又は誤りになることにつながり得る。更に、そのような不透明層は、下の層のトポグラフィをなぞる場合があり、従ってそれらの層の1つに設けられたフィーチャ(例えば、アライメントマーク、グレーティング等)のパターンを有する場合がある。しかし、そのようなフィーチャは、不透明層上において、元のフィーチャの位置からシフトした位置に存在する可能性がある。例えば、場合により約1~10nmに及ぶシフトが観察される可能性があり、最悪の場合にはシフトの大きさが金属層の厚さに匹敵する可能性がある。シフトのレベルは、そのような層及びその下の各層の製造に対応する化学機械研磨(CMP)、堆積及び/又は他の操作に依存し得る。同様に、不透明層の特性(例えば、屈折率n、消光係数k等)は、下の層のトポグラフィ又は組成によって歪む可能性があり、従って、不透明層からの反射光は、シフトしたフィーチャ/マークパターンでインプリントされる可能性がある。3Dクロスポイントデバイスの場合、シフトは、1~2nmのオーダーであり得る。
[00012] 不透明な金属層又は炭素層が使用される場合のアライメントを改善する様々な手法が使用可能である。例えば、クリアアウト処理では、不透明層のうち、フィーチャ/マークの上にある領域に対して、この領域の材料が除去され得るようにリソエッチング工程が実施され得る。その後、この領域は、別の(好ましくは光学的に不透明ではない)材料を再充填され得る。最後に、CMP工程を実施して表面を平坦化し、不透明層の他の部分(即ちアライメントフィーチャの上にある領域以外の領域)から再充填材料を除去することが行われ得る。しかしながら、これらの工程の幾つかは、高コストである場合がある(例えば、比較的厚いハードマスクにおいてクリアアウトが行われた場合に実施され得るドライエッチング)。
[00013] 不透明な金属層又は炭素層が使用される場合のアライメントを改善するために行われる別の処理では、基板内に設けられた元のアライメントフィーチャ/マークを基準とする追加のフィーチャ又は他のマークを印刷することが含まれ得、そのような追加のフィーチャは、不透明層の上側接合面において可視である。そのような処理は、幾つかの追加リソエッチング/堆積工程が必要になる場合があり、それらは、高コストになる可能性があり、追加プロセス工程によって引き起こされる汚染又はばらつきによって歩留まりを低下させる可能性があり、及び/又は製品オーバーレイを劣化させる可能性がある(即ち、フィーチャ/マークを新たな層にコピーした場合に誤差が積み上がることで製品オーバーレイが劣化する可能性がある)。
[00014] 炭素層を含む基板のアライメントに対する現行のアプローチは、基板の上層又は中間層に追加のアライメントフィーチャ又はマークを設けて、基板のアライメントをアライメントセンサで測定することを含む。一例では、アライメントセンサは、アモルファス炭素層の上面にあるアライメントフィーチャからの反射を検出するように構成され得る。
[00015] 例えば、(例えば、基板を含む半導体デバイスの製造中の)基板におけるフィーチャ(例えば、ターゲットアライメントマーク等)の位置及び/又はアライメントに関連する情報を測定するための装置、ツール、方法及びシステム又はそれを測定することに関連する装置、ツール、方法及びシステムが提供される。
[00016] 本明細書に記載の装置、ツール、方法及び/又はシステムは、コスト効率の良い方式で情報を測定すること、他のソリューションより少ないステップ数及び/又は短い時間で情報を測定すること、基板に影響する汚染のリスクを減らすか又はなくすこと、情報を測定することに関連する測定結果の信頼性及び/又は精度を改善すること、製品の歩留まりを高めること、及び/又は基板における相対的に不透明及び/又は反射性の層を通して情報を測定することを可能にすることの少なくとも1つを可能にすることができる。
[00017] 本開示の一例によれば、半導体デバイス基板における少なくとも1つのフィーチャに関連する情報を測定するための装置が提供される。少なくとも1つのフィーチャは、炭素を含む層によって少なくとも部分的に覆い隠され得、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号は、フィーチャに到達することを妨げられ得る。少なくとも1つのフィーチャは、金属を含む層によって少なくとも部分的に覆い隠され得、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号は、フィーチャに到達することを妨げられ得る。装置は、エネルギ送達システムを含み得る。エネルギ送達システムは、層の少なくとも1つの部分を修正して、少なくとも1つの部分の透明度を高めるように構成され得、それにより、光信号の少なくとも一部は、少なくとも1つのフィーチャに関連する情報を測定するために層の少なくとも1つの部分を通して伝搬することができる。
[00018] 使用時、エネルギ送達システムは、基板のハードマスクを含むか又は画定し得る、炭素を含む層の少なくとも1つの部分を構造的に修正し得、それにより、少なくとも1つの部分の透明度を高めることができる。エネルギ送達システムは、金属を含む層の少なくとも1つの部分を化学的及び/又は構造的に修正して、少なくとも1つの部分の透明度を高めることができる。部分の透明度を高めることにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号が少なくとも1つの部分を通して伝搬してフィーチャに到達することを可能にすることができ、フィーチャは、アライメントマーク、ターゲットアライメントマーク、オーバーレイフィーチャ、メトロロジターゲット又は他の任意の、基板にあるフィーチャの形態であり得る。光信号は、戻り光信号が少なくとも1つの部分を通して伝搬することができるように少なくとも1つのフィーチャと相互作用し得る。少なくとも1つのフィーチャに関連する情報を測定するために、戻り光信号の少なくとも1つの特性が測定され得る。戻り光信号によって提供される情報は、光信号により、修正された少なくとも1つの部分を通した直接測定を可能にすることにより、少なくとも1つのフィーチャの存在、位置、方位等の少なくとも1つを示し得る。直接測定が可能になることは、半導体デバイス基板の製造時に必要なリソグラフィ、及び/又はエッチング、及び/又は堆積のステップ数が少なくなることを意味し、それにより、製造プロセスで必要となる時間及び支出を減らし得る。直接測定により、装置が、間接的なアライメント方法よりも正確に基板のアライメントを測定することを可能にすることができ、それにより、半導体構造、導体構造、絶縁体構造及び/又は他の構造を含む層間のオーバーレイエラーを減らすことが可能になる。
[00019] エネルギ送達システムは、層の少なくとも1つの部分において炭素の消光係数及び/又は屈折係数を減らすことにより、層の少なくとも1つの部分を修正するためのビームを放射するように構成され得る。
[00020] エネルギ送達システムは、(例えば、層の少なくとも1つの部分において)炭素内に相変化を引き起こし、及び/又は層の少なくとも1つの部分において四価(sp3配位)炭素原子の濃度の上昇を引き起こすことにより、層の少なくとも1つの部分を修正するためのビームを放射するように構成され得る。
[00021] ビームは、放射線及び/又は粒子を含み得る。
[00022] 炭素内に相変化を引き起こすことにより、所与の波長に対する層の消光係数を減らすことが可能になる。例えば、層を修正することにより、0.5μm~2μmの範囲の少なくとも1つの波長について、消光係数「k」を0.4上回る値から、0.4、0.2、0.1等を下回る値に減らすことが可能になる。
[00023] 相変化は、炭素がダイヤモンド及びダイヤモンド状炭素の少なくとも一方を形成するように層の少なくとも1つの部分において炭素を修正することができる。
[00024] 層は、アモルファス炭素、グラファイト、DLC及び/又はダイヤモンド又は他の任意の形態の炭素を含み得る。層は、グラファイト又はDLCと異なる材料を含み得る。層は、少なくとも10~50%又は他の任意のパーセンテージの炭素又はドープ炭素を含み得る。層は、水素、ホウ素、タングステン、窒素及び/又は他の任意の適切な元素、化合物又は不純物の少なくとも1つをドープされた炭素を含み得る。
[00025] ビーム送達システムに対する露光後、炭素層は、ダイヤモンド又はダイヤモンド状炭素を含み得、及び/又はそれらの濃度が上昇し得る。ダイヤモンドドメイン又はDLCドメインのサイズは、およそ値(n-0.3,h)の何れかに限定され得、ここで、nは、ドーパントの濃度であり、hは、層の厚さである。層の厚さ(例えば、約0.5~5μm)に匹敵するダイヤモンドドメインは、マイクロダイヤモンドであり得る。マイクロダイヤモンドは、情報を測定するアライメントシステムの放射線に対して効率的な散乱中心として動作し得る。マイクロダイヤモンドによって散乱され得る波長の例として、0.5~1μm、1~2μm又は他の任意の可能な波長範囲がある。マイクロダイヤモンドをより小さい散乱体、例えばナノダイヤモンド(例えば、d<0.1μm)で置き換えることにより、少なくとも1つの部分を通した少なくとも1つのフィーチャの可視性を高め得、これは、層内で発生する散乱が少なくなるためであると考えられる。特定の1つ又は複数の波長で動作するアライメント測定システム又は他の光学系を適切に選択することにより、層内の散乱体のサイズ及び/又は濃度に依存する散乱の影響を減らし得る。炭素を含む層及び/又はこの層内の副層の組成及び/又は厚さを適切に選択することと、エネルギ送達システムの放射線、及び/又は粒子のフルエンス、及び/又は電力、及び/又は位相分布を調節することとを組み合わせることにより、散乱体の平均(又は最大)サイズを減らすことが可能になり、これは、例えば、層を、マイクロダイヤモンドの代わりにナノダイヤモンドを含むように変換すること等によって行われる。
[00026] ダイヤモンド及びダイヤモンド状炭素(DLC)は、可視スペクトル及び/又は赤外スペクトル内の少なくとも1つの波長に対して0.1を下回る消光係数を有し得る。
[00027] エネルギ送達システムは、放射線を放射する少なくとも1つのレーザ及び/又はエネルギ粒子のフォーカスされたビームの少なくとも1つの源の少なくとも一方を含み得る。
[00028] 少なくとも1つのレーザは、スキャナ(例えば、基板にレジストが塗布されている場合に製造プロセス中に使用されるスキャナ)において、少なくとも1つの部分を照射するように構成され得る。スキャナ内でレジストの歪みが発生する可能性があり、これは、レジストの沸点が、炭素を含む層の沸点より低いためであることが理解される。少なくとも1つのレーザは、(例えば、少なくとも1つの部分を修正し、及び/又は少なくとも1つのフィーチャに関連する情報を測定するためのスタンドアロンツールの一部としての)別個のエネルギ源を使用して少なくとも1つの部分を照射するように構成され得る。この例では、少なくとも1つの部分のレーザ照射は、任意選択の追加ハードマスク材料、底部反射防止膜(BARC)及び/又はレジスト層を基板に塗布する前に実施され得る。
[00029] 基板の製造中の少なくとも1つのリソエッチングステップを潜在的にはるかに安価なレーザ照射ステップに置き換えることにより、少なくとも1つの部分を含む層を通した直接アライメントにより、オーバーレイを改善することが可能になる。光信号の波長に対して透明であり、任意選択でエッチング耐性がある材料をクリアアウトに再充填することを含むステップをなくすことにより、時間及び支出を節約することが可能になる。
[00030] 少なくとも1つのレーザは、一連のレーザパルスを放射するように構成されたパルス状レーザ源を含み得る。パルス状レーザ源は、少なくとも1つのレーザパルスを放射するように構成され得る。
[00031] 少なくとも1つのレーザは、4nm~3μmの範囲の少なくとも1つの波長を有する放射線を放射するように構成され得る。放射線は、他の放射線又は幾つかの波長を含み得、例えば調波発生器等の出力を含み得ることが理解される。
[00032] 少なくとも1つのレーザは、5fs~500psの範囲のパルス継続時間を有する可視及び/又は赤外レーザパルス、1ps~500nsの範囲のパルス継続時間を有する紫外レーザパルス、及び1fs~100nsの範囲のパルス継続時間を有する軟X線~DUVレーザパルス等の1つ以上を放射するように構成され得る。エネルギ送達システムは、100ns未満、任意選択で10ns未満、任意選択で10fs超の継続時間を有するパルスを放射するように構成されたレーザを含み得る。少なくとも1つのレーザは、他のパルス継続時間、例えばマイクロ秒、ナノ秒、ピコ秒、フェムト秒、アト秒等、及び/又は他の波長、例えばX線、軟X線、EUV、DUV、UV、可視、赤外、中赤外、遠赤外、THz又は電磁スペクトル中の他の部分のレーザパルスを放射するように構成され得ることが理解される。
[00033] 少なくとも1つのレーザは、第1のパルス継続時間の少なくとも1つのレーザパルスを含む最初のパルストレインを放射するように構成され得る。少なくとも1つのレーザは、より短い第2のパルス継続時間の少なくとも1つのレーザパルスを含む次のパルストレインを放射するように更に構成され得る。
[00034] 最初のパルストレインは、プレパルスを含み得、これは、ナノ秒及び/又はピコ秒のレーザパルスを含み得る。次のパルストレインは、フェムト秒のレーザパルスを含み得る。パルストレインと層との相互作用の結果として、少なくとも1つの部分において温度及び/又は圧力が変化し得る。異なるパルス継続時間を使用することにより、レーザパルスと層との間の相互作用の性質を、少なくとも1つの部分における温度と圧力とを切り離し得るように制御し得る。例えば、最初のパルストレインに関連付けられる温度上昇が起こる場合があり、このパルストレインは、ナノ秒、ピコ秒及びフェムト秒のパルスの少なくとも1つを含み得る。次のパルストレインに関連付けられる圧力及び/又は温度の上昇が起こる場合があり、このパルストレインは、フェムト秒のパルスを含み得る。レーザパルスと層との相互作用に対して特定の影響を有し得る少なくとも1つの異なるパルス継続時間を有するレーザを少なくとも1つ提供することにより、少なくとも1つの部分の特性の制御を精細又は強力に行うことが可能であり得る。例えば、レーザパラメータ及び/又は2つ以上のパルストレイン間の遅延を適切に選択することにより、相変化した材料のサイズ、分布及び/又はデブリ粒子生成並びにグレインのサイズを制御することが可能であり得る。ナノ秒パルスは、層を修正するプロセスを開始するために使用され得る。例えば、ナノ秒パルスで開始することにより、少なくとも1つの部分の材料を、500℃、1000℃、2000℃等を超える温度で熱運動化し得る。フェムト秒パルスを使用することにより、関与する圧力及び/又は温度をナノ秒パルスの場合に比べて著しく大きくし得る。例えば、圧力パルスは、0.1~10ギガパスカルのオーダー又は他の任意の圧力であり得、関与する温度は、100~10,000℃のオーダー又は他の任意の温度であり得る。放射線及び/又は粒子による修正中、他の任意の温度及び/又は圧力を層に発生させ得ることが理解される。
[00035] 少なくとも1つの部分の修正を行うために、任意の適切なレーザパルスの選択が行われ得ることが理解される。例えば、本例では、次のパルストレインを、フェムト秒パルスを含むものとして説明しているが、次のパルストレインにおいてナノ秒パルス及び/又はピコ秒パルスを使用することが可能であり得る。ナノ秒パルス、ピコ秒パルス及びフェムト秒パルスの継続時間を説明しているが、他のパルス継続時間レジームも使用され得、例えば最初及び次のパルストレインの一方又は両方にマイクロ秒、アト秒等が使用され得ることが理解される。他のパラメータ、例えばパルスエネルギ、パルス数、ピーク放射フルエンス、レーザ繰り返し率、分散量、波長、偏光等を制御することが少なくとも1つの部分の修正に影響を及ぼし得ることが更に理解される。
[00036] 少なくとも1つのレーザは、層のアブレーション閾値を下回るピーク放射フルエンス又は強度を有する放射線を放射するように構成され得る。
[00037] 少なくとも1つのパルスのピーク放射フルエンス又は強度がアブレーション閾値を超えると、デブリ粒子が生成される可能性があり、これは、その後の画像化及び全体の歩留まりに対するリスクを呈し得る。他のパラメータ、例えばパルス数、レーザ繰り返し率、熱伝導性、層の消光係数及び/又は屈折係数等がアブレーション閾値の影響を及ぼし得ることが理解される。
[00038] 少なくとも1つのレーザは、直線偏光放射線、非直線偏光放射線、楕円偏光放射線及びらせん偏光放射線の少なくとも1つを放射するように構成され得る。
[00039] 少なくとも1つのレーザは、一連のレーザパルスを放射するように構成され得る。各レーザパルスは、直線偏光、円形偏光、楕円偏光及びらせん偏光の1つを有し得、及び/又はレーザパルスのシーケンスは、トレイン内の幾つかのパルスがトレイン内の他のパルスと異なる偏光等を有し得る。少なくとも1つのレーザは、レーザパルスシーケンス中の少なくとも1つのパルスの偏光を変化させるように構成され得る。一例では、らせん偏光は、楕円偏光より効果的である場合があり、楕円偏光自体は、より小さい散乱体を発生させる場合に直線偏光より効果的である場合がある。偏光の任意のランダム化が有利であり得ることが理解される。
[00040] レーザパルスの偏光を変化させることにより、少なくとも1つの部分中の又はそれに隣接するリップルの形成を防ぎ得る。リップルが出現する可能性があるのは、近アブレーションレベル及びアブレーションレベルのフェムト秒パルス及び/又はピコ秒パルスが、導電バンド中に比較的高濃度の電子を有する材料と相互作用する場合である。そのようなリップルは、戻り光信号の特性を劣化させ得る周期性を有する場合があり、それにより、少なくとも1つのフィーチャから生成される情報の質が低下する可能性がある。リップルは、炭素及び/又は修正された炭素を含む層の消光係数、及び/又は屈折係数、及び/又は厚さの周期的変化を引き起こす可能性がある。リップルは、格子又は他の回折要素と同様に動作する場合があり、(格子の形態である場合がある)フィーチャによって形成される回折パターンに対して任意のシフトを引き起こす可能性がある。そのようなリップルは、直線偏光放射線と、(例えば、ポラリトンの形成によって)誘起された電子密度波との相互作用の結果である場合がある。リップル形成のプロセスについては、参照によって本明細書に組み込まれるPan et al.,“Threshold Dependence of Deep- and Near-subwavelength Ripples Formation on Natural MoS2 Induced by Femtosecond Laser”, Scientific Reports 6, 19571 (2016)に記載されている。(例えば、Pan et al.に記載されているものと)同じメカニズムは、相変化した材料のグレインの周期性及び/又はサイズ増大につながる可能性があり、従って偏光の任意のランダム化を、例えば少なくとも1つのパルス中(例えば、らせん偏光等)又はパルス間(例えば、パルストレイン内での1つのパルスから別のパルスへの直線偏光のローテーション又は楕円偏光に対する変更等)で行うことが有利であり得る。
[00041] エネルギ送達システムは、層の少なくとも1つの部分のパルス状加熱のための放射線及び/又は粒子を放射するように構成され得る。
[00042] エネルギ送達システムは、少なくとも1つのレーザのフルエンスに匹敵するフルエンスを提供するように構成され得る。例えば、フルエンスは、0.01~1J/cmの範囲であり得、及び/又はパルス継続時間は、10nsより短いことができる。エネルギ送達システムは、様々なフルエンス及び/又はパルス継続時間を提供するように構成され得ることが理解される。
[00043] エネルギ送達システムは、電子ビーム、イオンビーム、中性ビーム、5~20nmの範囲の極端紫外線(EUV)ビーム及び20~100nmの範囲の波長を有する放射線を含むビームの1つ以上を放射するように構成され得る。ビームは、放射線及び/又は粒子を含み得る。
[00044] エネルギ送達システムは、金属を含む層の少なくとも1つの部分を修正するための放射線及び/又は粒子を放射するように構成され得る。
[00045] エネルギ送達システムは、層の少なくとも1つの部分を化学的に変換して、少なくとも1つの部分の化学組成を変化させるために、反応媒体の存在下において、金属を含む層の少なくとも1つの部分を修正するように構成され得る。反応媒体は、ガス及び/又は液体を含み得、これは、エネルギ送達システムのビームに対して十分透明であり得る。
[00046] エネルギ送達システムは、100ns未満、任意選択で10ns未満、任意選択で10fs超の継続時間を有するパルスを放射するように構成されたレーザを含み得る。
[00047] レーザは、複数のパルスを送達するように構成され得、任意選択で、パルスの繰り返し率は、少なくとも1kHzであり得、任意選択で、パルスの繰り返し率は、少なくとも1MHzであり得、及び/又は任意選択で、パルスのデューティサイクルは、1%未満であり得る。
[00048] レーザは、0.01~1J/cmの範囲のフルエンスを有する放射線を放射するように構成され得る。
[00049] エネルギ送達システムは、金属を含む層の少なくとも1つの部分の透明度を高めるために、金属を含む層を他の原子、イオン又は分子で飽和させるためのイオンビームを提供するように構成され得る。
[00050] 少なくとも1つの部分の修正のためのレーザ照射は、少なくとも1つの部分を、全フルエンスが0.01J/cm超であり、及び/又はパルス継続時間が10ns未満である連続波及び/又はパルス状イオンビーム若しくはプラズマに局所露光することに置き換えられ得るか又それを伴い得る。局所露光によって注入されたイオンは、ホットトラックから出ることがあり、ホットトラックでは、ダイヤモンド相及び/又はDLC相の炭素が生成可能であるようにパルス状加熱及びクエンチングが起こり得る。イオンビームエネルギは、1eV超であり得、任意選択で100eV超であり得る。使用されるイオンは、Cイオン及び/又はB、N、O、Ga、He、Ne、Ar、Kr、Xe等の少なくとも1つを含み得る。1つ以上の希ガスイオンを使用することにより、ガス放出を促進することが可能になり、層を追加ドーパントがないままにすることが可能になる。
[00051] 装置は、層の帯電を防ぐために、層に接続されて電圧/電流又は接地の接続を提供するように構成された電気的接続を含み得る。例えば、エネルギ送達システムの一部としての基板にイオン又は電子が誘導される場合、炭素又は金属を含む層は、層に入射する、フォーカスされた帯電粒子ビームをデフォーカスするか又は偏向させ得る帯電効果を防ぐために電圧源/電流源に接続され得るか、又は接地され得る。この偏向効果は、元の(例えば、高消光係数の)層が導体の場合に起こり得る場合がある。
[00052] 装置は、層を追加ドーパントがないままにするために、1つ以上の希ガスイオンを使用してガス放出を促進するように構成され得、任意選択で、エネルギ送達システムは、イオン又は電子を基板に誘導するように構成され得る。
[00053] エネルギ送達システムは、電界ポテンシャルを、金属を含む層と、電界を発生させるための電極との間に提供するように構成された陽極酸化システムを含み得る。装置は、層の少なくとも1つの部分を化学的に変換して、少なくとも1つの部分の化学組成を変化させるための反応媒体を提供するように構成され得る。
[00054] 装置は、層の少なくとも1つの部分の周囲の層上に保護層及び/又はクリアアウト保護層を堆積させるように構成され得る。代替として、陽極酸化前に保護層及び/又はクリアアウト保護層を堆積させるために別個の装置が使用され得る。
[00055] 装置は、金属を含む層の少なくとも1つの部分と電極との間に導電性の液体を提供するように構成された液体塗布システムを含み得る。
[00056] 装置は、基板の少なくとも一部が導電性液体に接触しないように基板を支持するように構成された基板支持物を含み得る。代替又は追加として、装置は、基板の一部と導電性液体との間の接触を防ぐために、少なくとも部分的に基板に絶縁層を塗布するように構成された絶縁層塗布器を含み得る。絶縁層は、除去可能であり得る(例えば、絶縁層は、基板の一部を、陽極酸化プロセス中に導電性液体に曝されないように一時的にマスクし得る)。絶縁層は、必要に応じて除去され得、例えば陽極酸化プロセスの完了後に除去され得る。
[00057] 陽極酸化システムは、金属層と電極との間に電界を発生させるために、金属層及び電極に接続されたエネルギ源を含み得る。エネルギ源は、連続的及び/又はパルス状の電圧及び/又は電流を提供するように構成され得る。
[00058] エネルギ源は、金属層がアノードを形成し、電極がカソードを形成するような極性を有する、金属層及び電極に電気的に接続された電圧源を含み得る。
[00059] 陽極酸化システムは、金属を含む層の少なくとも1つの部分を修正するための電気化学的及び/又は光電気化学的陽極酸化を実施するように構成され得る。
[00060] 少なくとも1つの部分は、金属を含む層の上に提供又は形成された保護層の開口部を介した先行リソエッチングプロセスにおいて画定され得る。
[00061] 少なくとも1つの部分は、エネルギ送達システムのフォーカスされたビームによって画定され得る。
[00062] エネルギ送達システムは、層の少なくとも1つの部分を化学的、電気化学的及び/又は光電気化学的に変換して、少なくとも1つの部分の化学組成を変化させるために、反応媒体の存在下において、金属を含む層の少なくとも1つの部分を修正するように構成され得る。
[00063] 装置は、反応媒体を収容するチャンバを含み得る。
[00064] チャンバは、放射線及び/又は粒子が、金属を含む層と相互作用することを可能にするように構成され得る。チャンバは、放射線及び/又は粒子がチャンバに入ることを可能にする透明セクションを含み得る。エネルギ送達システムは、チャンバ内に設けられ得る。
[00065] 反応媒体は、ガス及び/又は液体を含み得る。
[00066] 反応媒体は、酸素(O)、酸化物、水素(H)、ホウ素(B)、ホウ化物、炭素(C)、炭化物、窒素(N)、窒化物、塩素(Cl)、塩化物、臭素(Br)、臭化物、フッ素(F)、フッ化物、ヨウ素(I)、ヨウ化物、ケイ素(Si)、ケイ化物、リン(P)、リン化物の少なくとも1つの原子、イオン又は分子を含み得る。
[00067] 金属は、タングステン又は他の任意の適切な金属を含み得る。
[00068] エネルギ送達システムは、反応媒体中の少なくとも1つの原子、イオン又は分子が金属と反応して、少なくとも1つの部分内に新たな化学化合物を形成するように、金属を含む層の化学組成を変化させるように構成され得る。
[00069] エネルギ送達システムは、反応媒体中の化学結合を切断するためにUV、DUV及び/又はEUV放射線を送達するように更に構成され得る。
[00070] 装置は、修正中に生成されたデブリ粒子を層の表面から除去するためのデブリ除去システムを含み得る。デブリ除去システムは、放電、ガス及び液体の流れ並びにデブリを除去するための反応媒体の少なくとも1つを含み得る。
[00071] 装置は、基板にガス及び/又は液体を接触させて、基板から熱を除去するための冷却システムを含み得る。冷却システムは、エネルギ送達システムによって修正された層の少なくとも一部にガス及び/又は液体を送達するように構成され得る。
[00072] 装置は、基板上に層を堆積させる補助層堆積システムを含み得る。補助層堆積システムは、炭素又は金属を含む基板及び/又は層、及び/又は基板の他の部分に保護層、電気的絶縁層、BARC及び/又はレジストを堆積させるように構成され得る。
[00073] 不透明層の少なくとも1つの部分は、任意選択のBARC及びレジスト層の堆積及びリソツール内での基板のパターニング前に修正され得る。
[00074] 装置は、層堆積システムを含み得る。層堆積システムは、層内、例えば炭素を含む層内の少なくとも1つのシード副層の作成のために堆積条件を変化させるように動作可能であり得る。シード副層は、ナノダイヤモンド核生成及び/又はダイヤモンド状炭素(DLC)のためのシード副層として動作するsp3配位炭素を含み得る。シード副層内のsp3配位炭素原子の濃度は、他の副層より高いことができる。
[00075] 層堆積システムは、不透明な炭素層に対して四価炭素原子の濃度が相対的に上昇しており、厚さが層より薄い追加層がシード副層として設けられ得るように構成され得る。
[00076] 層堆積システムは、炭素層を含む層の構造修正を使用して、第1の堆積プロセスで堆積された層の下部部分の透明度が高められる一方、第2の堆積プロセスによる修正後に層の上部部分が提供されるように構成され得る。第1及び第2の堆積プロセス(及び任意選択で任意の更なる堆積プロセス)が層堆積システムによって実施され得る。
[00077] 装置は、基板から材料を除去するための層除去システムを含み得る。層除去システムは、基板から除去される材料が少なくとも1つのフィーチャの位置及びサイズに対応するように構成されたリソエッチングシステムを含み得る。層除去システムは、化学機械研磨(CMP)装置を含み得る。層除去システムは、アブレーションシステムを含み得る。層及び/又は材料は、補助層と呼ばれ得る。材料は、金属又は炭素を含む層を含み得、層の何れかが基板上に堆積され得るか又は基板の他の任意の部分を含み得る。
[00078] 層除去システムは、基板上の保護層、基板上の電気的絶縁層、基板上のBARC及び/又はレジストの少なくとも1つを少なくとも部分的に除去及び/又は平坦化するように構成され得る。層は、炭素又は金属を含み得、及び/又は炭素又は金属を含む層において修正された炭素又は金属を含み得る。
[00079] 装置は、フィードバック制御システムを更に含み得る。フィードバック制御システムは、層の少なくとも1つの部分の1つ以上のパラメータを測定するように構成され得る。フィードバック制御システムは、1つ以上のパラメータに基づいてエネルギ送達システムを制御するように構成され得る。パラメータは、寸法、透明度、光学係数(例えば、屈折係数又は消光係数)、散乱等の少なくとも1つを含み得る。
[00080] フィードバック制御システムは、放射線センサを含み得る。放射線センサは、層の少なくとも1つの部分から放射線を受けるように構成され得る。フィードバック制御システムは、受けられた放射線に基づいて層の少なくとも1つの部分の1つ以上のパラメータを測定するように構成され得る。受けられた放射線は、エネルギ送達システムによって生成された反射及び/又は散乱された放射線を含み得る。
[00081] フィードバック制御システムは、エネルギ送達システムによるレーザ照射パルス及び/又は粒子放射の回数及び強度を最適化するように構成され得る。フィードバック制御システムは、必要に応じて相変換を停止及び/又は制御するように構成され得る。
[00082] フィードバック制御システムは、制御ユニットを含み得る。制御ユニットは、パラメータの1つ以上を測定するように構成され得る。制御ユニットは、装置の他の任意のパート、例えばエネルギ送達システム、放射線センサ等を制御するように動作可能であり得る。フィードバック制御システムは、少なくとも1つの部分の修正を制御するようにエネルギ送達システムを調整するか、制御するか、又は他に変化させるように構成され得る。フィードバック制御システムは、少なくとも1つの部分が十分に修正された(例えば、十分に透明になった)ことを示す信号を(例えば、放射線センサから)受けるように動作可能であり得る。フィードバック制御システムは、信号を使用して、少なくとも1つの部分の修正を停止するか、続行するか、変化させるかを決定するように動作可能であり得る。
[00083] フィードバック制御システムは、炭素を含む層の下にある少なくとも1つの層が少なくとも1つの部分の修正の影響を比較的受けないままでいられるようにするように構成され得る。例えば、エネルギ送達システムは、各回のレーザパルス及び/又は粒子パルス後に層で高圧及び/又は高温の領域が発生することを制御できるように制御され得る。各後続パルスは、炭素を含む層により深く伝搬することができる。少なくとも1つの部分の修正される各層は、パルスごとに一層透明になり得る。フィードバック制御システムは、炭素を含む層の下にある少なくとも1つの層がパルスの影響を受けること又は実質的に影響を受けることを防ぎ得る。
[00084] フィードバック制御システムは、戻り光信号の少なくとも1つの特性が、少なくとも1つのフィーチャから反射、散乱及び/又は回折された光信号の少なくとも1つによって明らかにされ得るように構成され得、その特性は、基板の少なくとも1つの層に関する情報を提供することに使用され得る。偏光、波長、強度、スペクトル強度、干渉パターン等のような情報は、基板の少なくとも1つの層の少なくとも1つのパラメータ、例えば厚さ、光路長、屈折率「n」、消光係数「k」、組成等を特徴付けることに使用され得る。少なくとも1つの光源及び/又は修正に使用されるものと同じ放射線及び/又は粒子が光信号及び/又は戻り光信号を提供し得る。光源及び/又はエネルギ送達システムによって提供される放射線及び/又は粒子の振幅及び/又は偏光は、メトロロジ法での使用に合わせて減衰及び/又は調整され得、メトロロジ法は、基板のアライメントの測定に使用され得る。少なくとも1つのフィーチャを照射する光信号のメトロロジ照明スポットのサイズは、層の修正された部分のサイズと実質的に重なり合い得るか、又は修正された部分のサイズに密に収まり得る。
[00085] フィードバック制御システムは、修正される少なくとも1つの部分の領域内の基板を通して伝搬する光信号の少なくとも1つの特性が、少なくとも1つのフィーチャが(例えば、フィーチャの背面照明により又は別の照明方向で)十分に照明されているかどうかを判定することに使用され得るように構成され得る。基板は、(例えば、(ケイ素が透明である波長を含み得る)赤外又は中赤外放射線で)照明(例えば、背面照明)され得、これは、修正された少なくとも1つの部分内のDLC/ダイヤモンド相が十分に厚くなった後及び/又は炭素を含む層の残存不透明度が十分に低減され得る場合、放射線が少なくとも1つの部分を通して漏れ得るようにするためである。フィードバック制御システムは、放射線(例えば、赤外又は中赤外放射線等)の漏れを監視するように構成され得、少なくとも1つの部分の修正を停止すべき瞬間(例えば、放射線漏れの量が閾値レベルを超えた場合等)を検出することに使用され得る。
[00086] フィードバック制御システムは、エネルギ送達システムによるプラズマ生成又は他の形態の放射線励起を監視するように構成され得る。レーザパルス及び/又は粒子の少なくとも1つによってプラズマが生成された場合又は原子/分子が励起された場合、光学分光法又は別の手法を使用してプラズマ又は励起の存在を検知し得、これは、例えば、ダイヤモンド相又はDLC相が炭素を含む層を厚さ全体にわたって修正した場合に行われる。
[00087] 炭素を含む層は、エネルギ送達システムから送達される(例えば、レーザパルス中の)放射線を減衰させるか又は吸収し得、及び/又はエネルギ送達システムから送達される粒子を吸収し得る。放射線及び/又は粒子は、最初に層の少なくとも1つの部分の上面を修正し得る。上面は、層の上部副層を含み得る。上部副層を修正することにより、上部副層の放射線及び/又は粒子に対する透明度を高め得る。上部副層の透明度を高めることにより、放射線及び/又は粒子の減衰/吸収を減らすことが可能になり、それにより、(例えば、後続のレーザパルス又は粒子パルスの形態で)より多くの放射線及び/又は粒子が送達されると、放射線及び/又は粒子は、修正された上部副層を通して伝搬して、上部副層の下の下部副層を修正して、少なくとも1つの下部副層の透明度を高め得る。一例では、各放射線及び/又は粒子パルスが層内で連続する(例えば、下部)副層を修正するにつれて、後続パルスが層内に一層深く伝搬することが可能になり、最終的に、これらのパルスは、層(例えば、層の全体厚さ)を完全に通して伝搬することが可能になり、これらのパルス(及び/又は光信号)が、基板の、炭素を含む層の下の層にアクセスすることが可能になる。層の少なくとも1つの部分が(例えば、層の全体厚さにわたって)修正されると、エネルギ送達システムからのパルスが、ほとんど減衰せずに、炭素を含む修正された層を通して伝搬することが可能になり、それにより、基板の(例えば、別の層に存在し、炭素を含む層に存在しない)少なくとも1つの他の化学元素又は分子の励起が引き起こされることが可能になり、それにより、特徴的な波長又はスペクトルの放射が引き起こされることが可能になり、これは、少なくとも1つの部分の修正が、光信号が少なくとも1つの部分を通して伝搬することを可能にするのに十分であることを示すものであり得る。例えば、炭素を含む層の修正中に放射線された放射線と、基板の少なくとも1つの他の要素によって放射された放射線(これは、炭素を含む層の修正中に放射線された放射線と異なる少なくとも1つの波長を含み得る)とを区別することが可能であり得る。
[00088] フィードバック制御システムは、少なくとも1つの部分によって反射、散乱、回折された放射線の少なくとも1つの特性を特徴付けるように構成され得る。フィードバック制御システムは、ラマン検出システム、走査型電子顕微鏡又はフィーチャによって反射、散乱及び/又は回折された放射線の特性を測定する任意の他の計測器の少なくとも1つを含み得る。ラマン検出システムは、少なくとも1つの部分によって発生したラマン信号及び/又は表面増強ラマン信号を検出するように構成され得、これらの信号は、少なくとも1つの部分を特徴付けることに使用され得る。ラマン検出システムは、(例えば、修正された少なくとも1つの部分の最上層における)sp2/sp3配位炭素原子の比に関する情報を提供するように構成され得る。走査型電子顕微鏡等は、少なくとも1つの部分を特徴付けることに使用され得る。炭素を含む層の圧縮、圧痕等を測定することにより、炭素を含む層の厚さの何れの部分が相変換されたかを調べることが可能であり得る。
[00089] 受けられた放射線は、以下のものの1つ以上を含み得る。
[00090] 層の少なくとも1つの部分から反射又は散乱した、エネルギ送達システムからの放射線、層の少なくとも1つの部分を通して伝搬した放射線であって、半導体デバイス基板を背面から照らすように構成された放射線源から放射された放射線、エネルギ送達システムからの放射線及び/又は粒子により、層の部分において励起された放射線並びにエネルギ送達システムによって修正された層の部分と実質的に重なり合っているスポットに誘導され、スポットから反射及び/又は散乱した、補助光源からの放射線。放射線源は、半導体デバイス基板を背面から照らすように構成された更なる放射線源を定義し得る。放射線源は、放射線及び/又は粒子を放射するように構成され得、この放射線及び/又は粒子は、基板を実質的に透過し得るが、未修正層によって実質的に吸収され得る。放射線源は、補助光源を含み得る。補助光源は、半導体基板を背面から照らす背面照明を含み得る。
[00091] エネルギ送達システムは、層の少なくとも1つの部分の透明度を層の全体厚さ未満の深さまで修正するための放射線及び/又は粒子を放射するように構成され得る。
[00092] 装置は、基板上に層を堆積させる層堆積システムを含み得る。
[00093] 層堆積システムは、層の第1の副層を基板上に堆積させるように構成され得る。エネルギ送達システムは、第1の副層の少なくとも1つの部分を修正するように動作可能であり得る。
[00094] 層堆積システムは、第1の副層の少なくとも1つの部分の修正後、層の第2の副層を第1の副層上に堆積させるように構成され得る。
[00095] 層堆積システムは、層内の少なくとも1つのシード副層の作成のために堆積条件を変化させるように動作可能であり得る。シード副層は、ナノダイヤモンド核生成及び/又はダイヤモンド状炭素(DLC)等のためのシード副層として動作するsp3配位炭素を含み得る。シード副層内のsp3配位炭素原子の濃度は、他の副層より高いことができる。
[00096] シード副層は、全層厚より薄いことができ、例えば全層厚の2倍超、10倍超又は他の任意の倍率の薄さであり得る。
[00097] 層堆積システムは、層の上面において少なくとも1つのシード副層を堆積させるように構成され得る。層堆積チャンバ又は製造方法は、炭素を含む層の最上部分をシード副層に変換するように構成され得、この変換は、例えば、層を(例えば、希ガスの)エネルギイオンに曝すことによって行われる。
[00098] 層堆積システムは、基板を一連の処理にかけるように構成され得る。層堆積システムは、炭素を含む層を基板上に堆積させるように構成され得、基板は、全体厚さが層の50~100%であり得る。層の修正前に異なる厚さが堆積され得ることが理解される。装置は、基板内のフィーチャの一部又は全ての上方の層の少なくとも1つの部分を修正して、少なくとも1つの部分の消光係数を減らすように構成され得る。装置は、少なくとも1つの部分の面積が、対応するフィーチャの面積の0.1~10倍であるように構成され得、対応するフィーチャと実質的に重なり合い得る。装置は、層のうち、消光係数が低減された厚さが最初の層の厚さ以下であり得るように、装置の厚さの一部のみを修正するように構成され得る。
[00099] 層堆積システム及び/又は製造処理は、平坦化及びデブリ粒子除去の少なくとも一方のために、(例えば、化学機械研磨装置等を使用する)化学機械研磨(CMP)ステップを実施するように構成され得る(デブリ粒子は、アブレーションプルーム等の結果として基板の表面から発生し得る)。層堆積システムは、少なくとも1つの部分の修正前に除去可能層を(例えば、スピンコーティング等により)塗布するように構成され得る。除去可能層は、堆積したデブリ粒子を除去するために(例えば、洗浄等によって)除去され得、これは、例えば、基板における少なくとも1つのフィーチャが少なくとも1つの部分の修正後に可視になった時点で行われ得る。
[000100] CMPは、基板の表面内にリップルが形成された場合及び/又は少なくとも1つの修正された部分のエッジにリップルが形成された場合、それらのリップルを減らすか又はなくすために行われ得る。代替又は追加として、CMPは、デブリ粒子を除去するために行われ得る。
[000101] 層堆積システムは、層の全体厚さの残り部分を堆積するように構成され得、これは、例えば、少なくとも1つの部分の修正後に行われ得る。例えば、堆積された最初の厚さに応じて全層厚の0~50%又は他の任意のパーセンテージ範囲が堆積され得る。
[000102] 層堆積システムは、底部反射防止膜(BARC)、レジスト及び他の任意の層の少なくとも1つを基板上に堆積するように構成され得る。層堆積システムは、BARC及び/又はレジストの堆積が比較的均一又は平坦であり得るように構成され得る。DLC/ダイヤモンド及びアモルファス炭素のウェッティングには、BARC及び/又はレジストによるばらつきがある場合がある。アモルファス炭素等の層、例えば光信号が比較的薄い層に浸透することを可能にし得る比較的薄い層を堆積させることにより、BARC及び/又はレジスト等のウェッティング特性のばらつきを低減し得る。
[000103] 層堆積システムは、層の未修正部分が層の少なくとも1つの修正済み部分の上方又は下方になり得るように、炭素を含む層を堆積させるように構成され得、それにより、少なくとも1つの修正済み部分を有する層が層の上面により近くなるか、又は層の下面により近くなり得る(例えば、基板の下層と接触し得る)。修正済み部分の下方に未修正層を提供することにより、層に対してユーザ指定のアッシングレシピを使用できることが確実になり得る。
[000104] 装置は、修正中に生成されたデブリ粒子を層の表面から除去するためのデブリ除去システムを含み得る。
[000105] 層の修正を真空下又は低圧下で行うことにより、基板の表面のデブリ粒子堆積を減らすか又はなくし得る。デブリ粒子堆積を減らすか又はなくすための圧力条件の例は、参照によって本明細書に組み込まれるHarilal et al.“Background gas collisional effects on expanding fs and ns laser ablation plumes”, Appl. Phys. A, Vol. 117(1), pp. 319-326 (2014)に記載されている。
[000106] デブリ除去システムは、層の少なくとも1つの部分の修正中にアブレーションプルーム内に形成されたデブリ粒子に照射する放射線を放射するレーザ等の放射線源を含み得、それにより、アブレーションプルーム内のデブリ粒子のサイズ及び/又はデブリ粒子の数が低減される。
[000107] デブリ除去システムは、アブレーションプルームに(例えば、アフタパルス等の形態の)少なくとも1つのレーザパルスを照射するように構成され得る。レーザパルスは、エネルギ及び/又はピークフルエンスが少なくとも1つの部分を修正するための放射線及び/又は粒子より低いことができる。レーザパルスは、継続時間が10ns未満、0.1ns未満であり得るか、又は他の任意の適切なパルス継続時間であり得る。レーザパルスは、少なくとも1つの部分を修正するパルスのそれぞれ又は幾つかに続くように構成され得、例えば10μs未満、1μs未満の遅延又は他の任意の適切な遅延で続くように構成され得る。アフタパルスは、アブレーションプラズマプルーム内の粒子によって効果的に吸収され得、それにより、粒子は、蒸発するか、又は少なくともサイズを100nm未満に若しくは100nmよりはるかに小さくし得、それにより、粒子は、基板上に残ったとしても画像化されることがないか、又は歩留まりを低下させない。
[000108] デブリ除去システムは、層の少なくとも1つの部分の修正中、層の少なくとも1つの部分の上方にプラズマを発生させる放電器を含み得る。プラズマは、帯電粒子を捕捉するように構成され得る(例えば、帯電粒子は、アブレーションプルーム内で発生し、及び/又は帯電する可能性があり、それらの再堆積を妨げる可能性がある)。代替又は追加として、装置は、層を含む基板にバイアスをかけるように構成され得、それにより、電界が、アブレーションプルーム内に発生した帯電粒子を基板からはね返し得、及び/又は帯電粒子の再堆積を妨げ得る。
[000109] 修正中の層の上方の放電を維持することは、適度な温度のイオンが発生可能であるように行われ得る。例えば、チタンイオン等のイオンは、100eV未満、任意選択で10eV未満のエネルギで発生し得る。アブレーションプラズマプルーム内で発生する粒子は、概して負に帯電している場合があり、これは、負の粒子がプラズマの正ポテンシャル中に保持される結果をもたらし得るとともに、それらの粒子の基板上での再堆積を妨げ得る。
[000110] デブリ除去システムは、半導体デバイス基板を傾斜させるように構成され得、それにより、デブリ粒子は、重力下で又は他の何らかの外力若しくは圧力で層から離され得る。基板は、重力がデブリ粒子を層から離し得るように少なくとも1つの部分の修正中に傾斜し得る。
[000111] デブリ除去システムは、層の表面に除去可能層を塗布するように構成され得、デブリ粒子は、除去可能層上に収集され得る。デブリ除去システムは、層の少なくとも1つの部分の修正後に除去可能層を除去するように更に構成され得る。
[000112] デブリ除去システムは、エネルギ送達システムが放射線及び/又は粒子を放射する前に層の少なくとも1つの部分の位置において除去可能層を除去するように構成され得る。
[000113] デブリ除去システムは、層の少なくとも1つの部分の近傍に反応媒体を設けるように構成され得、例えばそれによりアブレーションプルーム内の材料の反応の生成物のみが実質的に揮発性又は可溶性であり得る。反応媒体は、ガス又は液体であり得る。反応媒体は、放射線及び/又は粒子に対して透明であり得る。活性化エネルギは、プルーム内の高温により及び/又は放射線及び/又は粒子による直接光励起により提供され得る。反応媒体は、プラズマプルーム内の蒸気又は粒子を揮発性又は可溶性の形態に変換するように構成され得、これは、その後、拡散及び/又は流れによって除去され得る。
[000114] 少なくとも1つの部分の修正は、反応媒体中で実施され得る。反応媒体は、酸素、水素、ハロゲン、空気、水蒸気、液体水、CO(例えば、ガス又は液体)等の少なくとも1つを含み得る。反応媒体は、揮発性又は可溶性の酸化物、ハロゲン化合物等に変化するように構成され得、これは、例えば、アブレーションプルーム内に発生し得るデブリ粒子を排除するためである。デブリ粒子は、アブレーションプルーム内で反応媒体と反応し得、反応媒体は、ガス又は液体の形態であり得、流れ及び/又は拡散によって除去され得る揮発性又は可溶性の粒子/分子を形成し得る。デブリ粒子は、高密度蒸気から凝縮されるか若しくは液体形態で生成される可能性があり、及び/又は炭素、タングステン、ホウ素、窒素及び/又は他の任意の元素を含み得る。
[000115] 装置は、液体又はガスを保持するように構成されたチャンバを更に含み得る。半導体デバイス基板は、少なくともエネルギ送達システムによる放射線及び/又は粒子の放射中に液体又はガスに少なくとも部分的に浸漬され得る。
[000116] 少なくとも1つの部分の修正は、液体(例えば、水、アルコール、液体二酸化炭素、ペルフルオロ流体、熱伝導流体等)中で実施され得る。基板は、少なくとも部分的に槽内で浸漬され得、及び/又は基板の表面に液膜が塗布され得る(例えば、これは、凝縮等を含み得る)。
[000117] 液体内で修正を実施することにより、ウェーハにかかる熱応力を低減することが可能になり得る。液体は、伝導、及び/又は蒸発、及び/又は分離によってヒートシンクとなるように動作し得る。液体は、クエンチングを改善するように動作可能であり(例えば、そのため、炭素は、約4000~5000℃又は他の任意のポテンシャル温度又は適切な温度まで加熱され得る)、及びダイヤモンド相又はDLC相を保持するように急速冷却され得る。
[000118] 液体に浸漬された基板の少なくとも1つの部分の修正を実施することにより、クーロン爆発及び/又は蒸発プロセスによる炭素(及び例えば他の元素)の分離を抑えるか又は防ぎ得、これは、その後のエッチングステップに対する層の耐性を維持すること又は層の厚さを(例えば、層内の修正された部分と未修正部分との差を最小化することにより)維持することに有用な場合があり、それにより、平坦化ステップの実施を不要にすることが可能になり、及び/又はBARC及び/又はレジストの塗布のステップ時のウェッティング/分布のばらつきを減らすことが可能になる。
[000119] 装置は、エネルギ送達システムが放射線及び/又は粒子を放射する前に層の表面に液膜を塗布するように構成された液膜塗布器を含み得る。
[000120] 装置は、少なくとも1つのフィーチャに関連する情報を測定するために、光信号を送出して層の少なくとも1つの部分を透過させるように構成された光学系を含み得る。
[000121] 光学系は、少なくとも1つのフィーチャに関連する情報を測定するための任意の適切な計測器を含み得る。光学系は、少なくとも1つのフィーチャに関連する情報の測定が可能であるように、少なくとも1つのフィーチャから反射、散乱及び/又は回折された光信号を受けるように構成され得る。光学系は、修正された少なくとも1つの部分を伝搬する光信号を提供するように構成され得る。
[000122] 装置は、層の少なくとも1つの部分を通して受けられた戻り光信号に基づいて、少なくとも1つのフィーチャに関連する情報を測定する基板アライメントシステムを含み得る。
[000123] 少なくとも1つのフィーチャは、光信号を照射される場合があり、光信号は、最初に、少なくとも1つの部分を通して伝搬することができる。光信号は、少なくとも1つのフィーチャと相互作用して(それらから反射、散乱及び/又は回折されて)戻り光信号を形成し得、戻り光信号は、その後、少なくとも1つの部分を通して伝搬することができる。少なくとも1つのフィーチャは、光信号を照射される場合があり、光信号は、最初に、少なくとも1つの部分を通して伝搬しない場合がある。例えば、光信号が最初に少なくとも1つの部分を通過できないように、少なくとも1つのフィーチャは、少なくとも1つの異なる方向から到達した光信号を照射される場合がある。戻り光信号は、その後、少なくとも1つの部分を通して伝搬することができる。
[000124] 基板アライメントシステムは、基板がアライメントされているかどうかを判定するために、少なくとも1つのフィーチャの存在、位置及び方位等の少なくとも1つを測定するように構成され得る。
[000125] 基板アライメントシステムは、基板とリソグラフィ装置又はリソグラフィツールとの間の相対的な位置付けを制御して、それらの中での基板のアライメントを行うように構成され得る。
[000126] 光学系及び/又は基板アライメントシステムは、少なくとも1つのフィーチャから取得された情報の測定及び解析の少なくとも一方のための任意の適切な計測器を含み得る。少なくとも1つのフィーチャに関連する情報を測定する技術の例は、本開示の出願人によってもたらされたようなスマートアライメントセンサハイブリッド(SMASH)システムを使用すること、及び/又は本開示の出願人によってもたらされたような位相格子アライメント手法、及び/又は少なくとも1つのフィーチャからの戻り光信号を使用して情報を測定する干渉法技術の少なくとも1つを含み得る。SMASHシステムは、少なくとも1つのフィーチャの全範囲が可視であること(例えば、修正された部分の面積が対応するフィーチャの面積より小さい場合)、及び/又は光信号によって十分に照明されていることを必要とせずに情報を測定し得る。SMASHシステムは、可視スペクトルレンジ及び/又は赤外スペクトルレンジで動作可能であり得る。SMASHシステム又は他の任意の計測器で使用される波長は、炭素を含む層に少なくとも部分的に浸透する波長であり得、例えば層の一部が少なくとも1つのフィーチャを覆い隠している場合にそうであり得る。層がその全体厚さにわたって完全に修正されているわけではない場合若しくは修正が不完全であり、修正された層の厚さがその全体厚さより薄い場合、及び/又は他の任意の層が層上に堆積されている場合でも、波長を適切に選択することにより、SMASHシステム又は他の任意の適切な計測器で生成される情報光信号が層に浸透することを可能にすることができる。
[000127] フィーチャは、アライメントマーク又はオーバーレイマーク等を含み得る。
[000128] 修正された層は、炭素を少なくとも20%含み得るか、又は任意選択で炭素を少なくとも50%含み得る。
[000129] 炭素を含む層を相変化させるエネルギ送達システムは、原理上、不透明金属層の化学組成を(例えば、局所的に)変化させて、その光学的透明度を高め得る。試薬(例えば、金属を含む層の少なくとも一部分と接触する反応媒体)の可用性が化学組成の変化に影響する場合がある。
[000130] 金属層の局所的な化学的変換に関するエネルギ送達システムの最適特性は、炭素層の構造修正に関する特性と異なる場合がある。しかしながら、使用されるパラメータ間に幾らかの類似性があり得る。例えば、エネルギ送達システムのエネルギ(例えば、レーザ)パルス継続時間が1nsよりかなり短く、フルエンスが0.01~1J/cmの範囲であれば、金属層の修正に使用され得、これは、これらのパラメータが高温及び/又は高圧をもたらし得るためである。エネルギ送達システムは、(例えば、金属酸化物、金属ホウ化物、金属窒化物等を形成するために)酸化物、ホウ化物、窒化物等の生成に触媒作用を及ぼすように構成され得、基となる金属により新たに形成された物質又は組成物の相互拡散を促進し得る。本明細書に記載のように、相変化した材料(例えば、ダイヤモンド、DLC等)が炭素層を通って伝搬する場合に起こり得るのと同じ又は同様の、金属層を通る化学的に変化した金属の伝搬が起こり得る。
[000131] 従って、炭素層の局所的構造変化のために適切である、本明細書に記載の装置及び方法は、金属層の局所的化学変化にも少なくとも部分的に適用可能であり得る。
[000132] フォーカスされたエネルギビームを提供し得るエネルギ送達システムを提供することに対する代替又は追加として、エネルギ送達システムは、金属の電気化学変換を行うように構成され得、これは、金属酸化物、金属窒化物、金属ホウ化物等の比較的深い(例えば、最大数μm等の)変換をもたらし得る。変換の局所的性質は、先行する絶縁層の堆積/リソエッチング(これは、基板内に埋められたフィーチャと異なる領域における試薬と金属層との接触を防ぎ得る)及び/又はフォーカスされたエネルギビームの送達システムによる電気化学変換に触媒作用を及ぼすことの何れかによってもたらされ得る。そのようなシステムは、(例えば、埋められたフィーチャの上方の)金属変換の速度をおよそ10~1000倍ほどに高め得、それにより、局所的に変換された層は、透明度が高められ得る一方、層の他の部分(これらは、比較的低速の純粋電気化学的陽極酸化にかけられ得る)は、わずかに影響を受けるのみであり得る(同じ時間枠にわたるその透明度の変化は、最小限又は無視できるものである)。陽極酸化された層(これは、比較的薄い場合がある)は、CMP等で除去可能である。
[000133] 本開示の一例によれば、本開示の何れかの例の装置を含むリソグラフィ装置が提供される。
[000134] 本開示の一例によれば、本開示の何れかの例の装置を含むリソグラフィツールが提供される。
[000135] 本開示の一例によれば、半導体デバイス基板における少なくとも1つのフィーチャに関連する情報を測定するための方法が提供される。少なくとも1つのフィーチャは、炭素を含む層によって少なくとも部分的に覆い隠され得、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号は、フィーチャに到達することを妨げられ得る。少なくとも1つのフィーチャは、金属を含む層によって少なくとも部分的に覆い隠され得、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号は、フィーチャに到達することを妨げられ得る。方法は、エネルギ送達システムにより、層の少なくとも1つの部分を修正して、少なくとも1つの部分の透明度を高めることを含み得る。層の少なくとも1つの部分は、不透明である場合があり、修正は、少なくとも1つの部分の相変化及び/又は化学組成変化を引き起こすことにより、少なくとも1つの部分の透明度を高め得る。少なくとも1つの部分を修正することは、少なくとも1つのフィーチャに関連する情報を測定するための光信号の少なくとも一部が、少なくとも1つのフィーチャに関連する情報を測定するために層の少なくとも1つの部分を通して伝搬することができるようなものであり得る。
[000136] 方法は、エネルギ送達システムを使用して、層の少なくとも1つの部分を修正するためのビームを放射することを含み得、この修正は、(例えば、(例えば、sp3配位炭素原子の含有量を増やすこと及び/又はsp2配位炭素原子の含有率を減らすことで)層内の炭素原子の幾らかの価電子状態を変化させることによって)層の少なくとも1つの部分の消光係数を減らすことによって行われる。
[000137] ビームは、放射線及び/又は粒子を含み得る。
[000138] 方法は、エネルギ送達システムを使用して、(例えば、層の少なくとも1つの部分において)炭素内に相変化を引き起こし、及び/又は層の少なくとも1つの部分においてsp3配位炭素原子(例えば、四価炭素原子)の濃度を上昇させることにより、層の少なくとも1つの部分を修正するためのビームを放射することを含み得る。
[000139] 方法は、炭素がダイヤモンド及びダイヤモンド状炭素の少なくとも一方を形成するように層の少なくとも1つの部分において炭素を修正することを含み得る。
[000140] 方法は、エネルギ送達システムを使用して、消光係数及び/又は屈折係数を減らして、伝導帯中の電子の濃度及び総数を減らすか又はゼロにすることにより、局所的化学組成変化を引き起こして層の少なくとも1つの部分を修正することを含み得る。エネルギ送達システムは、放射線及び/又は粒子のビームを放射するように構成され得る。代替又は追加として、エネルギ送達システムは、局所的化学組成変化を引き起こして層の少なくとも1つの部分を修正する陽極酸化システムを含み得る。
[000141] 方法は、少なくとも1つのレーザを使用して放射線及び/又は粒子を放射することを含み得る。方法は、放射線を放射する少なくとも1つのレーザ及び/又はエネルギ粒子のフォーカスされたビームの少なくとも1つの源を使用することを含み得る。
[000142] 方法は、パルス状レーザ源を使用して一連のレーザパルスを放射することを含み得る。
[000143] 方法は、4nm~3μmの範囲の少なくとも1つの波長を有する放射線を放射することを含み得る。
[000144] 方法は、少なくとも1つのレーザを使用して、5fs~500psの範囲のパルス継続時間を有する可視及び/又は赤外レーザパルス、1ps~500nsの範囲のパルス継続時間を有する紫外レーザパルス、1fs~100nsの範囲のパルス継続時間を有する軟X線~DUVレーザパルス等の1つ以上を放射することを含み得る。
[000145] 方法は、少なくとも1つのレーザを使用して、第1のパルス継続時間の少なくとも1つのレーザパルスを含む最初のパルストレインを放射することを含み得る。方法は、より短い第2のパルス継続時間の少なくとも1つのレーザパルスを含む次のパルストレインを放射することを更に含み得る。
[000146] 方法は、少なくとも1つのレーザを使用して、層のアブレーション閾値を下回るピーク放射フルエンス又は強度を有する放射線を放射することを含み得る。
[000147] 方法は、少なくとも1つのレーザを使用して、直線偏光放射線、非直線偏光放射線、楕円偏光放射線及びらせん偏光放射線の少なくとも1つを有する放射線及び/又は粒子を放射することを含み得る。
[000148] 方法は、少なくとも1つのレーザを使用して、各レーザパルスが直線偏光、円形偏光、楕円偏光、らせん偏光の1つを有するレーザパルスのシーケンス及び/又はトレイン内の幾つかのパルスがトレイン内の他のパルスと異なる偏光を有するレーザパルスのシーケンスを放射することを含み得る。
[000149] 方法は、エネルギ送達システムにより、層の少なくとも1つの部分のパルス状加熱のための放射線及び/又は粒子を放射することを含み得る。
[000150] 方法は、エネルギ送達システムを使用して、電子ビーム、イオンビーム、中性ビーム、5~20nmの範囲の極端紫外線(EUV)ビーム及び20~100nmの範囲の波長を有する放射線を含むビームの1つ以上を放射することを含み得る。ビームは、放射線及び/又は粒子を含み得る。
[000151] 方法は、金属を含む層の少なくとも1つの部分を修正するための放射線及び/又は粒子を放射することを含み得る。
[000152] 方法は、層の少なくとも1つの部分を化学的に変換して、少なくとも1つの部分の化学組成を変化させるために、反応媒体の存在下において、金属を含む層の少なくとも1つの部分を修正することを含み得る。反応媒体は、ガス及び/又は液体を含み得、これは、エネルギ送達システムのビームに対して十分透明であり得る。
[000153] エネルギ送達システムは、100ns未満、任意選択で10ns未満、任意選択で10fs超の継続時間を有するパルスを放射するように構成されたレーザを含み得る。
[000154] レーザは、複数のパルスを送達するように構成され得、任意選択で、パルスの繰り返し率は、少なくとも1kHzであり得、任意選択で、パルスの繰り返し率は、少なくとも1MHzであり得、及び/又は任意選択で、パルスのデューティサイクルは、1%未満であり得る。
[000155] レーザは、0.01~1J/cmの範囲のフルエンスを有する放射線を放射するように構成され得る。
[000156] エネルギ送達システムは、金属を含む層の少なくとも1つの部分の透明度を高めるために、金属を含む層を他の原子、イオン又は分子で飽和させるためのイオンビームを提供するように構成され得る。
[000157] 方法は、少なくとも1つの部分の修正のためのレーザ照射を使用し得、このレーザ照射は、少なくとも1つの部分を、全フルエンスが0.01J/cm超であり、及び/又はパルス継続時間が10ns未満である連続波及び/又はパルス状イオンビーム若しくはプラズマに局所露光することに置き換えられ得るか又はそれを伴い得る。局所露光によって注入されたイオンは、ホットトラックから出ることがあり、ホットトラックでは、ダイヤモンド相及び/又はDLC相の炭素が生成可能であるようにパルス状加熱及びクエンチングが起こり得る。イオンビームエネルギは、1eV超であり得、任意選択で100eV超であり得る。使用されるイオンは、Cイオン及び/又はB、N、O、Ga、He、Ne、Ar、Kr、Xe等の少なくとも1つを含み得る。方法は、1つ以上の希ガスイオンを使用することを含み得、これは、ガス放出を促進し得、且つ層を追加ドーパントがないままにし得る。
[000158] 方法は、電気的接続を層に接続することを含み得、電気的接続は、層の帯電を防ぐために電圧/電流又は接地の接続を提供するように構成される。例えば、エネルギ送達システムの一部としての基板にイオン又は電子が誘導される場合、方法は、炭素を含む層を電圧源/電流源に接続するか、又は接地することを含み得、これは、層に入射する、フォーカスされた帯電粒子ビームをデフォーカスするか又は偏向させ得る帯電効果を防ぐためである。この偏向効果は、元の(例えば、高消光係数の)層が導体の場合に起こり得る場合がある。
[000159] 方法は、1つ以上の希ガスイオンを使用して、ガス放出を促進して、層を追加ドーパントがないままにすることを含み得る。方法は、イオン又は電子を基板に誘導することを含み得る。
[000160] エネルギ送達システムは、電界ポテンシャルを、金属を含む層と、電界を発生させる電極との間に提供するように構成された陽極酸化システムを含み得る。方法は、層の少なくとも1つの部分を化学的に変換して、少なくとも1つの部分の化学組成を変化させるための反応媒体を提供することを含み得る。
[000161] 方法は、層の少なくとも1つの部分の周囲の層上に保護層及び/又はクリアアウト保護層を堆積させることを含み得る。保護層及び/又はクリアアウト保護層を堆積させることは、陽極酸化前に同じ装置又は別個の装置を使用して実施され得る。
[000162] 方法は、液体塗布システムにより、金属を含む層の少なくとも1つの部分と電極との間に導電性の液体を提供することを含み得る。
[000163] 方法は、基板支持物により、基板の少なくとも一部が導電性液体に接触しないように基板を支持することを含み得る。代替又は追加として、方法は、絶縁層塗布器により、基板の一部と導電性液体との間の接触を防ぐために、基板の少なくとも一部に絶縁層を塗布することを含み得る。方法は、絶縁層を(例えば、陽極酸化プロセスの完了後に)除去することを含み得る。
[000164] 方法は、金属層と電極との間に電界を発生させるために、金属層及び電極にエネルギ源を接続することを含み得る。エネルギ源は、連続的及び/又はパルス状の電圧及び/又は電流を提供するように構成され得る。
[000165] エネルギ源は、金属層がアノードを形成し、電極がカソードを形成するような極性を有する、金属層及び電極に電気的に接続された電圧源を含み得る。
[000166] 方法は、金属を含む層の少なくとも1つの部分を修正するための電気化学的及び/又は光電気化学的陽極酸化を実施することを含み得る。
[000167] 方法は、金属を含む層の上に提供又は形成された保護層の開口部を介した先行リソエッチングプロセスにおいて少なくとも1つの部分を画定することを含み得る。
[000168] 少なくとも1つの部分は、エネルギ送達システムのフォーカスされたビームによって画定され得る。
[000169] 方法は、層の少なくとも1つの部分を化学的、電気化学的及び/又は光電気化学的に変換して、少なくとも1つの部分の化学組成を変化させるために、反応媒体の存在下において、金属を含む層の少なくとも1つの部分を修正することを含み得る。
[000170] 方法は、反応媒体を収容するチャンバを設けることを含み得る。
[000171] チャンバは、放射線及び/又は粒子が、金属を含む層と相互作用することを可能にするように構成され得る。チャンバは、放射線及び/又は粒子がチャンバに入ることを可能にする透明セクションを含み得る。エネルギ送達システムは、チャンバ内に設けられ得る。
[000172] 反応媒体は、ガス及び/又は液体を含み得る。
[000173] 反応媒体は、酸素(O)、酸化物、水素(H)、ホウ素(B)、ホウ化物、炭素(C)、炭化物、窒素(N)、窒化物、塩素(Cl)、塩化物、臭素(Br)、臭化物、フッ素(F)、フッ化物、ヨウ素(I)、ヨウ化物、ケイ素(Si)、ケイ化物、リン(P)、リン化物の少なくとも1つの原子、イオン又は分子を含み得る。
[000174] 金属は、タングステン又は他の任意の適切な金属を含み得る。
[000175] 方法は、反応媒体中の少なくとも1つの原子、イオン又は分子が金属と反応して、少なくとも1つの部分内に新たな化学化合物を形成するように、金属を含む層の化学組成を変化させることを含み得る。
[000176] 方法は、反応媒体中の化学結合を切断するためにUV、DUV及び/又はEUV放射線を送達することを含み得る。
[000177] 方法は、修正中に生成されたデブリ粒子を層の表面から除去するためのデブリ除去システムを設けることを含み得る。デブリ除去システムは、放電、ガス及び液体の流れ並びにデブリを除去するための反応媒体の少なくとも1つを含み得る。
[000178] 方法は、基板にガス及び/又は液体を接触させて、基板から熱を除去するための冷却システムを設けることを含み得る。冷却システムは、エネルギ送達システムによって修正された層の少なくとも一部にガス及び/又は液体を送達するように構成され得る。
[000179] 方法は、基板上に層を堆積させる補助層堆積システムを設けることを含み得る。補助層堆積システムは、炭素又は金属を含む基板及び/又は層、及び/又は基板の他の部分に保護層、電気的絶縁層、BARC及び/又はレジストを堆積させるように構成され得る。
[000180] 方法は、任意選択のBARC及びレジスト層の堆積及びリソツール内での基板のパターニング前に不透明層の少なくとも1つの部分を修正することを含み得る。
[000181] 方法は、層堆積システムを設けることを含み得る。層堆積システムは、層内、例えば炭素を含む層内の少なくとも1つのシード副層の作成のために堆積条件を変化させるように動作可能であり得る。シード副層は、ナノダイヤモンド核生成及び/又はダイヤモンド状炭素(DLC)のためのシード副層として動作するsp3配位炭素を含み得る。シード副層内のsp3配位炭素原子の濃度は、他の副層より高いことができる。
[000182] 方法は、不透明な炭素層に対して四価炭素原子の濃度が相対的に上昇しており、厚さが層より薄い追加層をシード副層として動作するように設けることを含み得る。
[000183] 方法は、層堆積システムを使用して、第1の堆積プロセスで層の下部部分を堆積させることと、第2の堆積プロセスで層の上部部分を堆積させることとを含み得る。層堆積システムは、炭素を含む層の構造修正を使用して、第1の堆積プロセスで堆積された層の下部部分の透明度が高められる一方、第2の堆積プロセスによる修正後に層の上部部分が提供されるように構成され得る。第1及び第2の堆積プロセス(及び任意選択で任意の更なる堆積プロセス)が層堆積システムによって実施され得る。
[000184] 方法は、層除去システムにより、基板から材料を除去することを含み得る。層除去システムは、基板から除去される材料が少なくとも1つのフィーチャの位置及びサイズに対応するように構成されたリソエッチングシステムを含み得る。層除去システムは、化学機械研磨(CMP)装置を含み得る。層除去システムは、アブレーションシステムを含み得る。層及び/又は材料は、補助層と呼ばれ得る。材料は、金属又は炭素を含む層を含み得、層の何れかが基板上に堆積され得るか又は基板の他の任意の部分を含み得る。
[000185] 層除去システムは、基板上の保護層、基板上の電気的絶縁層、基板上のBARC及び/又はレジストの少なくとも1つを少なくとも部分的に除去及び/又は平坦化するように構成され得る。層は、炭素又は金属を含み得、及び/又は炭素又は金属を含む層において修正された炭素又は金属を含み得る。
[000186] 方法は、帯電粒子ビームによってもたらされる電荷の蓄積を回避するために電流源若しくはバイアス電圧源を設けること又は炭素を含む層を接地することを含み得る。
[000187] 方法は、層の少なくとも1つの部分の1つ以上のパラメータを測定し、且つ1つ以上のパラメータに基づいてエネルギ送達システムを制御するように構成されたフィードバック制御システムを使用することを含み得る。
[000188] 方法は、フィードバック制御システムの放射線センサを使用して、層の少なくとも1つの部分から放射線を受けることを含み得る。方法は、フィードバック制御システムを使用して、受けられた放射線に基づいて層の少なくとも1つの部分の1つ以上のパラメータを測定することを含み得る。
[000189] 受けられた放射線は、以下のものの1つ以上を含み得る。
[000190] 層の少なくとも1つの部分から反射又は散乱した、エネルギ送達システムからの放射線、層の少なくとも1つの部分を通して伝搬した放射線であって、半導体デバイス基板を背面から照らすように構成された放射線源から放射された放射線、エネルギ送達システムからの放射線及び/又は粒子により、層の部分において励起された放射線並びにエネルギ送達システムによって修正された層の部分と実質的に重なり合っているスポットに誘導され、スポットから反射及び/又は散乱した、補助光源からの放射線。
[000191] 方法は、エネルギ送達システムを使用して、層の少なくとも1つの部分の透明度を層の全体厚さ未満の深さまで修正するための放射線及び/又は粒子を放射することを含み得る。
[000192] 方法は、基板上に層を堆積させる層堆積システムを使用することを含み得る。
[000193] 方法は、層堆積システムを使用して、基板上に層の第1の副層を堆積させることを含み得る。方法は、エネルギ送達システムを使用して、第1の副層中の少なくとも1つの部分を修正することを含み得る。
[000194] 方法は、層堆積システムを使用して、第1の副層の少なくとも1つの部分の修正後、層の第2の副層を第1の副層上に堆積させることを含み得る。層堆積システムを使用して、任意の数の副層が堆積され得る。各副層は、任意の適切な組成物、例えばドーパント、不純物、他の形態の炭素等を有し得る。
[000195] 方法は、層堆積システムを使用して、層内の少なくとも1つのシード副層の作成のために堆積条件を変化させることを含み得る。シード副層は、ナノダイヤモンド核生成及び/又はダイヤモンド状炭素(DLC)等のためのシード副層として動作する(例えば、増量された)sp3配位炭素を含み得る。
[000196] 方法は、層堆積システムを使用して、層の上面において少なくとも1つのシード副層を堆積させることを含み得る。方法は、最上層の一部分をシード副層に変換する手段を含み得る。
[000197] 方法は、デブリ除去システムを使用して、修正中に生成されたデブリ粒子を層の表面から除去することを含み得る。
[000198] デブリ除去システムを使用することは、放射線源を使用して、層の少なくとも1つの部分の修正中にアブレーションプルーム内に形成されたデブリ粒子に照射する放射線を放射して、アブレーションプルーム内のデブリ粒子のサイズ及び/又はデブリ粒子の数を低減することを含み得る。
[000199] 方法は、(例えば、放電器によって発生する)放電を使用して、層の少なくとも1つの部分の修正中、層の少なくとも1つの部分の上方にプラズマを発生させることを含み得る。プラズマは、粒子を捕捉するように構成され得る(例えば、粒子は、アブレーションプルーム内で発生し、及び/又は帯電する可能性があり、それらの再堆積を妨げる可能性がある)。代替又は追加として、方法は、層を含む基板にバイアスをかけることにより、電界が、アブレーションプルーム内に発生した帯電粒子を基板からはね返し得るようにすること、及び/又は帯電粒子の再堆積を妨げ得るようにすることを含み得る。
[000200] 方法は、デブリ除去システムを使用して、半導体デバイス基板を傾斜させて、デブリ粒子が重力下で層から離され得るようにすることを含み得る。
[000201] 方法は、デブリ除去システムを使用して、層の表面に除去可能層を塗布することを含み得る。デブリ粒子は、除去可能層上に収集され得る。方法は、デブリ除去システムを使用して、層の少なくとも1つの部分の修正後に除去可能層を除去することを含み得る。
[000202] 方法は、デブリ除去システムを使用して、エネルギ送達システムが放射線及び/又は粒子を放射する前に層の少なくとも1つの部分の位置において除去可能層を除去することを含み得る。
[000203] 方法は、デブリ除去システムを使用して、層の少なくとも1つの部分の近傍に反応媒体を設けることを含み得、例えばそれによりアブレーションプルーム内の材料の反応の生成物のみが実質的に揮発性又は可溶性であり得る。反応媒体は、ガス又は液体であり得る。反応媒体は、放射線及び/又は粒子に対して透明であり得る。活性化エネルギは、プルーム内の高温により及び/又は放射線及び/又は粒子による直接光励起により提供され得る。反応媒体は、プラズマプルーム内の蒸気又は粒子を揮発性又は可溶性の形態に変換し得、これは、その後、拡散及び/又は流れによって除去され得る。
[000204] 方法は、液体を保持するように構成されたチャンバを設けて、半導体デバイス基板を少なくともエネルギ送達システムによる放射線及び/又は粒子の放射中に液体に少なくとも部分的に浸漬することを含み得る。
[000205] 方法は、液膜塗布器を使用して、エネルギ送達システムが放射線及び/又は粒子を放射する前に層の表面に液膜を塗布することを含み得る。
[000206] 方法は、光学系を使用して、少なくとも1つのフィーチャに関連する情報を測定するために、光信号を送出して層の少なくとも1つの部分を透過させることを含み得る。
[000207] 方法は、基板アライメントシステムを使用して、層の少なくとも1つの部分を通して受けられた戻り光信号に基づいて、少なくとも1つのフィーチャに関連する情報を測定することを含み得る。
[000208] 方法は、基板アライメントシステムを使用して、基板がアライメントされているかどうかを判定するために、少なくとも1つのフィーチャの存在、位置及び方位の少なくとも1つを測定することを含み得る。
[000209] 方法は、基板アライメントシステムを使用して、基板とリソグラフィ装置又はリソグラフィツールとの間の相対的な位置付けを制御して、それらの中での基板のアライメントを行うことを含み得る。
[000210] 本開示の一例によれば、コンピュータプログラムが提供される。コンピュータプログラムは、少なくとも1つのプロセッサで実行されたとき、本開示の何れかの例による方法を実施するように装置を制御することを少なくとも1つのプロセッサに行わせることができる命令を含み得る。
[000211] 本開示の一例によれば、キャリアが提供される。キャリアは、本開示の何れかの例のコンピュータプログラムを収容し得る。キャリアは、電子信号、光信号、無線信号、非一時的コンピュータ可読記憶媒体等の1つであり得る。
[000212] 本開示の何れかの例、態様又は実施形態の少なくとも1つの特徴は、本開示の何れかの例、態様又は実施形態の何れかの対応する特徴を置き換え得る。本開示の何れかの例、態様又は実施形態の少なくとも1つの特徴は、本開示の他の何れかの例、態様又は実施形態と組み合わされ得る。
[000213] 以下では、添付の概略図面を参照して、本開示の実施形態をあくまで例として説明する。
[000214]リソグラフィ装置の概略的概要を示す。 [000215]リソグラフィセルの概略的概要を示す。 [000216]基板内の層のアライメントを支援するアライメントマークを含む基板の一部の概略図を示す。 [000217]本開示の一例による、基板における少なくとも1つのフィーチャに関連する情報を測定する処理の様々なステップ中の基板の一部の概略図を示す。 [000217]本開示の一例による、基板における少なくとも1つのフィーチャに関連する情報を測定する処理の様々なステップ中の基板の一部の概略図を示す。 [000217]本開示の一例による、基板における少なくとも1つのフィーチャに関連する情報を測定する処理の様々なステップ中の基板の一部の概略図を示す。 [000218]本開示の一例によるエネルギ送達システムの概略的概要を示す。 [000219]本開示の一例による基板アライメントシステムの概略的概要を示す。 [000220]本開示の一例による、基板における少なくとも1つのフィーチャに関連する情報を測定するための装置の概略的概要を示す。 [000221]本開示の一例による、表面からデブリ粒子を除去するためのデブリ除去システムの概略的概要を示す。 [000222]本開示の一例による、基板上に少なくとも1つの層を堆積させる層堆積システムの概略的概要を示す。 [000223]本開示の一例による、基板における少なくとも1つのフィーチャに関連する情報を測定するための方法の一部の概略的概要を示す。 [000224]フィードバック制御装置の概略的概要を示す。 [000225]本開示の一例による、基板を修正することと、基板における少なくとも1つのフィーチャに関連する情報を測定することとを行うシステムの概略的概要を示す。 [000226]図12に示されたシステムを使用して基板を修正するプロセスの一例のステップの概略図を示す。 [000226]図12に示されたシステムを使用して基板を修正するプロセスの一例のステップの概略図を示す。 [000226]図12に示されたシステムを使用して基板を修正するプロセスの一例のステップの概略図を示す。 [000226]図12に示されたシステムを使用して基板を修正するプロセスの一例のステップの概略図を示す。 [000226]図12に示されたシステムを使用して基板を修正するプロセスの一例のステップの概略図を示す。 [000227]本開示の一例による、基板を修正することと、基板における少なくとも1つのフィーチャに関連する情報を測定することとを行うシステムの概略的概要を示す。 [000228]図14に示されたシステムを使用して基板を修正するプロセスの一例のステップの概略図を示す。 [000228]図14に示されたシステムを使用して基板を修正するプロセスの一例のステップの概略図を示す。 [000228]図14に示されたシステムを使用して基板を修正するプロセスの一例のステップの概略図を示す。 [000228]図14に示されたシステムを使用して基板を修正するプロセスの一例のステップの概略図を示す。 [000228]図14に示されたシステムを使用して基板を修正するプロセスの一例のステップの概略図を示す。 [000228]図14に示されたシステムを使用して基板を修正するプロセスの一例のステップの概略図を示す。 [000228]図14に示されたシステムを使用して基板を修正するプロセスの一例のステップの概略図を示す。
[000229] 本明細書では、「放射」及び「ビーム」という用語は、あらゆるタイプの電磁放射を包含するように使用され、そのような電磁放射には、紫外線(例えば、365、248、193、157又は126nmの波長を有する)、EUV(例えば、約5~100nmの範囲の波長を有する極端紫外線)が含まれる。
[000230] 本明細書で使用される「レチクル」、「マスク」又は「パターニングデバイス」という用語は、特に断らない限り、基板のターゲット部分に作成されるべきパターンに対応するパターン化された断面を、入射する放射ビームに提供するために使用可能な一般的なパターニングデバイスを意味するものとして広義に解釈され得、これに関連して「ライトバルブ」という用語も使用される場合がある。古典的なマスク(透過型又は反射型のマスク;バイナリマスク、位相シフトマスク、ハイブリッドマスク等)に加えて、他のそのようなパターニングデバイスの例として次のものがある。
- プログラマブルミラーアレイ。そのようなミラーアレイの詳細については、参照によって本明細書に組み込まれる米国特許第5,296,891号及び同第5,523,193号に示されている。
- プログラマブルLCDアレイ。そのような構造の一例については、参照によって本明細書に組み込まれる米国特許第5,229,872号に示されている。
[000231] 図1は、リソグラフィ装置LAを概略的に示す。リソグラフィ装置LAは、放射ビームB(例えば、UV放射、DUV放射又はEUV放射)を調節するように構成された(イルミネータとも呼ばれる)照明システムILと、パターニングデバイス(例えば、マスク)MAを支持するように構築されて、特定のパラメータに従ってパターニングデバイスMAを正確に位置決めするように構成された第1のポジショナPMに接続された支持構造(例えば、マスクテーブル)MTと、基板(例えば、レジストコートウェーハ)Wを保持するように構築されて、特定のパラメータに従って基板を正確に位置決めするように構成された第2のポジショナPWに接続された基板テーブル(例えば、ウェーハテーブル)WTと、パターニングデバイスMAによって放射ビームBに付与されたパターンを基板Wの(例えば、1つ以上のダイを含む)ターゲット部分Cに投影するように構成された投影システム(例えば、屈折投影レンズシステム)PSとを含む。
[000232] 稼働中、イルミネータILは、放射源SOから(例えば、ビーム送達システムBDを介して)放射ビームを受ける。照明システムILは、放射の誘導、整形及び制御のために様々なタイプの光学コンポーネントを含み得、例えば屈折型、反射型、磁気型、電磁型、静電型若しくは他のタイプの光学コンポーネント又はこれらの任意の組み合わせを含み得る。イルミネータILは、放射ビームBがパターニングデバイスMAの面において所望の空間強度分布及び角度強度分布をその断面に有するように、放射ビームBを調節するために使用され得る。
[000233] 本明細書で使用される「投影システム」PSという用語は、様々なタイプの投影システムを包含するものとして広義に解釈されたい。そのようなシステムには、使用されている露光放射の必要に応じて又は他の要因(例えば、液浸液の使用又は真空の使用)の必要に応じて、屈折型、反射型、反射屈折型、アナモルフィック型、磁気型、電磁型及び静電光学型のシステム又はこれらの任意の組み合わせが含まれ得る。本明細書で「投影レンズ」という用語が使用されている場合、それらは、全てより一般的な用語である「投影システム」PSと同義であると見なされ得る。
[000234] リソグラフィ装置は、投影システムと基板との間の空間を埋めるように、基板の少なくとも一部分が、屈折率が比較的高い液体(例えば、水)で覆われ得るタイプであり得、これは、液浸リソグラフィとも呼ばれる。液浸技術の詳細については、参照によって本明細書に組み込まれる米国特許第6,952,253号及び国際公開第99-49504号に示されている。
[000235] リソグラフィ装置LAは、2つ(デュアルステージ)以上の基板テーブルWTを有するタイプであり得、例えば2つ以上の支持構造MT(図示せず)を有するタイプであり得る。そのような「マルチステージ」の機械では、追加のテーブル/構造が並行して使用され得、即ち1つ以上のテーブルがパターニングデバイスMAのデザインレイアウトを基板Wに露光させるために使用されている間、1つ以上の他のテーブルで準備ステップが実施され得る。
[000236] 稼働中、放射ビームBは、支持構造(例えば、マスクテーブルMT)上に保持されたパターニングデバイス(例えば、マスクMA)に入射し、パターニングデバイスMAによってパターン形成される。放射ビームBは、マスクMAを横断した後、投影システムPSを通過し、投影システムPSは、ビームを基板Wのターゲット部分C上にフォーカスさせる。第2のポジショナPW及び位置センサIF(例えば、干渉デバイス、リニアエンコーダ、2Dエンコーダ又は静電容量センサ)の支援により、基板テーブルWTは、正確に動かされ得、例えば放射ビームBの経路内に異なる複数のターゲット部分Cを位置決めするように正確に動かされ得る。同様に、マスクMAを放射ビームBの経路に対して正確に位置決めするために、第1のポジショナPMと、場合により別の位置センサ(これは、図1に明示されていない)とが使用され得る。マスクMA及び基板Wは、マスクアライメントマークM1、M2及び基板アライメントマークP1、P2を使用してアライメントされ得る。基板アライメントマークは、図示されたように専用ターゲット部分を占有するが、ターゲット部分間の空間に配置され得る(これらは、スクライブレーンアライメントマークと呼ばれる)。
[000237] 図2に示されるように、リソグラフィ装置LAは、リソグラフィセルLC(リソセル又は(リソ)クラスタと呼ばれることもある)の一部をなし得、リソグラフィセルLCは、基板Wに対して露光前プロセス及び露光後プロセスを実施するための装置も含むことが多い。従来、そのような装置として、レジスト層を堆積させるスピンコータSC、露光したレジストを現像するデベロッパDE、冷却プレートCH及びベークプレートBK(これらは、例えば、基板Wの温度を調節するものであり、それは、例えば、レジスト層中の溶剤を調節するために行われる)がある。基板ハンドラ(即ちロボット)ROが基板Wを入出力ポートI/O1、I/O2からピックアップし、それらの基板Wを様々なプロセス装置間で動かし、それらの基板Wをリソグラフィ装置LAのローディングベイLBまで送達する。リソセル内のデバイスは、まとめてトラックと呼ばれることも多く、典型的にはトラック制御ユニットTCUの管理下にあり、トラック制御ユニットTCU自体は、監視制御システムSCSによって制御され得、監視制御システムSCSは、リソグラフィ装置LAも(例えば、リソグラフィ制御ユニットLACUを介して)制御し得る。
[000238] リソグラフィ装置LAによって露光される基板Wが正確且つ確実に露光されるために、基板を検査して、パターン形成された構造の特性、例えば連続する層間のオーバーレイエラー、線の太さ、クリティカルディメンジョン(CD)等を測定することが望ましい。そのため、検査ツール(図示せず)がリソセルLCに含まれ得る。エラーが検出された場合、例えば、連続する基板の露光又は基板Wに対して実施されるべき他のプロセスステップに対する調節が行われ得、これは、特に同じバッチ又はロットの他の基板Wが引き続き露光又はプロセスされる前に検査が行われる場合に行われ得る。
[000239] メトロロジ装置と呼ばれることもある検査装置は、基板Wの特性を測定するために使用され、特に異なる複数の基板Wの特性がどのようにばらつくか、又は同じ基板Wの異なる複数の層に関連付けられた特性が層ごとにどのようにばらつくかを測定するために使用される。検査装置は、代わりに、基板W上の欠陥を識別するように構築され得、例えばリソセルLCの一部分であり得るか、又はリソグラフィ装置LAに組み込まれ得るか、又はスタンドアロン装置であり得る。検査装置は、潜像(露光後のレジスト層内の像)に関する特性、又は半潜像(露光後ベーク工程PEB後のレジスト層内の像)に関する特性、又は現像されたレジスト像(レジストの露光部分又は非露光部分が除去されている)に関する特性、又は更に(エッチング等のパターン転写工程後の)エッチングされた像に関する特性を測定し得る。
[000240] リソグラフィ装置LAは、パターンを基板上に正確に複写するように構成されている。適用されるフィーチャの位置及び寸法は、特定の公差の範囲内でなければならない。オーバーレイエラー(「オーバーレイ」と呼ばれることが多い)に起因する位置エラーが発生することがある。オーバーレイは、第1の露光中の第1のフィーチャを第2の露光中の第2のフィーチャに対して配置する際のエラーである。リソグラフィ装置は、パターニング前に各ウェーハを基準に対して正確にアライメントすることにより、オーバーレイエラーを最小化する。これは、アライメントセンサを使用して基板上のアライメントマークの位置を測定することによって行われる。アライメント処理の詳細については、参照によって本明細書に組み込まれる米国特許出願公開第20100214550号に見出すことができる。パターン寸法(CD)エラーは、例えば、基板がリソグラフィ装置のフォーカス面に対して正確に位置決めされていない場合に発生することがある。このようなフォーカス位置エラーは、基板表面の非平坦性に関連している場合がある。リソグラフィ装置は、レベルセンサを使用してパターニング前の基板表面のトポグラフィを測定することにより、このようなフォーカス位置エラーを最小化する。パターニングデバイスが基板上に正確に結像(フォーカス)されるように、順次パターニング中に基板高さ補正が適用される。レベルセンサシステムの詳細については、参照によって本明細書に組み込まれる米国特許出願公開第20070085991号に見出すことができる。
[000241] IC製造中、リソグラフィ装置LA及びメトロロジ装置MTに加えて、他のプロセス装置も使用され得る。エッチングステーション(図示せず)は、パターンがレジストに露光された後の基板をプロセスする。エッチングステーションは、パターンをレジストから、レジスト層の下にある1つ以上の層に転写する。典型的には、エッチングは、プラズマ媒体を塗布することに基づく。局所エッチング特性は、例えば、基板の温度制御を行うこと又は電圧制御リングを使用してプラズマ媒体を誘導することによって制御され得る。エッチング制御の詳細については、参照によって本明細書に組み込まれる国際特許出願公開国際公開第2011081645号及び米国特許出願公開第20060016561号に見出すことができる。
[000242] ICの製造中、リソグラフィ装置又はエッチングステーション等のプロセス装置を使用して基板をプロセスすることのプロセス状態は、フィーチャの特性が特定の制御限度内にとどまるように安定を維持し得る。プロセスの安定性は、ICの機能部分のフィーチャ、プロダクトフィーチャに関係する場合がある。プロセスを安定させるためにプロセス制御機能を適切に配備しなければならない。プロセス制御は、プロセスデータのモニタリング及びプロセス補正手段の実施(例えば、プロセスデータの特性に基づくプロセス装置の制御)を含む。プロセス制御は、メトロロジ装置MTによる周期的な測定に基づき得、これは、「高度プロセス制御」と称されることが多い(APCとも更に称される)。APCの詳細については、参照によって本明細書に組み込まれる米国特許出願公開第20120008127号に見出すことができる。典型的なAPCの実施は、基板上のメトロロジフィーチャを周期的に測定して、1つ以上のプロセス装置に関連付けられるドリフトをモニタリングして補正することを含む。メトロロジフィーチャは、プロダクトフィーチャのプロセス変動に対する応答を反映する。プロセス変動に対するメトロロジフィーチャの感度は、プロダクトフィーチャと比較して異なる場合がある。その場合、いわゆる「デバイスへのメトロロジ」オフセット(MTDとも更に称される)が測定され得る。プロダクトフィーチャの挙動を模倣するために、メトロロジターゲットは、セグメント化フィーチャ、アシストフィーチャ又は特定のジオメトリ及び/又は寸法を有するフィーチャを含み得る。慎重にデザインされたメトロロジターゲットは、プロセス変動に対してプロダクトフィーチャと同様に応答しなければならない。メトロロジターゲットデザインの詳細については、参照によって本明細書に組み込まれる国際特許出願公開国際公開第2015101458号に見出すことができる。
[000243] メトロロジターゲットが存在し、及び/又は測定される場所を基板及び/又はパターニングデバイス全体にわたって分散させることは、「サンプリングスキーム」と呼ばれることが多い。典型的には、サンプリングスキームは、関係するプロセスパラメータの予想されるフィンガープリントに基づいて選択され、典型的には、基板上の、プロセスパラメータが変動すると予想される領域では、プロセスパラメータが一定であると予想される領域より高い密度でサンプリングが行われる。更に、実施可能なメトロロジ測定の回数には、リソグラフィプロセスのスループットに対するメトロロジ測定の許容可能な影響に基づく限度がある。スループットに影響を及ぼさないように、及び/又はメトロロジフィーチャに割り当てるレチクル又は基板上の領域が大きくなりすぎないように、サンプリングスキームを慎重に選択することがリソグラフィプロセスを正確に制御するために重要である。メトロロジターゲットの位置決め及び/又は測定の最適化に関連する技術は、「スキームの最適化」と呼ばれることが多い。スキームの最適化の詳細については、参照によって本明細書に組み込まれる国際特許出願公開国際公開第2015110191号及び欧州特許出願公開第16193903.8号に見出すことができる。
[000244] 図3は、フィーチャを含む幾つかの中間層12を含む基板10の一部分を示し、フィーチャは、この例では、グレーティング等のようなターゲットアライメントマーク14の形態である。ターゲットアライメントマーク14は、中間層12の1つにエッチングされる。ターゲットアライメントマーク14を含む層12の上に幾つかの追加中間層12が堆積される。これらの追加中間層12の上に更なる中間層12が堆積され、これは、この例では、窒化物層16の形態である。ターゲットアライメントマーク14と均等な位置から規定の横方向距離「X」にある窒化物層16に第1のアライメントマーク18がエッチングされる。
[000245] 窒化物層16上には、炭素を含む層(以下では「炭素層」20と呼ばれる)が堆積され、これは、この例では、炭素ハードマスクの形態である。窒化物層16にエッチングされた第1のアライメントマーク18の形状により、炭素層20が堆積されることにより、第1のアライメントマーク18の垂直上方に(例えば、炭素層20の上面24上に)対応する第2のアライメントマーク22が形成される。第2のアライメントマーク22は、炭素層20の下にある第1のアライメントマーク18と横方向にほぼアライメントされている。規定の横方向距離「X」を使用することにより、炭素層20及び中間層12の下にあるターゲットアライメントマーク14の横方向位置を確定して、炭素層20の上面24に更なるターゲットアライメントマーク26をエッチングすることが可能である。ターゲットアライメントマーク14及び更なるターゲットアライメントマーク26が横方向にほぼアライメントされているため、基板10の各層を間接的にアライメントして、オーバーレイ(OV)28ミスアライメントがあっても最小化されるようにすることが可能である。製造プロセスにおいて、必要に応じて炭素層20上にレジスト層30が堆積され得る。(例えば、ICの構造が小さくなって)OV 28バジェットがよりタイトになると、この間接的なアライメントプロセスでは、各層内の構造が互いに対して適切に横方向にアライメントされるようにするための十分な精度が得られない可能性がある。
[000246] 図4a~4cは、基板10と同様の基板110の一部分を示す。図3に対して、図4a~4cは、それぞれ異なるアライメント処理の3つのステップを示し、この処理は、基板110内の各層間のアライメントを測定する処理及び/又はフィーチャから他の任意の情報を測定する処理である。図4a~4cの各要素は、図3の対応する各要素と類似しているか又は同様であり、図3の参照符号に100を加えた参照符号で示されている。基板110及び基板110内の各層のアライメントを測定するプロセスについては、本明細書において詳述されている。
[000247] (i)第1のアライメントマーク18が窒化物層16にエッチングされ、その後、(ii)その上に炭素層20が堆積され、その後、(iii)更なるターゲットアライメントマーク26が炭素層20にエッチングされる、図3の処理とは対照的に、このようなエッチングステップは、図4a~4cの処理で実施されない。代わりに、図4aに示されるように、(例えば、炭素層120の形態の)炭素層が窒化物層116の上に堆積され、これは、炭素層120の上面124が平坦であり、その中にアライメントマークを全く含まないように行われる。
[000248] あるフィーチャ、この例では幾つかの中間層112の1つにエッチングされたターゲットアライメントマーク114の形態であるフィーチャが少なくとも部分的に炭素層120によって覆い隠され、それにより、ターゲットアライメントマーク114の情報(例えば、位置)を測定する光信号(図4aには示されず)は、ターゲットアライメントマーク114に到達することが炭素層120内での光吸収によって妨げられる。
[000249] (例えば、放射線及び/又は粒子の)ビームを放射するエネルギ送達システム(ここでは示さないが、後述する)が設けられ、ビームは、この例では、レーザビーム132の形態であり、レーザビーム132が炭素層120の少なくとも一部分134に入射したときに部分134の透明度が高くなるように部分134を修正する。図4bに示されるように、レーザビーム132が部分134を修正したことで、部分134の透明度が周囲の炭素層120に比べて高くなる。任意選択で、レーザビーム132と部分134との相互作用で発生したデブリを除去するためのデブリ除去処理又はエッチングステップが実施され得、その結果、図4bに示されるように、部分134の層厚が周囲の炭素層120より薄くなることがある。
[000250] 図4cに示されるように、修正部分134を含む炭素層120の上にレジスト層130が堆積される。アライメントシステム(図示せず)が光信号136を送達するように構成されており、光信号136は、ターゲットアライメントマーク114の位置を測定するために、レジスト層130を通して、部分134を通して、窒化物層116を通して、幾つかの中間層112を通して伝搬することができる。部分134の透明度が高くなったことにより、光信号136は、少なくとも部分的に、炭素層120の未修正領域に比べて少ない吸収量で部分134を通して伝搬することができる。光信号136は、その後、中間層112を通して伝搬し、ターゲットアライメントマーク114を照明する。光信号136は、ターゲットアライメントマーク114から(例えば、反射、散乱及び/又は回折等によって)返され得、返された光信号(図示せず)は、部分134及びレジスト層130を逆に通して伝搬することができる。基板アライメントシステム(図示せず)は、部分134を通して返される光信号を受けるように構成された放射線センサ(図示せず)を含む。この返される光信号の特性(例えば、強度、形成された回折及び/又は干渉パターン、波長等)に基づいて、アライメント測定システムは、ターゲットアライメントマーク114の位置及び/又は方位を測定して、基板110がアライメントされているかどうかを判定し得る。
[000251] 図4a~4cに示された処理では、実施されるエッチングステップの数は、図3に示された処理に比べて少ない。より少ないエッチングステップでのアライメント又は情報測定を可能にすることにより、アライメント/情報の測定にかかる時間を短縮し得、従って製造コストを低減し得る。更に、エッチングプロセスの追加的な部分を回避し得、例えば透明なエッチングストッパ材料をクリアアウトに再充填することが不要であり得る。ターゲットアライメントマーク114の位置が直接測定できるため、基板110の各層における構造間のオーバーレイの精度を高めることが可能であり得る。
[000252] 以下では、部分134を修正するプロセスについて詳細に説明する。炭素は、アモルファス炭素、グラファイト、ダイヤモンド状炭素(DLC)、ダイヤモンド等の幾つかの同素形の形態をとり得る。ICの製造で使用される炭素層120は、一般にアモルファス炭素の形態であり、その消光係数「k」は、UV光、可視光及びIR光の波長に対して0.4を超え得るが、アモルファス炭素は、これら及び他の波長に対して異なる消光係数「k」を有し得ることが理解される。このように消光係数が相対的に高いことの結果として、これら及び/又は他の幾つかの波長で炭素層120が相対的に不透明になり、それにより、ターゲットアライメントマーク114の位置を測定する光信号を、光吸収により、ターゲットアライメントマーク114から返される光信号の信号対ノイズ比が低下して、アライメントの正確な測定のための閾値レベルを下回ることがないように炭素層120に浸透させることができないか、又は少なくとも炭素層120に十分に浸透させることができない。
[000253] 図4aの例では、レーザビーム132は、部分134と相互作用して、炭素層120の構造修正を引き起こし、それにより層120中の炭素の相変化が引き起こされ、これは、その炭素の消光係数の低減につながり得る。レーザビーム132は、アモルファス炭素の構造をダイヤモンド又はDLC寄りに修正するレーザパルスを送達し、ダイヤモンド又はDLCは、波長によっては消光係数kが0.1未満である。このように消光係数が低減されると、部分134の透明度は、ターゲットアライメントマーク114の位置を測定する光信号を、光吸収により、ターゲットアライメントマーク114から返される光信号の信号対ノイズ比が低下して、アライメントの正確な測定のための閾値レベルを下回ることがないように炭素層120に浸透させることができる程度まで、又は少なくとも炭素層120に十分に浸透させることができる程度まで高められる。部分134が、少なくとも部分的に、ダイヤモンド又はDLCを形成するように修正された場合、炭素層120を通したターゲットアライメントマーク114の可視性を高めることが可能である。レーザビーム132を使用して、横方向にターゲットアライメントマーク114とアライメントされた(例えば、ターゲットアライメントマーク114の垂直上方にある)部分134における炭素層120を構造修正することにより、その炭素層120を局所的に周囲の領域の炭素層120より透明にして、アライメントを炭素層120越しに直接行うことを可能にすることができる。
[000254] レーザパルスと、これに関連する急速加熱/冷却及び/又は圧力パルスとの照射により、(ダイヤモンド及びDLCに関連付けられた)sp3配位炭素原子の濃度が上昇し、(アモルファス炭素に関連付けられた)sp2配位炭素原子の濃度が低下する。この構造修正により、炭素層120の価電子帯における電子の濃度及び/又は移動度を低下させて、消光係数を低下させ得る。
[000255] 以下では、部分134を修正するためのレーザシステムの例について詳細に説明する。レーザパルスのピークのエネルギ及び/又は強度は、アモルファス炭素から炭素及びDLCへの変換を引き起こすのに十分な熱及び/又は圧力を発生させることに関連付けられてきた。例えば、(波長が193nmであり、パルス継続時間が20nsであるArFエキシマレーザによって発生するような)深紫外(DUV)波長のナノ秒レーザパルスを使用して、アモルファス炭素を溶融して高度過冷却状態を作り出し得、この状態から炭素の様々な状態を作り出し得る。そのような例は、参照によって本明細書に組み込まれるNarayan et al.,“Research Update: Direct conversion of amorphous carbon into diamond at ambient pressures and temperatures in air”, APL Materials 3, 100702 (2015)で報告されている。Narayanは、超過冷却状態からのクエンチングでナノダイヤモンドの核生成が引き起こされると説明している。Narayanは、ナノダイヤモンドが種晶として動作することにより、高度過冷却状態の炭素からマイクロダイヤモンドが生じることも見出した。
[000256] グラファイトの形態の炭素を構造修正する更なるレーザシステム例では、赤外フェムト秒(fs)レーザシステムは、多結晶グラファイトを4kHzパルストレインにおいて1パルス当たり4J/cmのフルエンスで25fsの558μJのレーザパルスに露光させる。そのような例は、参照によって本明細書に組み込まれるMaia et al.,“Synthesis of diamond-like phase from graphite by ultrafast laser driven dynamical compression”, Scientific Reports 5: 11812 (2015)で報告されている。Maiaによると、この例のレーザシステムは、ダイヤモンド状及び/又はオニオン状の炭素相を搬送する半透明/透明のマイクロメートルサイズの構造を合成したものである。
[000257] 図5は、基板110の炭素層120の少なくとも一部分134を修正して、部分134の透明度を高めるために、放射線及び/又は粒子をビームの形態で放射するように構成されたエネルギ源142を含むエネルギ送達システム140を示し、ビームは、この例では、レーザビーム132の形態である。透明度を修正することにより、本明細書に記載のように、光信号が修正部分134に浸透して、基板110のターゲットアライメントマーク114を照明することが可能になり得る。
[000258] 図6は、ターゲットアライメントマーク114から位置、方位等の情報を復元する基板アライメントシステム150を示す。基板アライメントシステム150は、光学系152を含み、光学系152は、炭素層120の修正部分134(図6では修正部分の境界を破線で示す)に浸透する光信号136を放射して、ターゲットアライメントマーク114から光学系152に戻り光信号154が戻り得るようにターゲットアライメントマーク114を照射する。戻り光信号154は、反射、散乱及び/又は回折した光信号の形態でターゲットアライメントマーク114からの位置、方位等の情報をエンコードし得る。光学系152は、戻り光信号154の特性を測定して、ターゲットアライメントマーク114に関連する情報、例えばターゲットアライメントマーク114(及び/又は他の任意のフィーチャ又はアライメントマーク)の位置及び方位を測定し、それにより基板110のアライメントが測定され得る。基板アライメントシステム150及び/又は光学系152の一例として、アライメントセンサ、例えばSMart Alignment Sensor Hybrid(SMASH)センサがあり、これについては、米国特許第8,767,183B2号で参照され、米国特許第6,961,116号に関連して説明されており、これらは、両方とも参照によって本明細書に組み込まれる。SMASHセンサは、1つの検出器及び異なる4つの波長を有する自己参照干渉計を含み、ソフトウェアを使用してフィーチャの位置等の情報を抽出する。情報の測定には任意の適切なアライメントセンサが使用され得ることが理解される。基板アライメントシステム150及び/又は光学系152は、反射、散乱及び/又は回折した光信号を受ける放射線センサ(図示せず)を含み得る。エネルギ送達システム140、基板アライメントシステム150及び/又は光学系152は、少なくとも1つの部分134の修正中に生成されるラマン信号を測定するラマン検出システム(図示せず)又は他の任意の適切である、少なくとも1つの部分134の修正前、修正中又は修正後にその部分134から放射される放射線の特性を測定する計測器を含み得る。
[000259] 図7は、基板110における少なくとも1つのフィーチャ(例えば、ターゲットアライメントマーク114)に関連する情報を測定するための装置160を示す。装置160は、図5及び6にあるパートを含む。この例では、基板110のターゲットアライメントマーク114は、少なくとも部分的に炭素層120によって覆い隠され、それにより、ターゲットアライメントマーク114に関連する情報を測定するために光学系152から提供される光信号136は、最初に、ターゲットアライメントマーク114に到達することを妨げられる。装置160は、エネルギ送達システム140を含み、エネルギ送達システム140は、炭素層120の少なくとも1つの部分134を修正して、その透明度を高める。エネルギ送達システム140は、レーザビーム132を放射するエネルギ源142を含み、それにより、光信号136の少なくとも一部は、炭素層120の少なくとも1つの部分134を通して伝搬することができる。少なくとも1つの部分134の修正後、ターゲットアライメントマーク114の可視性が高まり、光学系152が、ターゲットアライメントマーク114に関連する情報(位置、方位等)を測定するためにターゲットアライメントマーク114からの戻り光信号154の特性を測定することが可能になる。
[000260] 図8は、炭素層120の修正中に発生したデブリ粒子172を炭素層120の表面124から除去するためのデブリ除去システム170を示す。レーザビーム132と炭素層120との相互作用の結果として、基板124の上方にアブレーションプルーム174が形成される場合があり、これは、例えば、レーザビーム132のパラメータ(例えば、パルスエネルギ、パルス継続時間、放射フルエンス等)がアブレーション閾値を上回る場合である。図8は、概略図に過ぎず、使用され得る幾つかの可能なデブリ除去システム170を示す。図では、1つの基板110のみが、可能なデブリ除去システム170のそれぞれに対して修正部分134及び対応するターゲットアライメントマーク114を有して示されているが、これは、あくまで便宜的であり、図示されたデブリ除去システム170の1つ以上が1つの基板110に対して提供され得ることが理解される。
[000261] 代替又は追加として、デブリ除去システム170は、炭素層120の少なくとも1つの部分134の修正中にアブレーションプルーム174内に形成されたデブリ粒子172に照射する放射線177を放射するレーザ等の放射線源176(図示せず)を含み、それにより、アブレーションプルーム174内のデブリ粒子172のサイズ及び/又はデブリ粒子172の数が低減される。放射線源176は、既に説明されたエネルギ源142とは別個であるか、エネルギ源142の一部であるか、又はエネルギ源142と同じであり得る。
[000262] 代替又は追加として、デブリ除去システム170は、炭素層の少なくとも1つの部分134の修正中に少なくとも1つの部分134の上方にプラズマ180を発生させる放電器178を(例えば、放射線源176に追加で又は放射線源176とは別個に)含む。プラズマ180は、帯電したデブリ粒子172を捕捉する。
[000263] 代替又は追加として、デブリ除去システム170は、基板支持物182を含み、基板支持物182上に基板110が配置及び/又は保持され得る。基板支持物182は、重力下で及び/又はデブリ粒子172を除去する任意の適切なツールでデブリ粒子172が炭素層120から離され得るように可動及び/又は傾斜可能である。例えば、基板支持物182は、炭素層120の表面124が下向きになるように基板110を傾斜させ得る。
[000264] 代替又は追加として、デブリ除去システム170は、炭素層120の表面124に除去可能層186を塗布するように構成された除去可能層堆積システム184(例えば、スピンコータ等)を含む。デブリ粒子172は、除去可能層の除去に適切なタイミングにおいて任意の適切な方法で除去されるように除去可能層186上に収集され得る。代替又は追加として、デブリ除去システム170は、液膜塗布器185の形態の除去可能層堆積システム184を含み、液膜塗布器185は、ビーム132と部分134との相互作用で発生したデブリ粒子172を収集又は回収するために、液膜187又は他の任意の形態の液体を炭素層120の表面124に塗布するように構成されている。代替又は追加として、デブリ除去システム170は、炭素層120を少なくとも部分的に浸漬又は包囲する液体又はガスを保持するチャンバ188を含み得る。
[000265] 代替又は追加として、デブリ除去システム170は、反応媒体189を含み、反応媒体189は、修正で発生したデブリ粒子172と反応媒体189とを反応させるためにチャンバ188内に保持され得るガス及び/又は液体の形態であり得る。揮発性又は可溶性である場合がある反応の生成物の除去は、その後、例えば、基板支持物182を動かし、及び/又は傾斜させることにより、又は他の任意の適切な方法、例えば流体又はガス流をチャンバ188内に通すこと又は基板110の上方に通すこと等により行われ得る。発生したデブリ粒子172は、炭素層172からデブリ粒子172を除去する任意の適切な方法で処理され得る。
[000266] 図9は、基板110上に少なくとも1つの層を堆積させる層堆積システム190を示す。堆積システム190は、スピンコータ又は他の任意の適切な堆積システムを含み得る。堆積システム190は、リソグラフィ装置又はツール(図示せず)又は他の任意の適切な機器の一部をなし得る。層堆積システム190は、エネルギ送達システム140が、部分134を修正するためにビーム132を放射する前、放射している間又は放射した後の1つ以上において、基板110上に炭素層120を堆積させるように構成され得る。例えば、図示された例では、層堆積システム190は、基板110上に第1の炭素副層120aを堆積させ、その後、エネルギ送達システム140を使用して、炭素層120の少なくとも1つの部分134が修正され得、その後、層堆積システム190を使用して、基板110上に第2の炭素副層120bが堆積され得る。従って、炭素層120は、図9に示されるように、その厚み全体の一部のみが修正され得る。炭素層120の1つ以上の炭素層120が少なくとも1つの修正部分134を含むように、任意の数の炭素層120が堆積され得、それらの炭素層120の何れかが修正され得ることが理解される。炭素層120の各層は、炭素層120の全体厚さの副層と見なされ得る。
[000267] 代替又は追加として、堆積システム190は、表面124を化学的及び/又は機械的に研磨及び/又は平坦化する化学機械研磨装置(CMP)192を含むか又はそれを付随する。CMP 192は、デブリ粒子172の除去にも使用可能である。層堆積システム190を使用して、他の層(例えば、炭素以外の様々な組成又は材料を有する層)が基板110に堆積され得、及び/又はドーパント(ホウ素、タングステン、窒素及び/又は他の任意のドーパント等)が炭素又は他の任意の材料とともに基板110に堆積され得る。層堆積システム190は、炭素層120(例えば、炭素層124の表面124)に少なくとも1つのシード層(図示せず)を作成するために堆積条件を変えるように動作可能であり得る。シード層は、ナノダイヤモンド核生成及び/又はダイヤモンド状炭素(DLC)のためのシード層として動作するために、濃度が10%超、好ましくは50%超のsp3配位炭素原子を含み得る。例えば、層堆積システム190は、基板110上に初期炭素層120を堆積し得、その後、層堆積システム190は、初期炭素層120の上において、sp3配位炭素等を含むシード炭素層120を堆積し得る。シード炭素層120は、任意の適切な方法で設けられ得、例えばプラズマ支援蒸着システム等で設けられ得る。
[000268] 図10は、半導体デバイス基板110における少なくとも1つのフィーチャ(例えば、ターゲットアライメントマーク114)に関連する情報を測定するための方法200の各パートを示し、ターゲットアライメントマーク114は、少なくとも部分的に炭素層120によって覆い隠され、それにより、ターゲットアライメントマーク114に関連する情報を測定するための光信号136は、ターゲットアライメントマーク114に到達することを妨げられる。方法200の第1のステップ202は、エネルギ送達システム140が放射線及び/又は粒子をビーム132の形態で放射することを含み、ビーム132は、炭素層120の少なくとも1つの部分134に入射すると、部分134を修正して、その透明度を高める。第2のステップ204では、ビーム132の形態の放射線及び/又は粒子が炭素層120の少なくとも1つの部分134を修正するように部分134に入射し、ターゲットアライメントマーク114に関連する情報を測定する光信号136の少なくとも一部は、炭素層120の少なくとも1つの部分134を通して伝搬することができる。第3のステップ206では、光学系152は、ターゲットアライメントマーク114に関連する情報を測定する光信号136を放射する。第4のステップ208では、光信号136は、修正された少なくとも1つの部分134に浸透し、少なくとも部分的に部分134を通して伝搬して、ターゲットアライメントマーク114を照射する。第5のステップ210では、ターゲットアライメントマーク114に関連する情報は、少なくとも1つの部分134を通して光学系152に戻される(例えば、光信号136は、ターゲットアライメントマーク114により、戻り光信号154の形態で反射、散乱及び/又は回折され得る)。第6のステップ212では、基板アライメントシステム150は、戻り光信号152の少なくとも1つの特性(例えば、強度、波長、干渉パターン等)を使用して、ターゲットアライメントマーク114に関連する情報(例えば、位置、方位等)を測定し得る。
[000269] 図11は、制御ユニット222を含むフィードバック制御システム220を示し、制御ユニット222は、炭素層120の少なくとも1つの部分134の1つ以上のパラメータ(例えば、寸法、透明度等)を測定し、且つ1つ以上のパラメータに基づいてエネルギ送達システム140を制御するように構成されている。代替又は追加として、フィードバック制御システム220は放射線センサ224を含み、放射線センサ224は、炭素層120の少なくとも1つの部分134から放射線226を受けるように構成されている(例えば、放射線226は、部分134の修正中又は修正後にレーザビーム132によって放射され得る)。フィードバック制御システム220は、受けられた放射線226に基づいて炭素層120の少なくとも1つの部分134の1つ以上のパラメータを測定するように構成されている。更に、フィードバック制御システム220は、(例えば、部分134の修正の度合いを制御するために)エネルギ送達システム140によって堆積されるエネルギの量を、制御ユニット222を介して制御し得る。受けられた放射線226は、(例えば、部分134から反射、散乱、回折等が行われた)エネルギ源142又は他の任意の放射線源及び/又は粒子源から抽出され得る。代替又は追加として、受けられた放射線226は、更なる放射線源、例えばバックライト230によって生成された放射線(及び/又は粒子)228を含み、放射線228は、炭素層120の少なくとも1つの部分134を通して伝搬し、基板110を背面から照らすように構成された放射線源226から放射されている。炭素層120は、放射線228を少なくとも部分的にブロックし得、部分134が修正されると、放射線センサ224は、(部分134の透明度の向上に起因する)放射線228のレベルの上昇を検出し、部分134の修正に使用されている放射線及び/又は粒子の量を制御したり、減らしたり、ゼロにしたりするように制御ユニット222経由でエネルギ送達システム140を促し得る。
[000270] 上述の各例は、炭素層120を修正するための様々な装置、システム及び方法を参照している。これらの装置、システム及び方法の少なくとも1つの原理は、基板の他の層、例えばIC及び金属を含む他の半導体デバイスの製造で使用される基板の他の層を修正することにも等しく適用可能又は同様に適用可能であり得、これは、金属層に伝搬する化学組成変化が、炭素層に伝搬する構造(相)変化に対応し得る場合である。以下の例では、基板310の金属層320を修正することに関して、装置、システム及び方法の説明を行う。
[000271] 図12は、基板310の金属層320を修正するシステム300を示す。上述の例の装置、システム及び方法と比較して、関連する場合のシステム300の類似又は同様の特徴は、100又は200を加えられた参照符号を含む。システム300は、エネルギ送達システム340を含み、エネルギ送達システム340は、この例では、金属層320の少なくとも1つの部分334に照射されるレーザビーム332を送達するように構成されている。
[000272] 図7の例の装置160と同様に、図12の例のシステム300は、基板310における少なくとも1つのフィーチャ(例えば、ターゲットアライメントマーク314)に関連する情報を測定するための装置360を含む。図7の装置160に存在する装置360の類似又は同様の特徴は、200を加えられた参照符号に関連付けられている。装置360は、図5及び6にあるパートを含み、図12は、図5及び6にある対応する特徴を含み、それらは、200を加えられた関連する参照符号を有する。この例では、基板310のターゲットアライメントマーク314は、少なくとも部分的に金属層320によって覆い隠され、それにより、ターゲットアライメントマーク314に関連する情報を測定するために、基板アライメントシステム350の光学系352(例えば、アライメントセンサ等)から提供される光信号336は、最初に、ターゲットアライメントマーク314に到達することを妨げられる。装置360は、エネルギ送達システム340を含み、エネルギ送達システム340は、金属層320の少なくとも1つの部分334を修正して、その透明度を高める。エネルギ送達システム340は、レーザビーム332を放射するエネルギ源342を含み、それにより、光信号336の少なくとも一部は、金属層320の少なくとも1つの部分334を通して伝搬することができる。少なくとも1つの部分334の修正後、少なくとも1つの部分334を通したターゲットアライメントマーク314の可視性が高まり、光学系352が、ターゲットアライメントマーク314に関連する情報(位置、方位等)を測定するためにターゲットアライメントマーク314からの戻り光信号354の特性を測定することが可能になる。
[000273] 基板310は、チャンバ388内に設けられ、チャンバ388は、液体又はガス(例えば、酸素、酸素を豊富に含むガス等)のような反応媒体389を収容するように構成可能であり、反応媒体389は、金属層320の反応(例えば、酸化等)の発生等を可能にするように構成されている。少なくとも1つの部分334は、反応媒体389内において、少なくとも1つの部分334の化学組成が(例えば、酸化されること、酸素ベースの化合物を形成すること等によって)変化するように照射される。
[000274] 反応媒体389が金属層320の酸化を引き起こすように構成されている例では(酸化は、エネルギ送達システム340によって活性化又は加速され得る)、レーザ照射と組み合わされる酸化プロセスは、少なくとも以下のプロセス/検討を伴い得る。酸化プロセスは、非線形であり、非平衡環境で行われる。(熱)化学反応速度定数は温度依存性(アレニウス型)があり、他のレーザパラメータ(例えば、パルスエネルギ、繰り返し率、パルス数、パルス継続時間、波長、ビーム強度分布等)にも依存する場合がある。短パルス照射の場合、媒体内で温度変化が化学反応より速い状況が発生し得る。この例では、拡散長さは、酸化物層の厚さ自体より短い場合がある。レーザで誘起される金属の酸化プロセスの詳細については、参照によってその内容が本明細書に組み込まれるNanaia et al,“Laser-induced oxidation in metals: state of the art”, Thin Solid Films 298 (1997) 160-164に開示されている。
[000275] 図13a~eは、基板310の金属層320を修正するためにシステム300を使用するプロセスの一例の各ステップを示す。図13aは、レーザビーム322による修正前のチャンバ388内の基板310を示す。最初に、金属層320の表面321がパッシベートされ得る。即ち、厚さが約1~50nmの自然酸化物層で覆われ得る(この厚さは、時間に依存する場合があり、自己限定的な酸化が関係する場合がある)。拡散により、更なる酸化及び/又はより高速の酸化を促進し得、これには、酸素原子/分子の熱活性化又は光活性化が必要となる場合がある。レーザビーム332が部分334を照射した光活性化ステップを図13bに示す。この例では、チャンバ388は、放射線及び/又は粒子が外部エネルギ送達システム340からチャンバ300に入ることを可能にする透明なセクションを含む(図13bには示していないが、図12に示している)。追加又は代替として、エネルギ送達システム340は、チャンバ388内に設けられ得る。図13cに示された本プロセスのステップでは、活性化原子が反応媒体389と基板310との境界面に吸収され得、それにより金属層320内への酸素含有種の更なる拡散が促進され得る。図13cは、レーザ生成酸化物(例えば、WO等の酸化金属)が、表面321から外に、変換された金属層320の厚さの最大1.5~3倍(等)にわたって延びる(例えば、膨張による)突起/隆起323を形成することも示す。反応媒体389と基板310との境界面(例えば、表面321)において酸化物層が成長して、活性化金属原子も(例えば、空格子点を介して)金属酸化物含有層320内に拡散することが可能になる。従って、本プロセスは、金属層320の少なくとも1つの部分334に金属酸化物を形成/生成することによって金属層320を修正する。本プロセスは、(例えば、少なくとも1つの部分334において)金属層320の光学特性を変化させ得る。例えば、(例えば、レーザビーム332によって修正された)金属酸化物は、基板アライメントシステム350の動作波長において、(例えば、吸収量の減少及び/又は反射率の低下の形態で)透明度が高くなっている。この金属層320の少なくとも1つの部分334の修正により、基板アライメントシステムは、修正されない場合に不透明及び/又は高反射率である金属層320を通して、ターゲットアライメントマーク314(又は他の任意のフィーチャ)から(例えば、位置、アライメント等に関連する)情報を取得することが可能になり得る。
[000276] 本プロセスは、他の元素(例えば、酸素(酸化)、塩化物、窒素、臭化物、ヨウ化物等)の原子を取り込むことで金属層320の組成を変化させることにより、金属層320の材質を、屈折率及び消光係数がより低い材質に完全に又は部分的に変換し得る。
[000277] 酸化の例では、酸素含有種は、基板内への種の拡散に基づく化学的に不均一なプロセスを介して金属層320に取り込まれる。金属層320内への酸素含有種の取り込みのプロセスは、(例えば、レーザビーム332によって提供される)化学ポテンシャル及び/又は電界の形成に基づいており、それによりフラックスが規定され、帯電している種(酸化の場合には酸素)の拡散が促進される。酸化プロセス後、図13dに示されるように、余分な材料(例えば、金属層320の表面321にある余計な酸化物)が(例えば、CMPによって)除去され、研磨され、及び/又は洗浄される。図13eは、基板310の、リソグラフィツールに送られる前の最終的な状態を示し、アライメントマーク314の可視性が向上している。任意選択で、修正された少なくとも1つの部分334の上方を含む金属層320にBARC及びレジスト層325が堆積される。金属層320が洗浄された後(且つ任意選択で、図13eに示されたような少なくとも1つの更なる層325が金属層320に提供された後)、基板アライメントシステム350を使用して、ターゲットアライメントマーク314(及び/又は他の任意のフィーチャ)に関連する情報を測定し得る。その後、追加のリソエッチングステップ等の更なるステップが実施され得る。本プロセスは、ターゲットアライメントマーク314(及び/又は他の任意のフィーチャ)から情報を取得する処理を、相対的に、より直接的に、より安価に、より迅速に又は同様の有利さで実現し得る。例えば、必要なリソエッチングステップの数をより少なくし得る。例えば、金属層320にタングステン(W)層が使用される場合、最大8個(以上)の層が必要になり得る。金属(例えば、W)の各堆積後、クリアアウトステップ又は他のステップの繰り返しが必要になる場合がある。現行のクロスポイントデバイスの場合、各金属層の堆積後にクリアアウトステップ又は他のステップの繰り返しが必要になる場合がある。本プロセスにより、非常に多くの又はあらゆるクリアアウトステップが必要とされることの必要性を減らし得、それによりクロスポイントデバイス又は金属層を含む他の任意のデバイスの製造に必要な時間を短縮し得る。
[000278] 幾つかの例では、金属層320がタングステン(W)を含む場合があるが、本プロセスは、基板アライメントシステム350の動作波長に対して比較的高い不透明さ(例えば、吸収量/反射率)を示し得る任意の金属の修正に適用可能であり得ることが理解される。
[000279] レーザベースの又はレーザによって開始された酸化(例えば、レーザビーム332によって引き起こされた酸化)は、純粋な熱酸化と異なる。以下で詳細に言及するように、本プロセスには、酸化及び/又は他の反応プロセスに対するレーザビーム332の光分解的及び/又は熱分解的影響が関係する可能性があり、例えば、不純物又は欠陥を注入することにより、部分334内での試料(例えば、酸素含有種)の拡散のプロセスを加速させ得る。
[000280] 以下では、あまり理論に縛られることなく、本プロセスの更なる詳細を説明する。本プロセスは、レーザビーム332と金属層320と反応媒体389との間の光-物質相互作用に影響を及ぼす任意のパラメータに応じて様々であり得ることが理解される。本プロセスは、以下のステップの何れも含み得る。最初に、金属層320の表面321における線形及び/又は非線形の機構によるレーザエネルギの吸収が行われ、これは、表面321の温度を上昇させる。酸素含有種(例えば、金属酸化物分子等)が表面321に粘着したり、表面321から分離したりすることは、亜原子層の核生成と一緒に発生する場合がある。金属層320内に形成される酸化物層を通る酸素含有種の運搬が行われる場合がある。これらの影響は、金属層320の表面321を含む(金属)酸化物境界面の成長につながる可能性がある。
[000281] 金属層320を修正する熱分解レジームでは、最大1000~2000℃の温度は、(例えば、表面321又は表面321の近位等にある)金属酸化物から(例えば、金属層320の)バルク金属内への酸素含有種の拡散を活性化するために十分である。そのような温度は、(例えば、パルス幅tが100ns未満であるか、好ましくはパルス幅が10fs~10nsの範囲である)継続時間が短いレーザパルスが0.01~0.1J/cmの範囲のフルエンスで金属層320の表面321に入射した後に達成可能である。しかしながら、他のパルス継続時間及びフルエンスの組み合わせが使用され得、波長、パルス数、繰り返し率等の他のパラメータも本プロセスに影響を及ぼし得ることが理解される。
[000282] タングステン(W)の例の場合、酸素リッチ相(終了相)がタングステン三酸化物(WO3)であり、体積比W:WO3が1:3.3(等)である。このプロセスでは、余分な材料が生成されて、金属層320の表面321の上方の堆積により、不均一な表面321が形成される可能性がある。余分な材料は、本明細書に記載のとおり、例えばCMP等で除去され得る。
[000283] 一般に、他の金属材料に関して、他の原子(例えば、酸素含有種等)を金属層320に取り込むことは、体積を増加させるプロセス中に行われ得る(即ち異なる種に対して異なる速度で且つ金属層320内の金属のタイプに応じて行われ得る)。
[000284] 本プロセスは、レーザビーム332のフルエンスがアブレーション閾値を下回るサブアプリケーションレジームで実施され得る。従って、アブレーションによって引き起こされる材料除去の速度は、金属層320内に金属酸化物が形成される速度より小さいことが予想される。
[000285] レーザビーム332からのレーザパルスが金属層320内に温度スパイクを送達し得、それにより金属層320の最大10~100nmの厚さの領域で温度が上昇する。温度が上昇する層の厚さは、金属層320の熱伝導率、金属層320の熱容量、レーザビーム332のパルス継続時間及び金属層320内でのレーザビーム332の吸収深さの組み合わせで決まり得る)。金属層320内の空間温度分布は、時間とともに変化する可能性があり、また上述の要因に加えて幾つかの要因に依存する場合があり、例えば幾何学的検討要素(例えば、金属層320の厚さ)、材料特性(例えば、金属層320及び/又は基板310の他の任意の層の材料特性)、レーザビーム334の特性等に依存する場合があることが理解される。金属層320による熱の放散により、基板310のピーク温度は、金属層320の温度よりかなり(例えば、少なくとも最大10倍)低いことができ、従って、基板310は、レーザビーム332と金属層320との間の相互作用の影響を比較的受けないままであり得る。レーザビーム332によって引き起こされる高温状態の継続時間は、金属層320の熱伝導率に依存する。更に、金属層320が薄膜の場合、基板310の頭部伝導率が高温状態の継続時間に影響を及ぼし得る。液体中の金属層320に照射する場合、高温状態の継続時間は、液体の熱伝導率と液体の蒸発の潜熱の影響を受ける可能性がある。一例では、高温状態が存続し得る時間は、10ns未満である。しかしながら、高温状態の継続時間は、様々な要因に依存し得ることが理解される。
[000286] レーザビーム332からの1つのパルス中の酸素の拡散は、金属層320の厚さの少なくとも一部分(例えば、10~100nm等の範囲の部分)を修正するのに十分でない場合がある。そこで、金属層320内での十分な酸化物形成を達成するために、複数パルス照射が必要になる場合がある。酸化物形成を適度な時間で達成するために(且つ高スループットを可能にするために)、レーザビーム332の繰り返し率は、少なくとも1kHz、好ましくは少なくとも1MHzであり得る。パルス間の温度緩和を可能にするために(例えば、金属層320上の、レーザビーム332によって照明されたスポットを超えて熱が伝搬することを防ぐために)、レーザビーム332のデューティサイクルが1%よりかなり小さいことができる。金属層320内で十分な酸化物形成を達成しながら、金属層320内での熱の伝搬を制御又は制限するために、任意の適切なレーザパラメータ(例えば、パルス継続時間、繰り返し率、パルスエネルギ、フルエンス、デューティサイクル等)を変化させ得ることが理解される。
[000287] 一例では、レーザビーム332は、金属層320内に温度スパイクを送達するためにUV放射線を含み得る。UV放射線は、金属酸化物含有領域内での化学結合を、非UV放射線を使用して達成可能であると考えられる温度より高い温度に有効に対応し得る程度まで切断し得る。UV放射線は、金属酸化物の光誘起分離を引き起こして酸素原子を解放し得、酸素原子は、(例えば、より高温の環境において)UV放射線を含まないレーザビーム332を使用した場合に可能であろう拡散よりもはるかに迅速に金属層320内に拡散することが可能であり得る。
[000288] 一例では、金属層320は、液体環境内で照射され得る。液体環境は、反応媒体389を提供し得、金属層320からの酸化物の蒸発を更に防ぎ得る。特定の金属では、酸化物の蒸発温度は、金属の蒸発温度よりかなり低い場合がある(例えば、Wの沸騰温度は、5900℃であるのに対し、WOの沸騰温度は、1700℃である)。しかしながら、液体中での金属酸化物の分離は、避けられるべきである場合があり、例えば、本プロセスで形成される金属酸化物(例えば、WO等)が液体(例えば、水等)に溶けないような、例えば液体組成及び/又はpHを提供することによって避けられるべきである場合がある。
[000289] 任意選択で、レーザビーム332によるレーザ照射前に保護層(例えば、層325と同様のBARC層、レジスト層等)が堆積され得、これは、金属層320が、照射部分334を取り巻く作用物(例えば、酸素を含む反応媒体389等)と反応することを防ぐためである。保護層は、層堆積システム又は補助層堆積システム(ここでは図示していないが、上述の層堆積システム190と同様であり得る)を使用して堆積され得る。レーザビーム332の強度(及び/又は他のレーザビームパラメータ)は、保護層を剥がして、金属層320がレーザビーム332によって修正されることを可能にするように設定され得る。必要な厚さの金属層320が修正されたら、保護層の(例えば、CMP等による)除去、洗浄除去等が行われ得る。
[000290] 上述の各例は、炭素層120又は金属層320を修正するための様々な装置、システム及び方法を参照している。以下の説明では、基板410の金属層420を修正するための装置、システム及び/又は方法を参照する。
[000291] 図14は、基板410の金属層420を修正するシステム400を示す。図12、13a~eのシステム300と比較して、関連する場合のシステム400の類似又は同様の特徴は、100を加えられた参照符号を含む。システム400は、エネルギ送達システム440を含み、エネルギ送達システム440は、この例では、金属層420の少なくとも1つの部分434を陽極酸化する陽極酸化システム441を含む。
[000292] 図7の例の装置160及び図12の例の装置360と同様に、図14の例のシステム400は、基板410における少なくとも1つのフィーチャ(例えば、ターゲットアライメントマーク414)に関連する情報を測定するための装置460を含む。図12の装置360に存在する装置460の類似又は同様の特徴は、100を加えられた参照符号に関連付けられている。この例では、基板410のターゲットアライメントマーク414は、少なくとも部分的に金属層420によって覆い隠され、それにより、ターゲットアライメントマーク414に関連する情報を測定するために、基板アライメントシステム450の光学系452(例えば、アライメントセンサ等)から提供される光信号436は、最初に、ターゲットアライメントマーク414に到達することを妨げられる。装置460は、エネルギ送達システム440を含み、エネルギ送達システム440は、金属層420の少なくとも1つの部分434を修正して、その透明度を高める。
[000293] エネルギ送達システム440は、エネルギ源442を含み、エネルギ源442は、金属層420と、金属層420の上方に(例えば、吊り下げ、支持等によって)設けられた電極443との間に電界432を提供する。この例では、エネルギ源442は、金属層420がアノードを形成し、電極443がカソードを形成するような極性を有する、金属層420及び電極443に電気的に接続された電圧源の形態である。電界432が印加されると、アノード(例えば、金属層420)において、少なくとも1つの部分434が修正されて、金属層420の他の部分より透明度が高く、及び/又は低反射率である金属酸化物層が生成され得る。少なくとも1つの部分434は、光信号436の少なくとも一部が金属層420の少なくとも1つの部分434を通して伝搬することができるように電界432によって修正され得る。少なくとも1つの部分434の修正後、ターゲットアライメントマーク414の可視性が高まり、光学系452が、ターゲットアライメントマーク414に関連する情報(位置、方位等)を測定するためにターゲットアライメントマーク414からの戻り光信号454の特性を測定することが可能になる。
[000294] 基板410は、チャンバ488内に設けられ、チャンバ488は、液体又はガス(例えば、酸素、酸素を豊富に含むガス等)のような反応媒体489を収容するように構成可能であり、反応媒体489は、金属層420の反応(例えば、酸化等)の発生等を可能にするように構成されている。少なくとも1つの部分434は、反応媒体489内において、少なくとも1つの部分434の化学組成が(例えば、酸化されること、酸素ベースの化合物を形成すること等によって)変化するように陽極酸化される。この例では、エネルギ源442は、チャンバ488の外に配置されており、電気的接点445がエネルギ源442からチャンバ488内に延びて、チャンバ488内の金属層420及び電極443に電気的に接続されている。
[000295] 図15a~eは、基板410の金属層420を修正するためにシステム400を使用するプロセスの一例の各ステップを示す。図15aは、陽極酸化システム441による修正前の基板410を示す。図15bに示された基板410では、金属層420の表面421に電気絶縁/保護材料の保護層425aが堆積されており、ターゲットアライメントマーク414の上方で保護層425aのクリアアウト427が行われる。クリアアウト427は、リソエッチングステップ(ウェットエッチングが用いられ得、これは、比較的安価な作業であり得る)によって実施され、それにより保護層425aの、ターゲットアライメントマーク414の上方にクリアアウト427が作成される。ターゲットアライメントマーク414が2つ以上設けられる場合、複数のクリアアウト427がリソエッチング処理によって形成され得る。任意選択で、図15bに示されるように、基板410の側面411及び底面413を陽極酸化システム441から保護することが可能であり得、この保護は、(例えば、図15bに示されたステップ前又はそのステップ中に)絶縁層塗布器426を使用して、側面411及び底面413に(絶縁材料425aを含んでも含まなくてもよい)絶縁層425bを事前堆積することによって行われる。簡潔さのために、以降の図では層425bを表示していない。
[000296] 図15cは、図14に示された陽極酸化システム441を使用して、電気化学的又は光電気化学的陽極酸化を実施して、クリアアウト427内にある金属層420の一部を修正して酸化物にする様子を示す。一例では、エネルギ源442は、結果として得られる金属酸化物(例えば、タングステンの場合にはWO)の酸化率及び多孔度を調整するために、バイアス電圧の振幅、電解質の組成及び/又はpH、DC又はパルス状のバイアス、DC又はパルス状の照明を使用し得る。図15dは、図15cとよく類似しており、電極443と少なくとも1つの部分434との間において、液体塗布システム428aによって導電性液体428が提供される様子を示す。導電性液体428は、少なくとも1つの部分434の陽極酸化に使用される反応媒体489を含み得る。図15dの導電性液体428の描写は、概略的であることが理解される。例えば、チャンバ488は、導電性液体428で部分的又は完全に満たされ得る。何れの場合にも、図15bに示された絶縁層425bは、基板を導電性液体428から保護され得る。代替又は追加として、基板410の少なくとも一部(例えば、底面413等)が液体428に接触しないように基板410を保持するために基板支持物482が設けられ得る(これは、例えば、チャンバ488が導電性液体428で完全に満たされている場合には他の方法で行われ得る)。
[000297] 図13cの例と同様に且つ図15eに示されるように、陽極酸化生成酸化物(例えば、WO等の酸化金属)は、表面421から外に、修正/変換された金属層420の厚さの最大1.5~3倍(等)にわたって延びる(例えば(例えば、金属層420の他の部分より密度が2~3倍低い)酸化物によって引き起こされる膨張による)突起/隆起423を形成する。
[000298] 任意選択又は代替として、金属層420内の酸化物形成を促進するために、絶縁層425a中のクリアアウト427又は基板410のより大きい領域/全領域は、放射線源429からの例えばVIS/UV/DUV放射線等で照明され得る。
[000299] 図15fに示されるように、絶縁層425a(及び存在する場合には絶縁層425b)は、基板410から除去されている。例えば、CMP及び/又はウェットエッチングを用いて、金属層420の表面421を洗浄又は平坦化し、突起/隆起423から過剰な酸化物を除去し、及び/又は層425a、425bから電気絶縁材料を除去し得る。
[000300] 図15fは、基板410上のあらゆる層の少なくとも一部を除去する層除去システム490も示す(但し、層除去システム490は、本プロセスの他のステップのために設けられ得る)。例えば、層除去システム490は、リソエッチングシステム、及び/又は化学機械研磨(CMP)装置(図15fに示されるように、CMP装置は、層除去システム490のボックス中の多方向矢印で示されるように任意の適切な方向に動かされ得る)、及び/又はレーザ等のアブレーションシステムを含み得る。層除去システム490は、基板410上の層の一部分をクリアアウトして(例えば、図15bの)クリアアウト427を形成するように構成され得る。この例では、層除去システム490は、突起/隆起423が除去されて平坦面421が形成されるように、保護層425aを除去して金属層420を平坦化するように構成され得る。層除去システム490は、基板410上の保護層又は電気絶縁層425a、425b、425c、基板410上のBARC及び/又はレジスト、金属層420の少なくとも一部及び/又は金属層420中の修正された金属の少なくとも1つを少なくとも部分的に除去するように構成され得ることが理解される。層除去システム490は、炭素又は金属を含む層以外の材料を基板から除去するように構成され得る。
[000301] 図15gは、基板410の、リソグラフィツールに送られる前の最終的な状態を示し、アライメントマーク414の可視性が向上している。任意選択で、修正された少なくとも1つの部分434の上方を含む金属層420にBARC及びレジスト層425cが堆積される。一例では、ハードマスク(例えば、炭素ハードマスク等)が薄い金属層(例えば、3Dクロスポイントデバイス等)に置き換えられ得る。
[000302] 幾つかの例では、WOの生成に陽極酸化を用いられている。2.6μm厚のWOが光電気化学的陽極酸化によって達成されており、これについては、参照によってその内容が本明細書に組み込まれるKim et al.,“Photoelectrochemical anodization for the preparation of a thick tungsten oxide film”, Electrochemistry Communications, Vol. 17 pp. 10-13 (2012)で報告されている。厚さが最大約2μmのメソ多孔性WO膜が形成されており、これについては、参照によってその内容が本明細書に組み込まれるYang et al.,“Thick porous tungsten trioxide films by anodization of tungsten in fluoride containing phosphoric acid electrolyte”, Electrochemistry Communications, Vol. 11. pp. 1908-1911 (2009)で報告されている。孔径が5~600nmであるナノ多孔性WO3-xが製造されており、これについては、参照によってその内容が本明細書に組み込まれるBauersfeld et al.,“Nanoporous Tungsten Trioxide Grown by Electrochemical Anodization of Tungsten for Gas Sensing Applications”, Procedia Engineering, Vol. 47, pp. 204-207 (2012)で報告されている。
[000303] 陽極酸化で生成される酸化物は、アモルファス及びナノ多孔性であり得ることが理解される。一例では、光学系452によって提供される(例えば、光信号436からの)光の強い散乱を防ぐために、孔は、1μm未満であり得、好ましくは100nm未満であり得る。金属酸化物の多孔度は、反応媒体389の組成、エネルギ源442の電流密度、バイアスのパルシング等によって調整可能である。
[000304] 酸化物が形成される、少なくとも1つの部分434のベース435に(即ち金属層420と基板410の下層との間の境界面に)少なくとも1つの薄い(例えば、厚さhが100nm未満、好ましくは30nm未満である)(例えば、タングステンの)層を設けることは、基板410の、金属層420より下にあるあらゆる層が陽極酸化プロセスの影響を受けないことが可能であることを確実にすることに役立ち得る。光学系452は、幾つかの例では、その薄い層を通過する十分な信号454を取得することにより、光学系452が、ターゲットアライメントマーク414及び/又はベース435を貫通する他のフィーチャに関連する情報を直接取得することを可能にすることが引き続き可能であり得ることが理解される。
[000305] 以下では、金属層420を修正することに関連する更なる選択肢及び代替について説明する。これらの選択肢及び代替は、上述のシステム300、400の一方又は両方に適用可能であり得ることが理解される。更に、これらの選択肢及び代替は、本明細書に記載の何れの例にも適用可能であり得、例えば炭素層120の修正等に関連する何れの装置、方法及びシステムにも適用可能であり得る。
[000306] 一例では、本開示の少なくとも1つの装置、方法及び/又はシステムが、(例えば、金属層320、420を修正する場合に)非酸化物ベースの材料に拡張され得る。上述の例で記載されているのは、金属層320、420を(例えば、部分的)酸化物に局所修正又は変換することであり、これは、消光係数(及び任意選択で、屈折係数)を減らす手段としての局所的な熱活性化、及び/又は光活性化、及び/又は電気化学活性化(陽極酸化)によって行われる。これらの方法は、必要に応じて金属層320、420の消光/屈折を減らすために、H、B、C、N、O、Cl、Br、F、I、S、Si、P等の中から選択される1つ以上の元素で金属層320、420を局所的に飽和させるようにも適合され得る。この例では、エネルギ送達システム340は、追加又は代替として、金属層320を他の原子、イオン又は分子(例えば、上述の元素等)で飽和させるイオンビームを提供して、少なくとも1つの部分334における金属層320の消光係数を低減するように構成され得る。金属層320、420の透明度を高めるために、それらの消光係数及び/又は屈折率を減らすために他の元素が使用され得ることが理解される。
[000307] 一例では、H、B、C、N(等)の元素の1つ以上が使用され得、これは、それらのアッシング/エッチング生成物が(即ち製造プロセス中にハードマスクの材料を後で除去しなければならない場合に)化学的及び/又は環境的に安全であると見なされ得るためである。
[000308] 陽極酸化プロセスに何れの元素が使用可能であるかを選択する際、幾らかの材料を少なくとも1つの部分334、434に保全することが必要となる場合、幾つかの検討事項が存在する場合があり、それは、例えば、以下のとおりである。
- 金属層320、420とそれらの元素との組み合わせから結果として得られる組成物の沸点は、比較的高くなければならない(例えば、500℃超でなければならない)場合があり、そうでないと、金属及び/又は金属酸化物が少なくとも1つの部分334、434の熱によりエッチング/蒸発で除去され、所望の組成変化が金属層320、420に広がらない可能性がある;
- 結果として得られる組成物の可溶性は、低いことができ(例えば、液体形態の反応媒体389、489内の照射が実施される場合)、そうでないと、金属層320、420(これは、金属及び/又は金属酸化物を含み得る)が少なくとも1つの部分334、434において洗浄除去され、所望の組成変化が金属層320、420に広がらない可能性がある;及び/又は、
- 結果として得られる組成物のバンドギャップが1eV、0.5eV等より大きいことができ、それにより、幾つかの例の光学系352、452で使用される光信号336、435(例えば、これは、それぞれ0.5~1μm、1~2μm等の範囲の波長を使用し得る)が少なくとも1つの部分334、434において(例えば、実質的には)吸収も反射もされない。
[000309] 上述の各例における元素は、それらの原子半径において最小であり、従って、これらの元素は、金属層320、420内で拡散係数が最大であり得ると予想される(即ち原子半径がより大きい元素と比較した場合)。代替又は追加として、金属層320、420内で金属(例えば、タングステン等)自体の拡散係数が高いことが必要とされる場合があることが理解される。例えば、金属層320、420の修正又は変換を高スループットにするために、金属臭化物、金属炭化物、金属窒化物又は他の組成物内での金属の拡散係数を高くすることが行われ得る。
[000310] 一例では、少なくとも1つの部分334を修正するレーザビーム332の追加又は代替として、粒子ビーム(例えば、電子、光子、イオン等)の形態のエネルギ源342が使用され得る。一例では、イオンエネルギが約1~100keVであれば、金属層320内に十分深く浸透し得る(例えば、少なくとも3Dクロスポイントデバイスの金属層のプロセスには十分である)。一例では、イオンビームを生成するエネルギ源342は、1入射イオン当たりのスパッタリング収量が最大0.1~1であり得る(例えば、(例えば、金属層320の)ターゲット材料原子の質量が入射イオンより大きいことができる場合)。そのような例では、修正又は変換された少なくとも1つの部分334の成長は、スパッタリングより高速で進行し、その結果として、金属層320中の修正された材料の幾らかは、少なくとも1つの部分334に(スパッタリングによって完全に除去されずに)とどまる可能性がある。
[000311] システム300及び関連する装置及び方法に関して説明された少なくとも1つのフィーチャは、システム400及び関連する装置及び方法に関して説明された少なくとも1つのフィーチャに適用可能であり得るか、置き換えられ得るか、又は組み合わされ得る(逆も同様である)ことが理解される。システム300、400及び関連する装置及び方法に関して説明された少なくとも1つのフィーチャは、本開示の他の任意の例、例えば図1~11を参照して説明された他の任意のシステム、装置及び方法に関して説明された少なくとも1つのフィーチャに適用可能であり得るか、置き換えられ得るか、組み合わされ得る(逆も同様である)ことが更に理解される。
[000312] 少なくとも1つの部分134を修正するために、少なくとも1つのレーザビーム132を提供する任意の適切なエネルギ送達システム140が使用され得ることが理解される。例えば、エネルギ源142は、レーザ、少なくとも1つ又は一連のレーザパルスを放射するパルス状レーザ、連続波(CW)レーザ等の少なくとも1つを含み得る。代替又は追加として、エネルギ源142は、少なくとも1つの部分134をパルス状に加熱するための粒子を含むビームを放射するように構成され得る。例えば、エネルギ送達システム140は、電子ビーム、イオンビーム、中性ビーム、4~20nmの範囲の極端紫外線(EUV)ビーム及び20~100nmの範囲の波長を有する放射線を含むビームの1つ以上を放射するように構成され得る。エネルギ送達システム140は、放射線及び少なくとも1つの部分134を修正する粒子の一方又は両方を放射するように構成され得ることが理解される。
[000313] 本装置は、層の帯電を防ぐために、層に接続されて電圧/電流又は接地の接続を提供するように構成された電気的接続を含み得る。図14は、金属層420に電気的に接続された電圧源の形態のエネルギ源442を示す。図14に示された電気的接続及び/又はエネルギ源442は、炭素又は金属を含む層の帯電を防ぐために、本明細書に記載の何れの例においても使用されるように使用、修正又は適合され得ることが理解される。
[000314] 図5及び6は、エネルギ送達システム140と基板アライメントシステム150とを別個のツールとして示す。図7に示された装置160は、エネルギ送達システム140及び基板アライメントシステム150の両方にある機能を含む。エネルギ送達システム140は、第1のステップにおいて、炭素層120を修正することに使用され得、基板アライメントシステム150は、第2のステップにおいて、ターゲットアライメントマーク114に関連する情報を測定することに使用され得ることが理解される。この第1のステップ及び第2のステップは、同じツール内で実施され得、別個のツール内で実施され得る。例えば、基板110は、ステップとステップとの間で異なるツール間を移動し得る。代替として、基板110は、ターゲットアライメントマーク114に関連する情報を測定する処理中に原位置にとどまり得る。装置160は、1つ以上のツールを含み得、それらのツールは、互いに別個であるか又は一体であり得る。
[000315] 本明細書に記載の各例は、炭素層120の修正に言及しているが、他の層が修正され得ることが理解される。例えば、エネルギ堆積システム140は、適切な元素、化合物又は組成物を含む任意の層の一部分134を修正するように動作可能であり得る。層は、純粋炭素を含み得、ドープ炭素を含み得る。例えば、層は、タングステン、ホウ素、窒素等のようなドーパントを含み得る。任意の適切なドーパント又は不純物が炭素とともに堆積され得ることが理解される。本開示は、炭素を含む層に言及しているが、本開示では、炭素を含まない層の修正も想定され得ることが理解される。層は、ハードマスクを設けるように機能し得、この機能性を実現するために任意の適切な材料が使用され得る。本方法及び装置は、炭素若しくは炭素を含む層又はその一部分の局所的相変化を促進するように説明されているが、試薬及び任意選択の冷却が提供されていれば、金属若しくは金属を含む層又はその一部分の局所的変化にも適用可能であり得る。
[000316] 本明細書では、リソグラフィ装置をICの製造で使用することが具体的に参照されているが、本明細書に記載のリソグラフィ装置は、他の用途を有し得ることが理解されるべきである。可能な他の用途として、一体型光学系、磁区メモリのガイダンスパターン及び検出パターン、平面パネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッド等の製造がある。
[000317] 一例では、本開示は、メトロロジ装置の一部をなし得る。メトロロジ装置は、基板上に既に存在するパターンに対して相対的である、基板上のレジストに形成される投影パターンのアライメントを測定することに使用され得る。この相対的なアライメントの測定は、オーバーレイと呼ばれることがある。メトロロジ装置は、例えば、リソグラフィ装置に直接隣接配置され得、基板(及びレジスト)がプロセスされる前にオーバーレイを測定することに使用され得る。
[000318] 本明細書では、本開示の例をリソグラフィ装置に関連して具体的に参照している場合があるが、本開示の例は、他の装置で使用され得る。本開示の例は、マスク検査装置、メトロロジ装置、リソグラフィスキャナ、リソグラフィトラッキングシステム、基板又はウェーハのトラックツール、堆積ツール又はウェーハ(又は他の基板)又はマスク(又は他のパターニングデバイス)等の物体を測定又はプロセスする任意の装置の一部をなし得る。これらの装置は、まとめてリソグラフィツールと呼ばれ得る。そのようなリソグラフィツールは、真空条件又は周囲(非真空)条件を用い得る。
[000319] 本開示の例を光リソグラフィに関連して使用することをここまで具体的に参照してきたが、本開示は、文脈が許す限り、光リソグラフィに限定されず、他の用途で使用され得、例えばインプリントリソグラフィで使用され得ることが理解される。
[000320] コンピュータプログラムは、上述の方法の何れかを実現するように構成され得る。コンピュータプログラムは、コンピュータ可読媒体上で実現され得る。コンピュータプログラムは、コンピュータプログラム製品であり得る。コンピュータプログラム製品は、コンピュータで使用可能な非一時的記憶媒体を含み得る。コンピュータプログラム製品は、媒体に実装され、本方法を実施するように構成されたコンピュータ可読プログラムコードを有し得る。コンピュータプログラム製品は、本方法の一部又は全てを少なくとも1つのプロセッサに実施させるように構成され得る。
[000321] 本明細書では、コンピュータに実装される方法、装置(システム及び/又はデバイス)及び/又はコンピュータプログラム製品のブロック図又はフローチャート図を参照して様々な方法及び装置について説明している。ブロック図及び/又はフローチャート図のブロック及びブロック図及び/又はフローチャート図のブロックの組み合わせは、1つ以上のコンピュータ回路によって実行されるコンピュータプログラム命令によって実施され得ることが理解される。これらのコンピュータプログラム命令は、汎用コンピュータ回路、専用コンピュータ回路及び/又はマシンを生成する他のプログラム可能データ処理回路のプロセッサ回路に提供され得、それにより、それらの命令は、コンピュータ及び/又は他のプログラム可能データ処理装置で実行され、トランジスタ、メモリロケーションに記憶された値及びそのような回路内にある他のハードウェアコンポーネントを変換及び制御することにより、ブロック図及び/又はフローチャートの1つ以上のブロックで指定された機能/動作を実施し、それにより、ブロック図及び/又はフローチャートの1つ以上のブロックで指定された機能/動作を実施する手段(機能性)及び/又は構造を作成する。
[000322] 以下の番号を付けられた実施形態のリストにおいて、本発明の更なる実施形態を開示する。
1.半導体デバイス基板における少なくとも1つのフィーチャに関連する情報を測定するための装置であって、少なくとも1つのフィーチャは、炭素を含む層によって少なくとも部分的に覆い隠され、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号は、フィーチャに到達することを妨げられ、装置は、
エネルギ送達システムであって、層の少なくとも1つの部分を修正するための放射線及び/又は粒子を放射して、放射線及び/又は粒子が少なくとも1つの部分に入射したとき又は入射後に少なくとも1つの部分の透明度を高めるように構成されており、それにより、光信号の少なくとも一部は、少なくとも1つのフィーチャに関連する情報を測定するために層の少なくとも1つの部分を通して伝搬することができる、エネルギ送達システム
を含む、装置。
2.エネルギ送達システムは、層の少なくとも1つの部分において炭素の消光係数を減らすことにより、層の少なくとも1つの部分を修正するためのビームを放射するように構成されている、実施形態1に記載の装置。
3.エネルギ送達システムは、炭素内に相変化を引き起こし、及び/又は層の少なくとも1つの部分において(例えば、四価/sp3配位)炭素原子の濃度の上昇を引き起こすことにより、層の少なくとも1つの部分を修正するためのビームを放射するように構成されている、実施形態1又は2に記載の装置。
4.相変化は、炭素がダイヤモンド及びダイヤモンド状炭素の少なくとも一方を形成するように層の少なくとも1つの部分において炭素を修正する、実施形態3に記載の装置。
5.エネルギ送達システムは、放射線を放射する少なくとも1つのレーザ及び/又はエネルギ粒子のフォーカスされたビームの少なくとも1つの源の少なくとも一方を含む、何れかの先行する実施形態に記載の装置。
6.少なくとも1つのレーザは、一連のレーザパルスを放射するように構成されたパルス状レーザ源を含む、実施形態5に記載の装置。
7.少なくとも1つのレーザは、4nm~3μmの範囲の少なくとも1つの波長を有する放射線を放射するように構成されている、実施形態5又は6に記載の装置。
8.少なくとも1つのレーザは、
5fs~500psの範囲のパルス継続時間を有する可視及び/又は赤外レーザパルス、
1ps~500nsの範囲のパルス継続時間を有する紫外レーザパルス、及び
1fs~100nsの範囲のパルス継続時間を有する軟X線~DUVレーザパルス
の1つ以上を放射するように構成されている、実施形態5~7の何れかに記載の装置。
9.少なくとも1つのレーザは、第1のパルス継続時間の少なくとも1つのレーザパルスを含む最初のパルストレインを放射するように構成されており、且つ
より短い第2のパルス継続時間の少なくとも1つのレーザパルスを含む次のパルストレインを放射するように更に構成されている、実施形態5~8の何れかに記載の装置。
10.少なくとも1つのレーザは、層のアブレーション閾値を下回るピーク放射フルエンス又は強度を有する放射線を放射するように構成されている、実施形態5~9の何れかに記載の装置。
11.少なくとも1つのレーザは、直線偏光放射線、非直線偏光放射線、楕円偏光放射線及びらせん偏光放射線の少なくとも1つを放射するように構成されている、実施形態5~10の何れかに記載の装置。
12.少なくとも1つのレーザは、各レーザパルスが直線偏光、円形偏光、楕円偏光及びらせん偏光の1つを有するレーザパルスのシーケンス及び/又はトレイン内の幾つかのパルスがトレイン内の他のパルスと異なる偏光を有するレーザパルスのシーケンスを放射するように構成されている、実施形態11に記載の装置。
13.エネルギ送達システムは、層の少なくとも1つの部分のパルス状加熱のための放射線及び/又は粒子を放射するように構成されている、何れかの先行する実施形態に記載の装置。
14.エネルギ送達システムは、電子ビーム、イオンビーム、中性ビーム、5~20nmの範囲の極端紫外線(EUV)ビーム及び20~100nmの範囲の波長を有する放射線を含むビームの1つ以上を放射するように構成されている、実施形態13に記載の装置。
15.層の少なくとも1つの部分の1つ以上のパラメータを測定し、且つ1つ以上のパラメータに基づいてエネルギ送達システムを制御するように構成されたフィードバック制御システムを更に含む、何れかの先行する実施形態に記載の装置。
16.フィードバック制御システムは、層の少なくとも1つの部分から放射線を受けるように構成された放射線センサを含み、
フィードバック制御システムは、受けられた放射線に基づいて層の少なくとも1つの部分の1つ以上のパラメータを測定するように構成されている、実施形態15に記載の装置。
17.受けられた放射線は、
層の少なくとも1つの部分から反射又は散乱した、エネルギ送達システムからの放射線、
層の少なくとも1つの部分を通して伝搬した放射線であって、半導体デバイス基板を背面から照らすように構成された放射線源から放射された放射線、
エネルギ送達システムからの放射線及び/又は粒子により、層の部分において励起された放射線、及び
エネルギ送達システムによって修正された層の部分と実質的に重なり合っているスポットに誘導され、スポットから反射及び/又は散乱した、補助光源からの放射線
の1つ以上を含む、実施形態16に記載の装置。
18.エネルギ送達システムは、層の少なくとも1つの部分の透明度を層の全体厚さ未満の深さまで修正するための放射線及び/又は粒子を放射するように構成されている、何れかの先行する実施形態に記載の装置。
19.層を基板上に堆積させる層堆積システムを含む、何れかの先行する実施形態に記載の装置。
20.層堆積システムは、層の第1の副層を基板上に堆積させるように構成されており、エネルギ送達システムは、第1の副層の少なくとも1つの部分を修正するように動作可能である、実施形態19に記載の装置。
21.層堆積システムは、第1の副層の少なくとも1つの部分の修正後、層の第2の副層を第1の副層上に堆積させるように構成されている、実施形態20に記載の装置。
22.層堆積システムは、層中に少なくとも1つのシード副層を作成するために堆積条件を変化させるように動作可能であり、シード副層は、ナノダイヤモンド核生成及び/又はダイヤモンド状炭素(DLC)のためのシードとして動作するためにsp3配位炭素を含む、実施形態19~21の何れかに記載の装置。
23.層堆積システムは、層の上面において少なくとも1つのシード副層を堆積させるように構成されている、実施形態22に記載の装置。
24.修正中に生成されたデブリ粒子を層の表面から除去するためのデブリ除去システムを含む、何れかの先行する実施形態に記載の装置。
25.デブリ除去システムは、層の少なくとも1つの部分の修正中にアブレーションプルーム内に形成されたデブリ粒子に照射する放射線を放射するレーザ等の放射線源を含み、それにより、アブレーションプルーム内のデブリ粒子のサイズ及び/又はデブリ粒子の数が低減される、実施形態24に記載の装置。
26.デブリ除去システムは、層の少なくとも1つの部分の修正中、層の少なくとも1つの部分の上方にプラズマを発生させる放電器を含み、プラズマは、帯電したデブリ粒子を捕捉する、実施形態24又は25に記載の装置。
27.デブリ除去システムは、半導体デバイス基板を傾斜させるように構成されており、それにより、デブリ粒子が重力下で層から離される、実施形態24~26の何れかに記載の装置。
28.デブリ除去システムは、層の表面に除去可能層を塗布するように構成されており、デブリ粒子は、除去可能層上に収集され、デブリ除去システムは、層の少なくとも1つの部分の修正後に除去可能層を除去するように更に構成されている、実施形態24~27の何れかに記載の装置。
29.デブリ除去システムは、エネルギ送達システムが放射線及び/又は粒子を放射する前に層の少なくとも1つの部分の位置において除去可能層を除去するように構成されている、実施形態28に記載の装置。
30.デブリ除去システムは、層の少なくとも1つの部分の近傍に反応媒体を設けるように構成されており、それによりアブレーションプルーム内の材料の反応の生成物のみが実質的に揮発性又は可溶性である、実施形態24~29の何れかに記載の装置。
31.液体を保持するように構成されたチャンバを更に含み、半導体デバイス基板は、少なくともエネルギ送達システムによる放射線及び/又は粒子の放射中に液体に少なくとも部分的に浸漬される、何れかの先行する実施形態に記載の装置。
32.エネルギ送達システムが放射線及び/又は粒子を放射する前に層の表面に液膜を塗布するように構成された液膜塗布器を更に含む、何れかの先行する実施形態に記載の装置。
33.少なくとも1つのフィーチャに関連する情報を測定するために、光信号を送出して層の少なくとも1つの部分を透過させるように構成された光学系を含む、何れかの先行する実施形態に記載の装置。
34.層の少なくとも1つの部分を通して受けられた戻り光信号に基づいて、少なくとも1つのフィーチャに関連する情報を測定する基板アライメントシステムを含む、何れかの先行する実施形態に記載の装置。
35.基板アライメントシステムは、基板がアライメントされているかどうかを判定するために、少なくとも1つのフィーチャの存在、位置及び方位の少なくとも1つを測定するように構成されている、実施形態34に記載の装置。
36.基板アライメントシステムは、基板とリソグラフィ装置又はリソグラフィツールとの間の相対的な位置付けを制御して、それらの中での基板のアライメントを行うように構成されている、実施形態35に記載の装置。
37.フィーチャは、アライメントマーク又はオーバーレイマークを含む、何れかの先行する実施形態に記載の装置。
38.修正された層は、少なくとも20%の炭素を含み、好ましくは少なくとも50%の炭素を含む、何れかの先行する実施形態に記載の装置。
39.実施形態1~38の何れかに記載の装置を含むリソグラフィ装置。
40.実施形態1~38の何れかに記載の装置を含むリソグラフィツール。
41.半導体デバイス基板における少なくとも1つのフィーチャに関連する情報を測定するための方法であって、少なくとも1つのフィーチャは、炭素を含む層によって少なくとも部分的に覆い隠され、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号は、フィーチャに到達することを妨げられ、方法は、
エネルギ送達システムにより、層の少なくとも1つの部分を修正するための放射線及び/又は粒子を放射して、放射線及び/又は粒子が少なくとも1つの部分に入射したとき又は入射後に少なくとも1つの部分の透明度を高めることであって、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号の少なくとも一部は、少なくとも1つのフィーチャに関連する情報を測定するために層の少なくとも1つの部分を通して伝搬することができる、高めること
を含む、方法。
42.少なくとも1つのプロセッサで実行されたとき、実施形態41による方法を実施するように装置を制御することを少なくとも1つのプロセッサに行わせる命令を含むコンピュータプログラム。
43.実施形態42に記載のコンピュータプログラムを含むキャリアであって、電子信号、光信号、無線信号又は非一時的コンピュータ可読記憶媒体である、キャリア。
44.半導体デバイス基板における少なくとも1つのフィーチャに関連する情報を測定するための装置であって、少なくとも1つのフィーチャは、炭素又は金属を含む層によって少なくとも部分的に覆い隠され、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号は、フィーチャに到達することを妨げられ、装置は、
エネルギ送達システムであって、層の少なくとも1つの部分を修正して、少なくとも1つの部分の透明度を高めるように構成されており、それにより、光信号の少なくとも一部は、少なくとも1つのフィーチャに関連する情報を測定するために層の少なくとも1つの部分を通して伝搬することができる、エネルギ送達システム
を含む、装置。
45.エネルギ送達システムは、層の少なくとも1つの部分において炭素又は金属の消光係数及び/又は屈折係数を減らすことにより、層の少なくとも1つの部分を修正するためのビームを放射するように構成されている、実施形態44に記載の装置。
46.エネルギ送達システムは、炭素内に相変化を引き起こし、及び/又は層の少なくとも1つの部分において四価(sp3配位)炭素原子の濃度の上昇を引き起こすことにより、炭素を含む層の少なくとも1つの部分を修正するためのビームを放射するように構成されている、実施形態44又は45に記載の装置。
47.相変化は、炭素がダイヤモンド及びダイヤモンド状炭素の少なくとも一方を形成するように層の少なくとも1つの部分において炭素を修正する、実施形態46に記載の装置。
48.エネルギ送達システムは、放射線を放射する少なくとも1つのレーザ及び/又はエネルギ粒子のフォーカスされたビームの少なくとも1つの源の少なくとも一方を含む、何れかの先行する実施形態に記載の装置。
49.少なくとも1つのレーザは、一連のレーザパルスを放射するように構成されたパルス状レーザ源を含む、実施形態48に記載の装置。
50.少なくとも1つのレーザは、4nm~3μmの範囲の少なくとも1つの波長を有する放射線を放射するように構成されている、実施形態48又は49に記載の装置。
51.少なくとも1つのレーザは、
5fs~500psの範囲のパルス継続時間を有する可視及び/又は赤外レーザパルス、
1ps~500nsの範囲のパルス継続時間を有する紫外レーザパルス、及び
1fs~100nsの範囲のパルス継続時間を有する軟X線~DUVレーザパルス
の1つ以上を放射するように構成されている、実施形態48~50の何れかに記載の装置。
52.少なくとも1つのレーザは、第1のパルス継続時間の少なくとも1つのレーザパルスを含む最初のパルストレインを放射するように構成されており、且つ
より短い第2のパルス継続時間の少なくとも1つのレーザパルスを含む次のパルストレインを放射するように更に構成されている、実施形態48~51の何れかに記載の装置。
53.少なくとも1つのレーザは、層のアブレーション閾値を下回るピーク放射フルエンス又は強度を有する放射線を放射するように構成されている、実施形態48~52の何れかに記載の装置。
54.少なくとも1つのレーザは、直線偏光放射線、非直線偏光放射線、楕円偏光放射線及びらせん偏光放射線の少なくとも1つを放射するように構成されている、実施形態48~53の何れかに記載の装置。
55.少なくとも1つのレーザは、各レーザパルスが直線偏光、円形偏光、楕円偏光及びらせん偏光の1つを有するレーザパルスのシーケンス及び/又はトレイン内の幾つかのパルスがトレイン内の他のパルスと異なる偏光を有するレーザパルスのシーケンスを放射するように構成されている、実施形態54に記載の装置。
56.エネルギ送達システムは、層の少なくとも1つの部分のパルス状加熱のための放射線及び/又は粒子を放射するように構成されている、何れかの先行する実施形態に記載の装置。
57.エネルギ送達システムは、電子ビーム、イオンビーム、中性ビーム、5~20nmの範囲の極端紫外線(EUV)ビーム及び20~100nmの範囲の波長を有する放射線を含むビームの1つ以上を放射するように構成されている、実施形態56に記載の装置。
58.エネルギ送達システムは、金属を含む層の少なくとも1つの部分を修正するための放射線及び/又は粒子を放射するように構成されている、何れかの先行する実施形態に記載の装置。
59.エネルギ送達システムは、層の少なくとも1つの部分を化学的に変換して、少なくとも1つの部分の化学組成を変化させるために、反応媒体の存在下において、金属を含む層の少なくとも1つの部分を修正するように構成されている、実施形態58に記載の装置。
60.エネルギ送達システムは、100ns未満、任意選択で10ns未満、任意選択で10fs超の継続時間を有するパルスを放射するように構成されたレーザを含む、実施形態58又は59に記載の装置。
61.レーザは、複数のパルスを送達するように構成されており、任意選択で、パルスの繰り返し率は、少なくとも1kHzであり、任意選択で、パルスの繰り返し率は、少なくとも1MHzであり、及び/又は任意選択で、パルスのデューティサイクルは、1%未満である、実施形態60に記載の装置。
62.レーザは、0.01~1J/cmの範囲のフルエンスを有する放射線を放射するように構成されている、実施形態60又は61に記載の装置。
63.エネルギ送達システムは、金属を含む層の少なくとも1つの部分の透明度を高めるために、金属を含む層を他の原子、イオン又は分子で飽和させるためのイオンビームを提供するように構成されている、実施形態58又は62に記載の装置。
64.イオンビームエネルギは、1eVより大きく、任意選択で100eVより大きい、実施形態63に記載の装置。
65.イオンは、Cイオン及び/又はB、N、O、Ga、He、Ne、Ar、Kr、Xe等の少なくとも1つを含む、実施形態63又は64に記載の装置。
66.層を追加ドーパントがないままにするために、1つ以上の希ガスイオンを使用してガス放出を促進するように構成されている、実施形態65に記載の装置。
67.層の帯電を防ぐために、層に接続されて電圧/電流又は接地の接続を提供するように構成された電気的接続を含む、何れかの先行する実施形態に記載の装置。
68.エネルギ送達システムは、電界ポテンシャルを、金属を含む層と、電界を発生させる電極との間に提供するように構成された陽極酸化システムを含み、装置は、層の少なくとも1つの部分を化学的に変換して、少なくとも1つの部分の化学組成を変化させるための反応媒体を提供するように構成されている、何れかの先行する実施形態に記載の装置。
69.装置は、層の少なくとも1つの部分の周囲の層上に保護層及び/又はクリアアウト保護層を堆積させるように構成されている、実施形態68に記載の装置。
70.金属を含む層の少なくとも1つの部分と電極との間に導電性の液体を提供するように構成された液体塗布システムを含む、実施形態68又は69に記載の装置。
71.基板の少なくとも一部が導電性液体に接触しないように基板を支持するように構成された基板支持物を含む、実施形態70に記載の装置。
72.基板の一部と導電性液体との間の接触を防ぐために、基板の少なくとも一部に絶縁層を塗布するように構成された絶縁層塗布器を含む、実施形態70又は71に記載の装置。
73.陽極酸化システムは、金属層と電極との間に電界を発生させるために、金属層及び電極に接続されたエネルギ源を含み、任意選択で、エネルギ源は、連続的及び/又はパルス状の電圧及び/又は電流を提供するように構成されている、実施形態68~72の何れかに記載の装置。
74.エネルギ源は、金属層がアノードを形成し、電極がカソードを形成するような極性を有する、金属層及び電極に電気的に接続された電圧源を含む、実施形態73に記載の装置。
75.陽極酸化システムは、金属を含む層の少なくとも1つの部分を修正するための電気化学的及び/又は光電気化学的陽極酸化を実施するように構成されている、実施形態68~74の何れかに記載の装置。
76.少なくとも1つの部分は、金属を含む層の上に提供又は形成された保護層の開口部を介した先行リソエッチングプロセスにおいて画定される、実施形態68~75の何れかに記載の装置。
77.少なくとも1つの部分は、エネルギ送達システムのフォーカスされたビームによって画定される、実施形態68~76の何れかに記載の装置。
78.エネルギ送達システムは、層の少なくとも1つの部分を化学的、電気化学的及び/又は光電気化学的に変換して、少なくとも1つの部分の化学組成を変化させるために、反応媒体の存在下において、金属を含む層の少なくとも1つの部分を修正するように構成されている、実施形態44~77の何れかに記載の装置。
79.反応媒体を収容するチャンバを含む、実施形態78に記載の装置。
80.チャンバは、放射線及び/又は粒子が、金属を含む層と相互作用することを可能にするように構成されており、任意選択で、チャンバは、放射線及び/又は粒子がチャンバに入ることを可能にする透明セクションを含み、及び/又は任意選択で、エネルギ送達システムは、チャンバ内に設けられる、実施形態79に記載の装置。
81.反応媒体は、ガス及び/又は液体を含む、実施形態78、79又は80に記載の装置。
82.反応媒体は、酸素(O)、酸化物、水素(H)、ホウ素(B)、ホウ化物、炭素(C)、炭化物、窒素(N)、窒化物、塩素(Cl)、塩化物、臭素(Br)、臭化物、フッ素(F)、フッ化物、ヨウ素(I)、ヨウ化物、ケイ素(Si)、ケイ化物、リン(P)、リン化物の少なくとも1つの原子、イオン又は分子を含む、実施形態78~81の何れかに記載の装置。
83.金属は、タングステンを含む、実施形態78~82の何れかに記載の装置。
84.エネルギ送達システムは、反応媒体中の少なくとも1つの原子、イオン又は分子が金属と反応して、少なくとも1つの部分内に新たな化学化合物を形成するように、金属を含む層の化学組成を変化させるように構成されている、実施形態78~83の何れかに記載の装置。
85.エネルギ送達システムは、反応媒体中の化学結合を切断するためにUV、DUV及び/又はEUV放射線を送達するように更に構成されている、実施形態78~84の何れかに記載の装置。
86.修正中に生成されたデブリを層の表面から除去するためのデブリ除去システムを含み、任意選択で、デブリ除去システムは、放電、ガス及び液体の流れ並びにデブリを除去するための反応媒体の少なくとも1つを含む、何れかの先行する実施形態に記載の装置。
87.基板にガス及び/又は液体を接触させて、基板から熱を除去するための冷却システムを含み、任意選択で、冷却システムは、エネルギ送達システムによって修正された層の少なくとも一部にガス及び/又は液体を送達するように構成されている、何れかの先行する実施形態に記載の装置。
88.基板上に層を堆積させる補助層堆積システムを含み、任意選択で、補助層堆積システムは、炭素又は金属を含む基板及び/又は層、及び/又は基板の他の部分に保護層、電気的絶縁層、BARC及び/又はレジストを堆積させるように構成されている、何れかの先行する実施形態に記載の装置。
89.不透明層の少なくとも1つの部分は、任意選択のBARC及びレジスト層の堆積及びリソツール内での基板のパターニング前に修正される、何れかの先行する実施形態に記載の装置。
90.層堆積システムを含み、層堆積システムは、層内の少なくとも1つのシード副層の作成のために堆積条件を変化させるように動作可能であり、任意選択で、シード副層は、ナノダイヤモンド核生成及び/又はダイヤモンド状炭素(DLC)のためのシード副層として動作するsp3配位炭素を含み、任意選択で、シード副層内のsp3配位炭素原子の濃度は、他の副層より高い、何れかの先行する実施形態に記載の装置。
91.層堆積システムは、不透明な炭素層に対して四価炭素原子の濃度が相対的に上昇しており、厚さが層より薄い追加層がシード副層として設けられるように構成されている、実施形態90に記載の装置。
92.層堆積システムは、炭素層を含む層の構造修正を使用して、第1の堆積プロセスで堆積された層の下部部分の透明度が高められる一方、第2の堆積プロセスによる修正後に層の上部部分が提供されるように構成されている、実施形態90又は91に記載の装置。
93.基板から材料を除去するための層除去システムを含み、任意選択で、層除去システムは、基板から除去される材料が少なくとも1つのフィーチャの位置及びサイズに対応するように構成されたリソエッチングシステムを含み、及び/又は任意選択で、層除去システムは、化学機械研磨(CMP)装置を含み、及び/又は任意選択で、層除去システムは、アブレーションシステムを含む、何れかの先行する実施形態に記載の装置。
94.層除去システムは、基板上の保護層、基板上の電気的絶縁層、基板上のBARC及び/又はレジスト、炭素若しくは金属を含む層及び/又は炭素若しくは金属を含む層にある修正された炭素又は金属の少なくとも1つを少なくとも部分的に除去及び/又は平坦化するように構成されている、実施形態93に記載の装置。
95.層の少なくとも1つの部分の1つ以上のパラメータを測定し、且つ1つ以上のパラメータに基づいてエネルギ送達システムを制御するように構成されたフィードバック制御システムを更に含む、何れかの先行する実施形態に記載の装置。
96.フィードバック制御システムは、放射線センサを含み、任意選択で、放射線センサは、層の少なくとも1つの部分から放射線を受けるように構成されており、及び/又は任意選択で、フィードバック制御システムによって受けられた放射線は、エネルギ送達システムによって生成された反射及び/又は散乱された放射線を含む、実施形態95に記載の装置。
97.半導体デバイス基板における少なくとも1つのフィーチャに関連する情報を測定するための方法であって、少なくとも1つのフィーチャは、炭素又は金属を含む層によって少なくとも部分的に覆い隠され、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号は、フィーチャに到達することを妨げられ、方法は、
エネルギ送達システムにより、層の少なくとも1つの部分を修正して、少なくとも1つの部分の透明度を高めることであって、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号の少なくとも一部は、少なくとも1つのフィーチャに関連する情報を測定するために層の少なくとも1つの部分を通して伝搬することができる、高めること
を含む、方法。
98.半導体デバイス基板における少なくとも1つのフィーチャに関連する情報を測定するための装置であって、少なくとも1つのフィーチャは、炭素を含む層によって少なくとも部分的に覆い隠され、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号は、フィーチャに到達することを妨げられ、装置は、
エネルギ送達システムであって、層の少なくとも1つの部分を修正して、少なくとも1つの部分の透明度を高めるように構成されており、それにより、光信号の少なくとも一部は、少なくとも1つのフィーチャに関連する情報を測定するために層の少なくとも1つの部分を通して伝搬することができる、エネルギ送達システム
を含み、エネルギ送達システムは、炭素内に相変化を引き起こし、及び/又は層の少なくとも1つの部分において四価(sp3配位)炭素原子の濃度の上昇を引き起こすことにより、層の少なくとも1つの部分を修正するためのビームを放射するように構成されている、装置。
99.相変化は、炭素がダイヤモンド及びダイヤモンド状炭素の少なくとも一方を形成するように層の少なくとも1つの部分において炭素を修正する、実施形態98に記載の装置。
100.エネルギ送達システムは、放射線を放射する少なくとも1つのパルス状レーザ源及び/又はエネルギ粒子のフォーカスされたビームの少なくとも1つの源の少なくとも一方を含む、実施形態98に記載の装置。
101.少なくとも1つのレーザは、
5fs~500psの範囲のパルス継続時間を有する可視及び/又は赤外レーザパルス、
1ps~500nsの範囲のパルス継続時間を有する紫外レーザパルス、及び
1fs~100nsの範囲のパルス継続時間を有する軟X線~DUVレーザパルス
の1つ以上を放射するように構成されている、実施形態100に記載の装置。
102.少なくとも1つのレーザは、第1のパルス継続時間の少なくとも1つのレーザパルスを含む最初のパルストレインを放射するように構成されており、且つ
より短い第2のパルス継続時間の少なくとも1つのレーザパルスを含む次のパルストレインを放射するように更に構成されている、実施形態100に記載の装置。
103.少なくとも1つのパルス状レーザ源は、層のアブレーション閾値を下回るピーク放射フルエンス又は強度を有する放射線を放射するように構成されている、実施形態100に記載の装置。
104.エネルギ送達システムは、層の少なくとも1つの部分のパルス状加熱のための放射線及び/又は粒子を放射するように構成されている、実施形態98に記載の装置。
105.エネルギ送達システムは、電子ビーム、イオンビーム、中性ビーム、5~20nmの範囲の極端紫外線(EUV)ビーム及び20~100nmの範囲の波長を有する放射線を含むビームの1つ以上を放射するように構成されている、実施形態104に記載の装置。
106.半導体デバイス基板における少なくとも1つのフィーチャに関連する情報を測定するための装置であって、少なくとも1つのフィーチャは、金属を含む層によって少なくとも部分的に覆い隠され、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号は、フィーチャに到達することを妨げられ、装置は、
エネルギ送達システムであって、層の少なくとも1つの部分を修正して、少なくとも1つの部分の透明度を高めるように構成されており、それにより、光信号の少なくとも一部は、少なくとも1つのフィーチャに関連する情報を測定するために層の少なくとも1つの部分を通して伝搬することができる、エネルギ送達システム
を含む、装置。
107.エネルギ送達システムは、金属を含む層の少なくとも1つの部分を修正するための放射線及び/又は粒子を放射するように構成されている、実施形態106に記載の装置。
108.エネルギ送達システムは、層の少なくとも1つの部分を化学的に変換して、少なくとも1つの部分の化学組成を変化させるために、反応媒体の存在下において、金属を含む層の少なくとも1つの部分を修正するように構成されている、実施形態106に記載の装置。
109.エネルギ送達システムは、金属を含む層の少なくとも1つの部分の透明度を高めるために、金属を含む層を他の原子、イオン又は分子で飽和させるためのイオンビームを提供するように構成されている、実施形態107に記載の装置。
110.エネルギ送達システムは、電界ポテンシャルを、金属を含む層と、電界を発生させる電極との間に提供するように構成された陽極酸化システムを含み、装置は、層の少なくとも1つの部分を化学的に変換して、少なくとも1つの部分の化学組成を変化させるための反応媒体を提供するように構成されている、実施形態107に記載の装置。
111.半導体デバイス基板における少なくとも1つのフィーチャに関連する情報を測定することを可能にするための方法であって、少なくとも1つのフィーチャは、炭素を含む層によって少なくとも部分的に覆い隠され、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号は、フィーチャに到達することを妨げられ、方法は、
エネルギ送達システムにより、炭素内に相変化を引き起こし、及び/又は層の少なくとも1つの部分において四価(sp3配位)炭素原子の濃度の上昇を引き起こすビームを放射することにより、層の少なくとも1つの部分を修正して、少なくとも1つの部分の透明度を高めること
を含む、方法。
112.半導体デバイス基板における少なくとも1つのフィーチャに関連する情報を測定することを可能にするための方法であって、少なくとも1つのフィーチャは、金属を含む層によって少なくとも部分的に覆い隠され、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号は、フィーチャに到達することを妨げられ、方法は、
エネルギ送達システムにより、金属を含む層の少なくとも1つの部分を修正して、少なくとも1つの部分の透明度を高めることであって、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号の少なくとも一部は、金属を含む層の少なくとも1つの部分を通して伝搬することができる、高めること
を含む、方法。
[000323] コンピュータプログラム命令は、コンピュータ可読媒体に記憶され得、コンピュータ又は他のプログラム可能データ処理装置を特定の様式で機能させ得、それにより、コンピュータ可読媒体に記憶された命令により、ブロック図及び/又はフローチャートの1つ又は複数のブロックに明記された機能/動作を実施する命令を含む製造物が製造される。
[000324] 有形の非一時的コンピュータ可読媒体として、電子式、磁気式、光学式、電磁式又は半導体式のデータ記憶システム、装置又はデバイスがあり得る。コンピュータ可読媒体のより具体的な例として、ポータブルコンピュータディスケット、ランダムアクセスメモリ(RAM)回路、読み出し専用メモリ(ROM)回路、消去可能プログラム可能読み出し専用メモリ(EPROM又はフラッシュメモリ)回路、ポータブルコンパクトディスク読み出し専用メモリ(CD-ROM)及びポータブルデジタルビデオディスク読み出し専用メモリ(DVD/Blu-ray)等がある。
[000325] コンピュータプログラム命令は、コンピュータ及び/又は他のプログラム可能データ処理装置にロードされて、コンピュータ及び/又は他のプログラム可能装置上で一連の動作ステップを実施させて、コンピュータで実施されるプロセスを実現することも可能であり、それにより、コンピュータ又は他のプログラム可能装置上で実行される命令は、ブロック図及び/又はフローチャートの1つ又は複数のブロックに明記された機能/動作を実施するためのステップを提供する。
[000326] 従って、本発明は、ハードウェア及び/又はプロセッサ上で動作するソフトウェア(ファームウェア、常駐ソフトウェア、マイクロコード等を含む)の形態で実施され得、これらは、まとめて「回路」、「モジュール」又はこれらに類する用語で呼ばれ得る。
[000327] 幾つかの代替実装形態では、各ブロックに記された機能/動作は、フローチャートに記載の順序から外れて実施され得ることにも留意されたい。例えば、連続して示されている2つのブロックは、実際にはほぼ同時に実行され得るか、又はそれらのブロックは、ときに逆の順序で実行され得、こうしたことは、必要な機能性/動作に依存し得る。更に、フローチャート及び/又はブロック図の所与のブロックの機能性が複数のブロックに分割され得、及び/又はフローチャート及び/又はブロック図の2つ以上のブロックの機能性が少なくとも部分的に統合され得る。最後に、図示されているブロック間に他のブロックが追加/挿入され得る。
[000328] ここまで本開示の特定の例について説明してきたが、本開示は、説明された以外の方法で実施され得ることが理解される。上述の説明は、限定的ではなく、例示的であるものとする。従って、当業者であれば明らかなように、以下で示される請求項の範囲から逸脱しない限り、記載された本開示に対する変更形態がなされ得る。

Claims (15)

  1. 半導体デバイス基板における少なくとも1つのフィーチャに関連する情報を測定するための装置であって、前記少なくとも1つのフィーチャは、アモルファス炭素を含む炭素層によって少なくとも部分的に覆い隠され、それにより、前記少なくとも1つのフィーチャに関連する前記情報を測定するための光信号は、前記フィーチャに到達することを妨げられ、前記装置は、
    エネルギ送達システムであって、前記炭素層の少なくとも1つの部分を修正して、前記少なくとも1つの部分の透明度を高めるように構成されており、それにより、前記光信号の少なくとも一部は、前記少なくとも1つのフィーチャに関連する前記情報を測定するために前記炭素層の前記少なくとも1つの部分を通して伝搬することができる、エネルギ送達システム
    を含み、前記エネルギ送達システムは、前記炭素層の前記少なくとも1つの部分において四価(sp3配位)炭素原子の濃度の上昇を引き起こすことにより、前記炭素層の前記少なくとも1つの部分を修正するためのビームを放射するように構成されている、装置。
  2. 前記エネルギ送達システムは、放射線を放射するための少なくとも1つのパルス状レーザ源及び/又はエネルギ粒子のフォーカスされたビームの少なくとも1つの源の少なくとも一方を含む、請求項1に記載の装置。
  3. 前記少なくとも1つのレーザは、
    5fs~500psの範囲のパルス継続時間を有する可視及び/又は赤外レーザパルス、
    1ps~500nsの範囲のパルス継続時間を有する紫外レーザパルス、及び
    1fs~100nsの範囲のパルス継続時間を有する軟X線~DUVレーザパルス
    の1つ以上を放射するように構成されている、請求項に記載の装置。
  4. 前記少なくとも1つのレーザは、第1のパルス継続時間の少なくとも1つのレーザパルスを含む最初のパルストレインを放射するように構成されており、且つ
    より短い第2のパルス継続時間の少なくとも1つのレーザパルスを含む次のパルストレインを放射するように更に構成されている、請求項に記載の装置。
  5. 前記少なくとも1つのパルス状レーザ源は、前記炭素層のアブレーション閾値を下回るピーク放射フルエンス又は強度を有する放射線を放射するように構成されている、請求項に記載の装置。
  6. 前記エネルギ送達システムは、前記炭素層の前記少なくとも1つの部分のパルス状加熱のための放射線及び/又は粒子を放射するように構成されている、請求項1に記載の装置。
  7. 前記エネルギ送達システムは、電子ビーム、イオンビーム、中性ビーム、5~20nmの範囲の極端紫外線(EUV)ビーム及び20~100nmの範囲の波長を有する放射線を含むビームの1つ以上を放射するように構成されている、請求項に記載の装置。
  8. 前記炭素層の前記少なくとも1つの部分の1つ以上のパラメータを測定し、且つ前記1つ以上のパラメータに基づいて前記エネルギ送達システムを制御するように構成されたフィードバック制御システムを更に含む、請求項1に記載の装置。
  9. 前記エネルギ送達システムは、前記炭素層の前記少なくとも1つの部分の前記透明度を前記炭素層の全体厚さ未満の深さまで修正するための放射線及び/又は粒子を放射するように構成されている、請求項1に記載の装置。
  10. 前記炭素層を前記基板上に堆積させるための層堆積システムを更に含む、請求項1に記載の装置。
  11. 前記修正中に生成されたデブリ粒子を前記炭素層の表面から除去するためのデブリ除去システムを更に含む、請求項1に記載の装置。
  12. 冷却液を保持するように構成されたチャンバを更に含み、前記半導体デバイス基板は、少なくとも前記エネルギ送達システムによる前記ビームの放射中に前記冷却液に少なくとも部分的に浸漬される、請求項1に記載の装置。
  13. 半導体デバイス基板における少なくとも1つのフィーチャに関連する情報を測定することを可能にするための方法であって、前記少なくとも1つのフィーチャは、アモルファス炭素を含む炭素層によって少なくとも部分的に覆い隠され、それにより、前記少なくとも1つのフィーチャに関連する情報を測定するための光信号は、前記フィーチャに到達することを妨げられ、前記方法は、
    エネルギ送達システムにより、前記炭素層の少なくとも1つの部分において四価(sp3配位)炭素原子の濃度の上昇を引き起こすビームを放射することにより、前記炭素層の前記少なくとも1つの部分を修正して、それにより、前記光信号の少なくとも一部は、前記少なくとも1つのフィーチャに関連する前記情報を測定するために前記炭素層の前記少なくとも1つの部分を通して伝搬することができる程度に、前記少なくとも1つの部分の透明度を高めること
    を含む、方法。
  14. 少なくとも1つのプロセッサで実行されたとき、請求項13に記載の方法を実施するように装置を制御することを前記少なくとも1つのプロセッサに行わせる命令を含むコンピュータプログラム。
  15. 請求項14に記載のコンピュータプログラムを含むコンピュータ読み取り可能な記憶媒体。
JP2019571321A 2017-07-17 2018-06-18 情報を測定する装置及び方法 Active JP7265493B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP17181716.6A EP3432071A1 (en) 2017-07-17 2017-07-17 Information determining apparatus and method
EP17181716.6 2017-07-17
EP17205177.3 2017-12-04
EP17205177 2017-12-04
PCT/EP2018/066106 WO2019015899A1 (en) 2017-07-17 2018-06-18 APPARATUS AND METHOD FOR DETERMINING INFORMATION

Publications (2)

Publication Number Publication Date
JP2020527742A JP2020527742A (ja) 2020-09-10
JP7265493B2 true JP7265493B2 (ja) 2023-04-26

Family

ID=62597530

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019571321A Active JP7265493B2 (ja) 2017-07-17 2018-06-18 情報を測定する装置及び方法

Country Status (6)

Country Link
US (1) US10948837B2 (ja)
JP (1) JP7265493B2 (ja)
KR (1) KR20200015775A (ja)
CN (1) CN111095108A (ja)
TW (1) TW201908715A (ja)
WO (1) WO2019015899A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7110044B2 (ja) * 2018-09-14 2022-08-01 キオクシア株式会社 修正パターン生成装置、パターン欠陥修正システム、修正パターン生成方法、及び半導体装置の製造方法
TWI730799B (zh) * 2020-06-04 2021-06-11 力晶積成電子製造股份有限公司 影像感測器的製造方法及對準標記結構
US11621175B1 (en) * 2021-12-03 2023-04-04 PulseForge Inc. Method and apparatus for removing particles from the surface of a semiconductor wafer

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001203146A (ja) 2000-01-20 2001-07-27 Toshiba Corp パターン形成方法
US6303459B1 (en) 1999-11-15 2001-10-16 Taiwan Semiconductor Manufacturing Company Integration process for Al pad
JP2006114897A (ja) 2004-10-11 2006-04-27 Samsung Electronics Co Ltd 半導体製造工程のアライメント測定方法
JP2007273971A (ja) 2006-03-08 2007-10-18 Asml Netherlands Bv 改良されたリソグラフィ用アライメント方法及びシステム
JP2016170350A (ja) 2015-03-13 2016-09-23 株式会社東芝 アライメントマークの形成方法および半導体装置

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56126921A (en) 1980-03-12 1981-10-05 Fujitsu Ltd Automatic positioning method
JPS639933A (ja) 1986-07-01 1988-01-16 Oki Electric Ind Co Ltd パタ−ン形成方法
JPH01140625A (ja) 1987-11-26 1989-06-01 Tokyo Electron Ltd 半導体ウエハの位置合わせ方法
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
JP2938568B2 (ja) 1990-05-02 1999-08-23 フラウンホファー・ゲゼルシャフト・ツール・フォルデルング・デル・アンゲバンテン・フォルシュング・アインゲトラーゲネル・フェライン 照明装置
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
JPH08315416A (ja) * 1995-05-11 1996-11-29 Asahi Chem Ind Co Ltd 光記録媒体
JPH1167660A (ja) 1997-06-09 1999-03-09 Nikon Corp 露光装置、該露光装置の製造方法及びデバイスの製造方法
AU2747999A (en) 1998-03-26 1999-10-18 Nikon Corporation Projection exposure method and system
JP4088742B2 (ja) * 2000-12-26 2008-05-21 信越化学工業株式会社 フォトマスクブランクス、フォトマスク及びフォトマスクブランクスの製造方法
DE60319462T2 (de) 2002-06-11 2009-03-12 Asml Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
CN100470367C (zh) 2002-11-12 2009-03-18 Asml荷兰有限公司 光刻装置和器件制造方法
US20050186753A1 (en) * 2004-02-25 2005-08-25 Ping-Hsu Chen FIB exposure of alignment marks in MIM technology
KR100610010B1 (ko) 2004-07-20 2006-08-08 삼성전자주식회사 반도체 식각 장치
US8124301B2 (en) * 2005-09-21 2012-02-28 Dai Nippon Printing Co., Ltd. Gradated photomask and its fabrication process
US7239371B2 (en) 2005-10-18 2007-07-03 International Business Machines Corporation Density-aware dynamic leveling in scanning exposure systems
NL1036351A1 (nl) 2007-12-31 2009-07-01 Asml Netherlands Bv Alignment system and alignment marks for use therewith cross-reference to related applications.
KR101395733B1 (ko) 2009-06-17 2014-05-15 에이에스엠엘 네델란즈 비.브이. 오버레이 측정 방법, 리소그래피 장치, 검사 장치, 처리 장치, 및 리소그래피 처리 셀
JP6066728B2 (ja) 2009-12-15 2017-01-25 ラム リサーチ コーポレーションLam Research Corporation Cdの均一性を向上させるための基板温度調整を行う方法及びプラズマエッチングシステム
US9177219B2 (en) 2010-07-09 2015-11-03 Asml Netherlands B.V. Method of calibrating a lithographic apparatus, device manufacturing method and associated data processing apparatus and computer program product
US20130260289A1 (en) * 2012-04-02 2013-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a lithography mask
JP6312834B2 (ja) 2013-12-30 2018-04-18 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジーターゲットの設計のための方法及び装置
NL2013677A (en) 2014-01-24 2015-07-29 Asml Netherlands Bv Method of determining a measurement subset of metrology points on a substrate, associated apparatus and computer program.
US10249495B2 (en) * 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
EP3309617A1 (en) 2016-10-14 2018-04-18 ASML Netherlands B.V. Selecting a set of locations associated with a measurement or feature on a substrate

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6303459B1 (en) 1999-11-15 2001-10-16 Taiwan Semiconductor Manufacturing Company Integration process for Al pad
JP2001203146A (ja) 2000-01-20 2001-07-27 Toshiba Corp パターン形成方法
JP2006114897A (ja) 2004-10-11 2006-04-27 Samsung Electronics Co Ltd 半導体製造工程のアライメント測定方法
JP2007273971A (ja) 2006-03-08 2007-10-18 Asml Netherlands Bv 改良されたリソグラフィ用アライメント方法及びシステム
JP2016170350A (ja) 2015-03-13 2016-09-23 株式会社東芝 アライメントマークの形成方法および半導体装置

Also Published As

Publication number Publication date
WO2019015899A1 (en) 2019-01-24
US20200159134A1 (en) 2020-05-21
US10948837B2 (en) 2021-03-16
TW201908715A (zh) 2019-03-01
JP2020527742A (ja) 2020-09-10
KR20200015775A (ko) 2020-02-12
CN111095108A (zh) 2020-05-01

Similar Documents

Publication Publication Date Title
KR101771873B1 (ko) 집속 입자빔을 사용한 기판 처리 방법 및 장치
JP3267977B2 (ja) 照射による物質の選択的除去
JP7265493B2 (ja) 情報を測定する装置及び方法
JP4742105B2 (ja) 交互位相シフトマスクを修復する方法
JP5152751B2 (ja) 固体凝縮ガス層のエネルギー誘導局所除去によるパターニングおよびそのような層で生じる固体化学反応
JP2004537758A (ja) 電子ビーム処理
CZ378297A3 (cs) Odstranění materiálu polarizovaným zářením a působením záření na spodní stranu
JP2007041599A (ja) フォトマスク製造におけるプロセス集積のためのクラスターツールおよび方法
JP2007084919A (ja) デュアル周波数バイアスを具備する化学気相堆積チャンバおよびこれを使用するフォトマスク製造方法
JPH0817166B2 (ja) 超薄膜soi基板の製造方法及び製造装置
US20210079519A1 (en) Method and apparatus for forming a patterned layer of material
US11061316B2 (en) Mask blank, transfer mask, method of manufacturing a transfer mask, and method of manufacturing a semiconductor device
EP3432071A1 (en) Information determining apparatus and method
Elg Removal of tin from exterme ultraviolet collector optics by an in-situ hydrogen plasma
US5338393A (en) Method for the local removal of UV-transparent insulation layers on a semiconductor substrate
US20230185180A1 (en) Method and apparatus for etching a lithography mask
Peck Laser-enhanced plasma etching of semiconductor materials
Lee et al. Effect of UV/O3 treatment on mask surface to reducing sulfuric residue ions
Nye et al. Quantified Uniformity and Selectivity of TiO2 Films in 45‐nm Half Pitch Patterns Using Area‐Selective Deposition Supercycles
WO2022201138A1 (en) Method for generating a local surface modification of an optical element used in a lithographic system
TW202316197A (zh) 氣體誘導脫附的終點及修復分析
Hilleringmann Silicon Semiconductor Technology
Ernst UV and electron-assited oxidation of Al and Ru
Mitchell Femtosecond laser direct writing of silicon nanowires
Weilnboeck Plasma interactions with masking materials for nanofabrication

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200218

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200218

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201211

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210308

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20210623

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211020

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20211020

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20211104

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20211105

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20211210

C211 Notice of termination of reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C211

Effective date: 20211214

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20220613

C13 Notice of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: C13

Effective date: 20221025

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230120

C23 Notice of termination of proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C23

Effective date: 20230228

C03 Trial/appeal decision taken

Free format text: JAPANESE INTERMEDIATE CODE: C03

Effective date: 20230324

C30A Notification sent

Free format text: JAPANESE INTERMEDIATE CODE: C3012

Effective date: 20230324

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230414

R150 Certificate of patent or registration of utility model

Ref document number: 7265493

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150