JP7265493B2 - Apparatus and method for measuring information - Google Patents

Apparatus and method for measuring information Download PDF

Info

Publication number
JP7265493B2
JP7265493B2 JP2019571321A JP2019571321A JP7265493B2 JP 7265493 B2 JP7265493 B2 JP 7265493B2 JP 2019571321 A JP2019571321 A JP 2019571321A JP 2019571321 A JP2019571321 A JP 2019571321A JP 7265493 B2 JP7265493 B2 JP 7265493B2
Authority
JP
Japan
Prior art keywords
layer
substrate
carbon
radiation
energy delivery
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019571321A
Other languages
Japanese (ja)
Other versions
JP2020527742A (en
Inventor
ガオ,アン
ラルバハドーシング,サンジャイシン
ニキペロフ,アンドレイ
ポリャコフ,アレクセイ,オレゴヴィチ
ピーターソン,ブレナン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP17181716.6A external-priority patent/EP3432071A1/en
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2020527742A publication Critical patent/JP2020527742A/en
Application granted granted Critical
Publication of JP7265493B2 publication Critical patent/JP7265493B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/708Mark formation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54453Marks applied to semiconductor devices or parts for use prior to dicing

Description

関連出願の相互参照
[0001] 本出願は、参照によって全体として本明細書に組み込まれる、2017年7月17日に出願された欧州特許出願公開第17181716.6号及び2017年12月4日に出願された欧州特許出願公開第17205177.3号の優先権を主張するものである。
Cross-reference to related applications
[0001] This application is the subject matter of European Patent Application Publication No. 17181716.6 filed July 17, 2017 and European Patent This application claims priority from Application Publication No. 17205177.3.

[0002] 本開示は、半導体素子の製造中に情報を測定することに関する。 [0002] The present disclosure relates to measuring information during the manufacture of semiconductor devices.

[0003] リソグラフィ装置は、所望のパターンを基板に施すように構築された機械である。リソグラフィ装置は、例えば、集積回路(IC)の製造に使用可能である。リソグラフィ装置は、例えば、パターニングデバイス(例えば、マスク)にあるパターン(「デザインレイアウト」又は「デザイン」と呼ばれることも多い)を、基板(例えば、ウェーハ)上に設けられた放射感応性材料(レジスト)層に投影し得る。 [0003] A lithographic apparatus is a machine constructed to apply a desired pattern onto a substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). A lithographic apparatus, for example, applies a pattern (often called a “design layout” or “design”) in a patterning device (e.g. mask) onto a radiation-sensitive material (resist pattern) provided on a substrate (e.g. a wafer). ) layer.

[0004] リソグラフィ装置は、基板にパターンを投影するために電磁放射を使用し得る。この放射の波長は、基板上に形成可能なフィーチャの最小サイズを決定する。現在使用されている典型的な波長は、365nm(i線)、248nm、193nm及び13.5nmである。波長が4~20nmの範囲、例えば6.7nm又は13.5nmである極端紫外線(EUV)放射を使用するリソグラフィ装置は、例えば、波長が193nmの放射を使用するリソグラフィ装置よりも小さいフィーチャを基板上に形成するために使用され得る。 [0004] A lithographic apparatus may use electromagnetic radiation to project a pattern onto a substrate. The wavelength of this radiation determines the minimum feature size that can be formed on the substrate. Typical wavelengths currently in use are 365 nm (i-line), 248 nm, 193 nm and 13.5 nm. A lithographic apparatus using extreme ultraviolet (EUV) radiation with a wavelength in the range of 4-20 nm, such as 6.7 nm or 13.5 nm, can, for example, produce smaller features on a substrate than a lithographic apparatus using radiation with a wavelength of 193 nm. can be used to form a

[0005] IC又は半導体素子の製造工程の一環として高アスペクト比のエッチングを施すために、いわゆる「ハードマスク」として使用可能なアモルファス炭素層が特定の基板層に堆積される。炭素層は、深い高アスペクト比の構造をIC内部にエッチングすることに使用可能である。アモルファス炭素層は、例えば、動的ランダムアクセスメモリ(DRAM)デバイス及び3D否定論理積(NAND)デバイスの製造に広く使用されている。但し、炭素層は、他のタイプのIC及び半導体デバイスの製造にも使用され得る。 [0005] To perform high aspect ratio etching as part of the IC or semiconductor device manufacturing process, an amorphous carbon layer that can be used as a so-called "hard mask" is deposited on certain substrate layers. The carbon layer can be used to etch deep high aspect ratio structures inside the IC. Amorphous carbon layers are widely used, for example, in the fabrication of dynamic random access memory (DRAM) devices and 3D NAND (NAND) devices. However, carbon layers can also be used in the manufacture of other types of ICs and semiconductor devices.

[0006] ICの製造工程の様々な段階において、IC又は半導体デバイスの一部をなす構造のパターンが、下にあるパターンの上に正確に印刷されるように、IC又は半導体デバイスを含む基板をアライメントすることが必要になる場合がある。ミスアライメントは、IC又は半導体デバイスの隣接層間にいわゆるオーバーレイ(OV)エラーを引き起こす可能性があり、その結果、デバイスが動作しないか、又は最適なものにならないことがあり得る。IC又は半導体デバイスのアライメントを検証するために、アライメントセンサ等の適切な計器を使用して、少なくとも1つの層に設けられたフィーチャ、例えばアライメントフィーチャ又は他のマークの位置及び/又は方位等の情報を測定し得る。 [0006] At various stages in the manufacturing process of an IC, a substrate containing an IC or semiconductor device is placed so that patterns of structures forming part of the IC or semiconductor device can be accurately printed over the underlying pattern. Alignment may be required. Misalignment can cause so-called overlay (OV) errors between adjacent layers of an IC or semiconductor device, which can result in non-operating or sub-optimal devices. Information such as the position and/or orientation of features, such as alignment features or other marks, provided in at least one layer, using suitable instrumentation such as alignment sensors, to verify alignment of an IC or semiconductor device. can be measured.

[0007] 炭素層が存在する場合、アライメントセンサは、炭素層の下にあるフィーチャを検出できない場合がある。これは、アライメントセンサが動作する波長の放射を炭素層が吸収するためである。これらのフィーチャが正確に検出されないと、基板の各層に構造を印刷するために必要な精度(例えば、場合によりnm配置精度)を達成することが困難になる場合がある。 [0007] If a carbon layer is present, the alignment sensor may not be able to detect features underlying the carbon layer. This is because the carbon layer absorbs radiation at the wavelengths at which the alignment sensor operates. Without accurate detection of these features, it may be difficult to achieve the necessary accuracy (eg, possibly nm placement accuracy) for printing structures on each layer of the substrate.

[0008] ICデバイス(例えば、3D ICデバイス)及び他の半導体デバイスに設けられる金属層でも同様の問題が発生する可能性がある。そのようデバイスは、アライメント処理において課題を引き起こす可能性がある。これは、そのような層が、アライメントセンサが動作する波長に対して不透明である場合があるためである。金属層は、屈折係数及び消光係数が高い場合があるため、不透明の下に位置するフィーチャから取得される情報をアライメントセンサが測定できない場合がある。 [0008] Similar problems can occur with metal layers provided in IC devices (eg, 3D IC devices) and other semiconductor devices. Such devices can pose challenges in the alignment process. This is because such layers may be opaque to the wavelengths at which the alignment sensor operates. Metal layers may have high refractive and extinction coefficients, which may prevent the alignment sensor from measuring information obtained from the underlying features that are opaque.

[0009] 不透明な金属層又は炭素層は、少なくとも以下のデバイスにおいて、ハードマスク又は機能層として使用されているか又は使用されることになる。
- 3D-NANDデバイスは、炭素又はドープ炭素のハードマスクを含み得る。そのようなデバイスは、厚さが約0.4~2μmに及ぶ重金属(例えば、タングステン(W))のハードマスクを含む場合がある。
- 3Dクロスポイントデバイスは、各層の厚さが約20~100nmに及ぶ複数の金属層(例えば、W層)を使用する。
[0009] Opaque metal or carbon layers have been or will be used as hard masks or functional layers in at least the following devices.
- 3D-NAND devices may include carbon or doped carbon hardmasks. Such devices may include a heavy metal (eg, tungsten (W)) hard mask with a thickness ranging from about 0.4-2 μm.
- 3D cross-point devices use multiple metal layers (eg W layers) with thickness of each layer ranging from about 20 to 100 nm.

[00010] 金属層と他の基板層(例えば、金属/(底部反射防止膜(BARC)又はレジスト)、金属/セラミック、金属/半導体)との接合面における高反射率と、金属層の高消光係数による光の減衰との組み合わせにより、不透明金属層を通過した後にアライメントセンサによって検出される信号レベルが不十分となる可能性がある。 [00010] High reflectivity at the interface between the metal layer and other substrate layers (e.g. metal/(bottom anti-reflective coating (BARC) or resist), metal/ceramic, metal/semiconductor) and high extinction of the metal layer Combined with the attenuation of light by the factor, the signal level detected by the alignment sensor after passing through the opaque metal layer can be insufficient.

[00011] 不透明な金属層又はアモルファス炭素層の反射率が高いことは、アライメントセンサによる測定が間違い又は誤りになることにつながり得る。更に、そのような不透明層は、下の層のトポグラフィをなぞる場合があり、従ってそれらの層の1つに設けられたフィーチャ(例えば、アライメントマーク、グレーティング等)のパターンを有する場合がある。しかし、そのようなフィーチャは、不透明層上において、元のフィーチャの位置からシフトした位置に存在する可能性がある。例えば、場合により約1~10nmに及ぶシフトが観察される可能性があり、最悪の場合にはシフトの大きさが金属層の厚さに匹敵する可能性がある。シフトのレベルは、そのような層及びその下の各層の製造に対応する化学機械研磨(CMP)、堆積及び/又は他の操作に依存し得る。同様に、不透明層の特性(例えば、屈折率n、消光係数k等)は、下の層のトポグラフィ又は組成によって歪む可能性があり、従って、不透明層からの反射光は、シフトしたフィーチャ/マークパターンでインプリントされる可能性がある。3Dクロスポイントデバイスの場合、シフトは、1~2nmのオーダーであり得る。 [00011] High reflectivity of opaque metal layers or amorphous carbon layers can lead to erroneous or erroneous measurements by alignment sensors. Further, such opaque layers may trace the topography of the layers below and thus have a pattern of features (eg, alignment marks, gratings, etc.) provided in one of those layers. However, such features may reside on the opaque layer at positions shifted from the original feature positions. For example, shifts ranging from about 1-10 nm can be observed in some cases, and in the worst case the magnitude of the shift can be comparable to the thickness of the metal layer. The level of shift may depend on chemical-mechanical polishing (CMP), deposition and/or other operations corresponding to the fabrication of such layers and the layers below them. Similarly, the properties of the opaque layer (e.g., refractive index n, extinction coefficient k, etc.) can be distorted by the topography or composition of the underlying layer, thus reflected light from the opaque layer will appear as shifted features/marks. It may be imprinted with a pattern. For 3D crosspoint devices, the shift can be on the order of 1-2 nm.

[00012] 不透明な金属層又は炭素層が使用される場合のアライメントを改善する様々な手法が使用可能である。例えば、クリアアウト処理では、不透明層のうち、フィーチャ/マークの上にある領域に対して、この領域の材料が除去され得るようにリソエッチング工程が実施され得る。その後、この領域は、別の(好ましくは光学的に不透明ではない)材料を再充填され得る。最後に、CMP工程を実施して表面を平坦化し、不透明層の他の部分(即ちアライメントフィーチャの上にある領域以外の領域)から再充填材料を除去することが行われ得る。しかしながら、これらの工程の幾つかは、高コストである場合がある(例えば、比較的厚いハードマスクにおいてクリアアウトが行われた場合に実施され得るドライエッチング)。 [00012] Various techniques are available to improve alignment when opaque metal or carbon layers are used. For example, in a clear-out process, a litho-etching step can be performed on the areas of the opaque layer overlying the features/marks so that the material in these areas can be removed. This area can then be refilled with another (preferably not optically opaque) material. Finally, a CMP step may be performed to planarize the surface and remove the refill material from other portions of the opaque layer (ie, areas other than those overlying the alignment features). However, some of these steps can be costly (eg, dry etching, which may be performed if the clearout is done on a relatively thick hardmask).

[00013] 不透明な金属層又は炭素層が使用される場合のアライメントを改善するために行われる別の処理では、基板内に設けられた元のアライメントフィーチャ/マークを基準とする追加のフィーチャ又は他のマークを印刷することが含まれ得、そのような追加のフィーチャは、不透明層の上側接合面において可視である。そのような処理は、幾つかの追加リソエッチング/堆積工程が必要になる場合があり、それらは、高コストになる可能性があり、追加プロセス工程によって引き起こされる汚染又はばらつきによって歩留まりを低下させる可能性があり、及び/又は製品オーバーレイを劣化させる可能性がある(即ち、フィーチャ/マークを新たな層にコピーした場合に誤差が積み上がることで製品オーバーレイが劣化する可能性がある)。 [00013] Another process performed to improve alignment when opaque metal or carbon layers are used is to add additional features or other alignment features relative to the original alignment features/marks provided in the substrate. and such additional features are visible on the upper mating surface of the opaque layer. Such processing may require several additional lithography/deposition steps, which can be costly and can reduce yield due to contamination or variability caused by the additional process steps. and/or may degrade the product overlay (i.e. errors can accumulate when the features/marks are copied to a new layer, which can degrade the product overlay).

[00014] 炭素層を含む基板のアライメントに対する現行のアプローチは、基板の上層又は中間層に追加のアライメントフィーチャ又はマークを設けて、基板のアライメントをアライメントセンサで測定することを含む。一例では、アライメントセンサは、アモルファス炭素層の上面にあるアライメントフィーチャからの反射を検出するように構成され得る。 [00014] Current approaches to the alignment of substrates containing carbon layers involve providing additional alignment features or marks in upper or intermediate layers of the substrate to measure the alignment of the substrate with an alignment sensor. In one example, the alignment sensor can be configured to detect reflections from alignment features on the top surface of the amorphous carbon layer.

[00015] 例えば、(例えば、基板を含む半導体デバイスの製造中の)基板におけるフィーチャ(例えば、ターゲットアライメントマーク等)の位置及び/又はアライメントに関連する情報を測定するための装置、ツール、方法及びシステム又はそれを測定することに関連する装置、ツール、方法及びシステムが提供される。 [00015] For example, apparatus, tools, methods and apparatus for measuring information related to the position and/or alignment of features (e.g., target alignment marks, etc.) in a substrate (e.g., during fabrication of a semiconductor device including the substrate). Devices, tools, methods and systems related to systems or measuring same are provided.

[00016] 本明細書に記載の装置、ツール、方法及び/又はシステムは、コスト効率の良い方式で情報を測定すること、他のソリューションより少ないステップ数及び/又は短い時間で情報を測定すること、基板に影響する汚染のリスクを減らすか又はなくすこと、情報を測定することに関連する測定結果の信頼性及び/又は精度を改善すること、製品の歩留まりを高めること、及び/又は基板における相対的に不透明及び/又は反射性の層を通して情報を測定することを可能にすることの少なくとも1つを可能にすることができる。 [00016] The devices, tools, methods and/or systems described herein measure information in a cost-effective manner, take fewer steps and/or take less time than other solutions. , reduce or eliminate the risk of contamination affecting the substrate, improve the reliability and/or accuracy of measurement results associated with measuring information, increase product yield, and/or at least one of allowing information to be measured through a substantially opaque and/or reflective layer.

[00017] 本開示の一例によれば、半導体デバイス基板における少なくとも1つのフィーチャに関連する情報を測定するための装置が提供される。少なくとも1つのフィーチャは、炭素を含む層によって少なくとも部分的に覆い隠され得、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号は、フィーチャに到達することを妨げられ得る。少なくとも1つのフィーチャは、金属を含む層によって少なくとも部分的に覆い隠され得、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号は、フィーチャに到達することを妨げられ得る。装置は、エネルギ送達システムを含み得る。エネルギ送達システムは、層の少なくとも1つの部分を修正して、少なくとも1つの部分の透明度を高めるように構成され得、それにより、光信号の少なくとも一部は、少なくとも1つのフィーチャに関連する情報を測定するために層の少なくとも1つの部分を通して伝搬することができる。 [00017] According to one example of the present disclosure, an apparatus is provided for measuring information related to at least one feature in a semiconductor device substrate. The at least one feature may be at least partially obscured by the carbon-containing layer such that optical signals for measuring information associated with the at least one feature may be prevented from reaching the feature. The at least one feature may be at least partially obscured by the layer comprising metal such that optical signals for measuring information related to the at least one feature may be prevented from reaching the feature. The device may include an energy delivery system. The energy delivery system may be configured to modify at least one portion of the layer to make at least one portion more transparent, such that at least a portion of the optical signal conveys information related to the at least one feature. It can propagate through at least one portion of the layer for measurement.

[00018] 使用時、エネルギ送達システムは、基板のハードマスクを含むか又は画定し得る、炭素を含む層の少なくとも1つの部分を構造的に修正し得、それにより、少なくとも1つの部分の透明度を高めることができる。エネルギ送達システムは、金属を含む層の少なくとも1つの部分を化学的及び/又は構造的に修正して、少なくとも1つの部分の透明度を高めることができる。部分の透明度を高めることにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号が少なくとも1つの部分を通して伝搬してフィーチャに到達することを可能にすることができ、フィーチャは、アライメントマーク、ターゲットアライメントマーク、オーバーレイフィーチャ、メトロロジターゲット又は他の任意の、基板にあるフィーチャの形態であり得る。光信号は、戻り光信号が少なくとも1つの部分を通して伝搬することができるように少なくとも1つのフィーチャと相互作用し得る。少なくとも1つのフィーチャに関連する情報を測定するために、戻り光信号の少なくとも1つの特性が測定され得る。戻り光信号によって提供される情報は、光信号により、修正された少なくとも1つの部分を通した直接測定を可能にすることにより、少なくとも1つのフィーチャの存在、位置、方位等の少なくとも1つを示し得る。直接測定が可能になることは、半導体デバイス基板の製造時に必要なリソグラフィ、及び/又はエッチング、及び/又は堆積のステップ数が少なくなることを意味し、それにより、製造プロセスで必要となる時間及び支出を減らし得る。直接測定により、装置が、間接的なアライメント方法よりも正確に基板のアライメントを測定することを可能にすることができ、それにより、半導体構造、導体構造、絶縁体構造及び/又は他の構造を含む層間のオーバーレイエラーを減らすことが可能になる。 [00018] In use, the energy delivery system may structurally modify at least one portion of the carbon-containing layer, which may include or define a hard mask of the substrate, thereby increasing the transparency of the at least one portion. can be enhanced. The energy delivery system can chemically and/or structurally modify at least one portion of the metal-containing layer to increase the transparency of at least one portion. Increasing the transparency of the portions can allow optical signals for measuring information related to the at least one feature to propagate through the at least one portion to reach the feature, the feature being an alignment mark , alignment marks, overlay features, metrology targets or any other feature on the substrate. An optical signal may interact with at least one feature such that a return optical signal can propagate through at least one portion. At least one characteristic of the return optical signal may be measured to determine information related to the at least one feature. The information provided by the returned optical signal indicates at least one of the presence, position, orientation, etc. of at least one feature by allowing direct measurement through at least one portion modified by the optical signal. obtain. The ability to make direct measurements means that fewer lithographic and/or etching and/or deposition steps are required during the fabrication of semiconductor device substrates, thereby reducing the time and effort required in the fabrication process. can reduce spending. Direct measurements can allow the apparatus to measure substrate alignment more accurately than indirect alignment methods, thereby aligning semiconductor, conductor, insulator and/or other structures. It becomes possible to reduce overlay errors between layers including.

[00019] エネルギ送達システムは、層の少なくとも1つの部分において炭素の消光係数及び/又は屈折係数を減らすことにより、層の少なくとも1つの部分を修正するためのビームを放射するように構成され得る。 [00019] The energy delivery system may be configured to emit a beam for modifying at least one portion of the layer by reducing the extinction and/or refractive index of carbon in at least one portion of the layer.

[00020] エネルギ送達システムは、(例えば、層の少なくとも1つの部分において)炭素内に相変化を引き起こし、及び/又は層の少なくとも1つの部分において四価(sp3配位)炭素原子の濃度の上昇を引き起こすことにより、層の少なくとも1つの部分を修正するためのビームを放射するように構成され得る。 [00020] The energy delivery system causes a phase change in the carbon (eg, in at least one portion of the layer) and/or increases the concentration of tetravalent (sp3-coordinated) carbon atoms in at least one portion of the layer. to emit a beam for modifying at least one portion of the layer.

[00021] ビームは、放射線及び/又は粒子を含み得る。 [00021] The beam may include radiation and/or particles.

[00022] 炭素内に相変化を引き起こすことにより、所与の波長に対する層の消光係数を減らすことが可能になる。例えば、層を修正することにより、0.5μm~2μmの範囲の少なくとも1つの波長について、消光係数「k」を0.4上回る値から、0.4、0.2、0.1等を下回る値に減らすことが可能になる。 [00022] Inducing a phase change in the carbon makes it possible to reduce the extinction coefficient of the layer for a given wavelength. For example, by modifying the layers, for at least one wavelength in the range 0.5 μm to 2 μm, the extinction coefficient “k” ranges from 0.4 above to below 0.4, 0.2, 0.1, etc. value can be reduced.

[00023] 相変化は、炭素がダイヤモンド及びダイヤモンド状炭素の少なくとも一方を形成するように層の少なくとも1つの部分において炭素を修正することができる。 [00023] The phase change can modify the carbon in at least one portion of the layer such that the carbon forms diamond and/or diamond-like carbon.

[00024] 層は、アモルファス炭素、グラファイト、DLC及び/又はダイヤモンド又は他の任意の形態の炭素を含み得る。層は、グラファイト又はDLCと異なる材料を含み得る。層は、少なくとも10~50%又は他の任意のパーセンテージの炭素又はドープ炭素を含み得る。層は、水素、ホウ素、タングステン、窒素及び/又は他の任意の適切な元素、化合物又は不純物の少なくとも1つをドープされた炭素を含み得る。 [00024] The layer may comprise amorphous carbon, graphite, DLC and/or diamond or any other form of carbon. The layers may include materials different from graphite or DLC. The layer may contain at least 10-50% or any other percentage of carbon or doped carbon. Layers may include carbon doped with at least one of hydrogen, boron, tungsten, nitrogen and/or any other suitable element, compound or impurity.

[00025] ビーム送達システムに対する露光後、炭素層は、ダイヤモンド又はダイヤモンド状炭素を含み得、及び/又はそれらの濃度が上昇し得る。ダイヤモンドドメイン又はDLCドメインのサイズは、およそ値(n-0.3,h)の何れかに限定され得、ここで、nは、ドーパントの濃度であり、hは、層の厚さである。層の厚さ(例えば、約0.5~5μm)に匹敵するダイヤモンドドメインは、マイクロダイヤモンドであり得る。マイクロダイヤモンドは、情報を測定するアライメントシステムの放射線に対して効率的な散乱中心として動作し得る。マイクロダイヤモンドによって散乱され得る波長の例として、0.5~1μm、1~2μm又は他の任意の可能な波長範囲がある。マイクロダイヤモンドをより小さい散乱体、例えばナノダイヤモンド(例えば、d<0.1μm)で置き換えることにより、少なくとも1つの部分を通した少なくとも1つのフィーチャの可視性を高め得、これは、層内で発生する散乱が少なくなるためであると考えられる。特定の1つ又は複数の波長で動作するアライメント測定システム又は他の光学系を適切に選択することにより、層内の散乱体のサイズ及び/又は濃度に依存する散乱の影響を減らし得る。炭素を含む層及び/又はこの層内の副層の組成及び/又は厚さを適切に選択することと、エネルギ送達システムの放射線、及び/又は粒子のフルエンス、及び/又は電力、及び/又は位相分布を調節することとを組み合わせることにより、散乱体の平均(又は最大)サイズを減らすことが可能になり、これは、例えば、層を、マイクロダイヤモンドの代わりにナノダイヤモンドを含むように変換すること等によって行われる。 [00025] After exposure to the beam delivery system, the carbon layer may contain diamond or diamond-like carbon and/or may increase in concentration. The size of the diamond or DLC domains can be limited to approximately any of the values (n −0.3 ,h), where n is the dopant concentration and h is the layer thickness. Diamond domains comparable to the layer thickness (eg, about 0.5-5 μm) can be microdiamonds. Microdiamonds can act as efficient scattering centers for the radiation of alignment systems that measure information. Examples of wavelengths that can be scattered by microdiamonds are 0.5-1 μm, 1-2 μm, or any other possible wavelength range. Replacing microdiamonds with smaller scatterers, such as nanodiamonds (e.g., d<0.1 μm), may enhance the visibility of at least one feature through at least one portion, which occurs within the layer. This is thought to be due to the fact that less scattering occurs. Proper selection of alignment measurement systems or other optics operating at a particular wavelength or wavelengths can reduce the effect of scattering that is dependent on the size and/or concentration of scatterers in the layer. Appropriate selection of the composition and/or thickness of the carbon-containing layer and/or sub-layers within this layer and the radiation and/or particle fluence and/or power and/or phase of the energy delivery system In combination with adjusting the distribution, it is possible to reduce the average (or maximum) size of the scatterers, which, for example, transforms the layer to contain nanodiamonds instead of microdiamonds. etc.

[00026] ダイヤモンド及びダイヤモンド状炭素(DLC)は、可視スペクトル及び/又は赤外スペクトル内の少なくとも1つの波長に対して0.1を下回る消光係数を有し得る。 [00026] Diamond and diamond-like carbon (DLC) can have an extinction coefficient of less than 0.1 for at least one wavelength in the visible and/or infrared spectrum.

[00027] エネルギ送達システムは、放射線を放射する少なくとも1つのレーザ及び/又はエネルギ粒子のフォーカスされたビームの少なくとも1つの源の少なくとも一方を含み得る。 [00027] The energy delivery system may include at least one of at least one laser that emits radiation and/or at least one source of a focused beam of energetic particles.

[00028] 少なくとも1つのレーザは、スキャナ(例えば、基板にレジストが塗布されている場合に製造プロセス中に使用されるスキャナ)において、少なくとも1つの部分を照射するように構成され得る。スキャナ内でレジストの歪みが発生する可能性があり、これは、レジストの沸点が、炭素を含む層の沸点より低いためであることが理解される。少なくとも1つのレーザは、(例えば、少なくとも1つの部分を修正し、及び/又は少なくとも1つのフィーチャに関連する情報を測定するためのスタンドアロンツールの一部としての)別個のエネルギ源を使用して少なくとも1つの部分を照射するように構成され得る。この例では、少なくとも1つの部分のレーザ照射は、任意選択の追加ハードマスク材料、底部反射防止膜(BARC)及び/又はレジスト層を基板に塗布する前に実施され得る。 [00028] At least one laser may be configured to illuminate at least one portion in a scanner (eg, a scanner used during a manufacturing process when resist is applied to a substrate). It is understood that resist distortion can occur in the scanner because the boiling point of the resist is lower than the boiling point of the carbon-containing layer. at least one laser using a separate energy source (e.g., as part of a stand-alone tool for modifying at least one portion and/or measuring information related to at least one feature); It can be configured to illuminate one portion. In this example, laser irradiation of at least one portion may be performed prior to applying the optional additional hard mask material, bottom anti-reflective coating (BARC) and/or resist layer to the substrate.

[00029] 基板の製造中の少なくとも1つのリソエッチングステップを潜在的にはるかに安価なレーザ照射ステップに置き換えることにより、少なくとも1つの部分を含む層を通した直接アライメントにより、オーバーレイを改善することが可能になる。光信号の波長に対して透明であり、任意選択でエッチング耐性がある材料をクリアアウトに再充填することを含むステップをなくすことにより、時間及び支出を節約することが可能になる。 [00029] By replacing at least one litho-etching step during fabrication of the substrate with a potentially much cheaper laser irradiation step, direct alignment through a layer comprising at least one portion can improve overlay. be possible. Time and expense can be saved by eliminating the step involving refilling the clearout with a material that is transparent to the wavelength of the optical signal and optionally etch resistant.

[00030] 少なくとも1つのレーザは、一連のレーザパルスを放射するように構成されたパルス状レーザ源を含み得る。パルス状レーザ源は、少なくとも1つのレーザパルスを放射するように構成され得る。 [00030] The at least one laser may comprise a pulsed laser source configured to emit a series of laser pulses. A pulsed laser source may be configured to emit at least one laser pulse.

[00031] 少なくとも1つのレーザは、4nm~3μmの範囲の少なくとも1つの波長を有する放射線を放射するように構成され得る。放射線は、他の放射線又は幾つかの波長を含み得、例えば調波発生器等の出力を含み得ることが理解される。 [00031] The at least one laser may be configured to emit radiation having at least one wavelength in the range of 4 nm to 3 μm. It is understood that the radiation may include other radiation or several wavelengths and may include the output of, for example, harmonic generators.

[00032] 少なくとも1つのレーザは、5fs~500psの範囲のパルス継続時間を有する可視及び/又は赤外レーザパルス、1ps~500nsの範囲のパルス継続時間を有する紫外レーザパルス、及び1fs~100nsの範囲のパルス継続時間を有する軟X線~DUVレーザパルス等の1つ以上を放射するように構成され得る。エネルギ送達システムは、100ns未満、任意選択で10ns未満、任意選択で10fs超の継続時間を有するパルスを放射するように構成されたレーザを含み得る。少なくとも1つのレーザは、他のパルス継続時間、例えばマイクロ秒、ナノ秒、ピコ秒、フェムト秒、アト秒等、及び/又は他の波長、例えばX線、軟X線、EUV、DUV、UV、可視、赤外、中赤外、遠赤外、THz又は電磁スペクトル中の他の部分のレーザパルスを放射するように構成され得ることが理解される。 [00032] The at least one laser has visible and/or infrared laser pulses with a pulse duration ranging from 5 fs to 500 ps, an ultraviolet laser pulse having a pulse duration ranging from 1 ps to 500 ns, and a pulse duration ranging from 1 fs to 100 ns. can be configured to emit one or more such as soft X-ray to DUV laser pulses having a pulse duration of . The energy delivery system may include a laser configured to emit pulses having a duration of less than 100 ns, optionally less than 10 ns, optionally greater than 10 fs. The at least one laser may have other pulse durations such as microseconds, nanoseconds, picoseconds, femtoseconds, attoseconds, etc. and/or other wavelengths such as X-ray, soft X-ray, EUV, DUV, UV, It is understood that it can be configured to emit laser pulses in the visible, infrared, mid-infrared, far-infrared, THz or other portion of the electromagnetic spectrum.

[00033] 少なくとも1つのレーザは、第1のパルス継続時間の少なくとも1つのレーザパルスを含む最初のパルストレインを放射するように構成され得る。少なくとも1つのレーザは、より短い第2のパルス継続時間の少なくとも1つのレーザパルスを含む次のパルストレインを放射するように更に構成され得る。 [00033] The at least one laser may be configured to emit an initial pulse train including at least one laser pulse of a first pulse duration. The at least one laser may be further configured to emit a subsequent pulse train including at least one laser pulse of a second, shorter pulse duration.

[00034] 最初のパルストレインは、プレパルスを含み得、これは、ナノ秒及び/又はピコ秒のレーザパルスを含み得る。次のパルストレインは、フェムト秒のレーザパルスを含み得る。パルストレインと層との相互作用の結果として、少なくとも1つの部分において温度及び/又は圧力が変化し得る。異なるパルス継続時間を使用することにより、レーザパルスと層との間の相互作用の性質を、少なくとも1つの部分における温度と圧力とを切り離し得るように制御し得る。例えば、最初のパルストレインに関連付けられる温度上昇が起こる場合があり、このパルストレインは、ナノ秒、ピコ秒及びフェムト秒のパルスの少なくとも1つを含み得る。次のパルストレインに関連付けられる圧力及び/又は温度の上昇が起こる場合があり、このパルストレインは、フェムト秒のパルスを含み得る。レーザパルスと層との相互作用に対して特定の影響を有し得る少なくとも1つの異なるパルス継続時間を有するレーザを少なくとも1つ提供することにより、少なくとも1つの部分の特性の制御を精細又は強力に行うことが可能であり得る。例えば、レーザパラメータ及び/又は2つ以上のパルストレイン間の遅延を適切に選択することにより、相変化した材料のサイズ、分布及び/又はデブリ粒子生成並びにグレインのサイズを制御することが可能であり得る。ナノ秒パルスは、層を修正するプロセスを開始するために使用され得る。例えば、ナノ秒パルスで開始することにより、少なくとも1つの部分の材料を、500℃、1000℃、2000℃等を超える温度で熱運動化し得る。フェムト秒パルスを使用することにより、関与する圧力及び/又は温度をナノ秒パルスの場合に比べて著しく大きくし得る。例えば、圧力パルスは、0.1~10ギガパスカルのオーダー又は他の任意の圧力であり得、関与する温度は、100~10,000℃のオーダー又は他の任意の温度であり得る。放射線及び/又は粒子による修正中、他の任意の温度及び/又は圧力を層に発生させ得ることが理解される。 [00034] The initial pulse train may include a pre-pulse, which may include nanosecond and/or picosecond laser pulses. The next pulse train may include femtosecond laser pulses. Temperature and/or pressure changes may occur in at least one portion as a result of the interaction of the pulse train with the layer. By using different pulse durations, the nature of the interaction between the laser pulse and the layer can be controlled to decouple temperature and pressure in at least one portion. For example, there may be a temperature rise associated with an initial pulse train, which may include at least one of nanosecond, picosecond and femtosecond pulses. A pressure and/or temperature increase associated with the next pulse train may occur, which may include femtosecond pulses. Fine or powerful control of properties of at least one portion by providing at least one laser with at least one different pulse duration that may have a specific influence on the interaction of the laser pulse with the layer. It may be possible to do For example, by appropriately choosing laser parameters and/or delays between two or more pulse trains, it is possible to control the size, distribution and/or debris particle generation and grain size of the phase-changed material. obtain. A nanosecond pulse can be used to initiate the process of modifying the layer. For example, by starting with nanosecond pulses, the material of at least one portion can be thermalized at temperatures above 500° C., 1000° C., 2000° C., and the like. By using femtosecond pulses, the pressures and/or temperatures involved can be significantly greater than with nanosecond pulses. For example, pressure pulses can be on the order of 0.1 to 10 gigapascals or any other pressure, and the temperatures involved can be on the order of 100 to 10,000° C. or any other temperature. It is understood that any other temperature and/or pressure may be generated in the layer during modification with radiation and/or particles.

[00035] 少なくとも1つの部分の修正を行うために、任意の適切なレーザパルスの選択が行われ得ることが理解される。例えば、本例では、次のパルストレインを、フェムト秒パルスを含むものとして説明しているが、次のパルストレインにおいてナノ秒パルス及び/又はピコ秒パルスを使用することが可能であり得る。ナノ秒パルス、ピコ秒パルス及びフェムト秒パルスの継続時間を説明しているが、他のパルス継続時間レジームも使用され得、例えば最初及び次のパルストレインの一方又は両方にマイクロ秒、アト秒等が使用され得ることが理解される。他のパラメータ、例えばパルスエネルギ、パルス数、ピーク放射フルエンス、レーザ繰り返し率、分散量、波長、偏光等を制御することが少なくとも1つの部分の修正に影響を及ぼし得ることが更に理解される。 [00035] It will be appreciated that any suitable selection of laser pulses may be made to effect the modification of at least one portion. For example, although the present example describes the subsequent pulse train as including femtosecond pulses, it may be possible to use nanosecond and/or picosecond pulses in the subsequent pulse train. Although nanosecond, picosecond and femtosecond pulse durations are described, other pulse duration regimes may also be used, e.g. microseconds, attoseconds, etc. for one or both of the first and subsequent pulse trains can be used. It is further understood that controlling other parameters such as pulse energy, number of pulses, peak radiant fluence, laser repetition rate, amount of dispersion, wavelength, polarization, etc. can affect modification of at least one portion.

[00036] 少なくとも1つのレーザは、層のアブレーション閾値を下回るピーク放射フルエンス又は強度を有する放射線を放射するように構成され得る。 [00036] The at least one laser may be configured to emit radiation having a peak radiation fluence or intensity below the ablation threshold of the layer.

[00037] 少なくとも1つのパルスのピーク放射フルエンス又は強度がアブレーション閾値を超えると、デブリ粒子が生成される可能性があり、これは、その後の画像化及び全体の歩留まりに対するリスクを呈し得る。他のパラメータ、例えばパルス数、レーザ繰り返し率、熱伝導性、層の消光係数及び/又は屈折係数等がアブレーション閾値の影響を及ぼし得ることが理解される。 [00037] If the peak radiation fluence or intensity of at least one pulse exceeds the ablation threshold, debris particles may be generated, which may present a risk to subsequent imaging and overall yield. It is understood that other parameters, such as pulse number, laser repetition rate, thermal conductivity, layer extinction coefficient and/or refractive index, etc., may influence the ablation threshold.

[00038] 少なくとも1つのレーザは、直線偏光放射線、非直線偏光放射線、楕円偏光放射線及びらせん偏光放射線の少なくとも1つを放射するように構成され得る。 [00038] The at least one laser may be configured to emit at least one of linearly polarized radiation, non-linearly polarized radiation, elliptically polarized radiation and helically polarized radiation.

[00039] 少なくとも1つのレーザは、一連のレーザパルスを放射するように構成され得る。各レーザパルスは、直線偏光、円形偏光、楕円偏光及びらせん偏光の1つを有し得、及び/又はレーザパルスのシーケンスは、トレイン内の幾つかのパルスがトレイン内の他のパルスと異なる偏光等を有し得る。少なくとも1つのレーザは、レーザパルスシーケンス中の少なくとも1つのパルスの偏光を変化させるように構成され得る。一例では、らせん偏光は、楕円偏光より効果的である場合があり、楕円偏光自体は、より小さい散乱体を発生させる場合に直線偏光より効果的である場合がある。偏光の任意のランダム化が有利であり得ることが理解される。 [00039] The at least one laser may be configured to emit a series of laser pulses. Each laser pulse may have one of linear, circular, elliptical and helical polarization, and/or the sequence of laser pulses may be such that some pulses in the train have a different polarization than other pulses in the train. etc. The at least one laser may be configured to change the polarization of at least one pulse in the laser pulse sequence. In one example, helical polarization may be more effective than elliptical polarization, and elliptical polarization itself may be more effective than linear polarization at generating smaller scatterers. It is understood that any randomization of polarization can be advantageous.

[00040] レーザパルスの偏光を変化させることにより、少なくとも1つの部分中の又はそれに隣接するリップルの形成を防ぎ得る。リップルが出現する可能性があるのは、近アブレーションレベル及びアブレーションレベルのフェムト秒パルス及び/又はピコ秒パルスが、導電バンド中に比較的高濃度の電子を有する材料と相互作用する場合である。そのようなリップルは、戻り光信号の特性を劣化させ得る周期性を有する場合があり、それにより、少なくとも1つのフィーチャから生成される情報の質が低下する可能性がある。リップルは、炭素及び/又は修正された炭素を含む層の消光係数、及び/又は屈折係数、及び/又は厚さの周期的変化を引き起こす可能性がある。リップルは、格子又は他の回折要素と同様に動作する場合があり、(格子の形態である場合がある)フィーチャによって形成される回折パターンに対して任意のシフトを引き起こす可能性がある。そのようなリップルは、直線偏光放射線と、(例えば、ポラリトンの形成によって)誘起された電子密度波との相互作用の結果である場合がある。リップル形成のプロセスについては、参照によって本明細書に組み込まれるPan et al.,“Threshold Dependence of Deep- and Near-subwavelength Ripples Formation on Natural MoS2 Induced by Femtosecond Laser”, Scientific Reports 6, 19571 (2016)に記載されている。(例えば、Pan et al.に記載されているものと)同じメカニズムは、相変化した材料のグレインの周期性及び/又はサイズ増大につながる可能性があり、従って偏光の任意のランダム化を、例えば少なくとも1つのパルス中(例えば、らせん偏光等)又はパルス間(例えば、パルストレイン内での1つのパルスから別のパルスへの直線偏光のローテーション又は楕円偏光に対する変更等)で行うことが有利であり得る。 [00040] Varying the polarization of the laser pulse may prevent the formation of ripples in or adjacent to at least one portion. Ripple can appear when femtosecond and/or picosecond pulses at near-ablation and ablation levels interact with materials that have a relatively high concentration of electrons in the conduction band. Such ripples may have a periodicity that can degrade the properties of the return optical signal, thereby degrading the quality of information generated from at least one feature. Ripple can cause periodic variations in the extinction coefficient and/or refractive index and/or thickness of layers containing carbon and/or modified carbon. Ripples may act similarly to gratings or other diffractive elements and may cause arbitrary shifts in the diffraction pattern formed by features (which may be in the form of gratings). Such ripples may be the result of the interaction of linearly polarized radiation with electron density waves induced (eg, by the formation of polaritons). The process of ripple formation is described in Pan et al., "Threshold Dependence of Deep- and Near-subwavelength Ripples Formation on Natural MoS2 Induced by Femtosecond Laser", Scientific Reports 6, 19571 (2016), incorporated herein by reference. Are listed. The same mechanisms (e.g., described in Pan et al.) can lead to periodicity and/or size enhancement of grains in phase-changed materials, thus reducing any randomization of polarization, e.g. It is advantageous to do so during at least one pulse (e.g. helical polarization etc.) or between pulses (e.g. rotation of linear polarization from one pulse to another within a pulse train or change to elliptical polarization etc.). obtain.

[00041] エネルギ送達システムは、層の少なくとも1つの部分のパルス状加熱のための放射線及び/又は粒子を放射するように構成され得る。 [00041] The energy delivery system may be configured to emit radiation and/or particles for pulsed heating of at least one portion of the layer.

[00042] エネルギ送達システムは、少なくとも1つのレーザのフルエンスに匹敵するフルエンスを提供するように構成され得る。例えば、フルエンスは、0.01~1J/cmの範囲であり得、及び/又はパルス継続時間は、10nsより短いことができる。エネルギ送達システムは、様々なフルエンス及び/又はパルス継続時間を提供するように構成され得ることが理解される。 [00042] The energy delivery system may be configured to provide a fluence comparable to that of at least one laser. For example, the fluence can range from 0.01 to 1 J/cm 2 and/or the pulse duration can be shorter than 10 ns. It is understood that the energy delivery system can be configured to provide varying fluences and/or pulse durations.

[00043] エネルギ送達システムは、電子ビーム、イオンビーム、中性ビーム、5~20nmの範囲の極端紫外線(EUV)ビーム及び20~100nmの範囲の波長を有する放射線を含むビームの1つ以上を放射するように構成され得る。ビームは、放射線及び/又は粒子を含み得る。 [00043] The energy delivery system emits one or more of a beam comprising an electron beam, an ion beam, a neutral beam, an extreme ultraviolet (EUV) beam in the range of 5-20 nm, and radiation having a wavelength in the range of 20-100 nm. can be configured to The beam may contain radiation and/or particles.

[00044] エネルギ送達システムは、金属を含む層の少なくとも1つの部分を修正するための放射線及び/又は粒子を放射するように構成され得る。 [00044] The energy delivery system may be configured to emit radiation and/or particles for modifying at least one portion of the metal-comprising layer.

[00045] エネルギ送達システムは、層の少なくとも1つの部分を化学的に変換して、少なくとも1つの部分の化学組成を変化させるために、反応媒体の存在下において、金属を含む層の少なくとも1つの部分を修正するように構成され得る。反応媒体は、ガス及び/又は液体を含み得、これは、エネルギ送達システムのビームに対して十分透明であり得る。 [00045] The energy delivery system comprises at least one of the metal-containing layers in the presence of a reaction medium to chemically transform at least one portion of the layer to change the chemical composition of at least one portion. It can be configured to modify parts. The reaction medium may include gas and/or liquid, which may be sufficiently transparent to the beam of the energy delivery system.

[00046] エネルギ送達システムは、100ns未満、任意選択で10ns未満、任意選択で10fs超の継続時間を有するパルスを放射するように構成されたレーザを含み得る。 [00046] The energy delivery system may include a laser configured to emit a pulse having a duration of less than 100 ns, optionally less than 10 ns, optionally greater than 10 fs.

[00047] レーザは、複数のパルスを送達するように構成され得、任意選択で、パルスの繰り返し率は、少なくとも1kHzであり得、任意選択で、パルスの繰り返し率は、少なくとも1MHzであり得、及び/又は任意選択で、パルスのデューティサイクルは、1%未満であり得る。 [00047] The laser may be configured to deliver a plurality of pulses, optionally the pulse repetition rate may be at least 1 kHz, optionally the pulse repetition rate may be at least 1 MHz, And/or optionally, the pulse duty cycle may be less than 1%.

[00048] レーザは、0.01~1J/cmの範囲のフルエンスを有する放射線を放射するように構成され得る。 [00048] The laser may be configured to emit radiation having a fluence in the range of 0.01 to 1 J/ cm2 .

[00049] エネルギ送達システムは、金属を含む層の少なくとも1つの部分の透明度を高めるために、金属を含む層を他の原子、イオン又は分子で飽和させるためのイオンビームを提供するように構成され得る。 [00049] The energy delivery system is configured to provide an ion beam for saturating the metal-comprising layer with other atoms, ions or molecules to enhance the transparency of at least one portion of the metal-comprising layer. obtain.

[00050] 少なくとも1つの部分の修正のためのレーザ照射は、少なくとも1つの部分を、全フルエンスが0.01J/cm超であり、及び/又はパルス継続時間が10ns未満である連続波及び/又はパルス状イオンビーム若しくはプラズマに局所露光することに置き換えられ得るか又それを伴い得る。局所露光によって注入されたイオンは、ホットトラックから出ることがあり、ホットトラックでは、ダイヤモンド相及び/又はDLC相の炭素が生成可能であるようにパルス状加熱及びクエンチングが起こり得る。イオンビームエネルギは、1eV超であり得、任意選択で100eV超であり得る。使用されるイオンは、Cイオン及び/又はB、N、O、Ga、He、Ne、Ar、Kr、Xe等の少なくとも1つを含み得る。1つ以上の希ガスイオンを使用することにより、ガス放出を促進することが可能になり、層を追加ドーパントがないままにすることが可能になる。 [00050] The laser irradiation for modification of the at least one portion is continuous wave and/or with a total fluence of greater than 0.01 J/ cm2 and/or a pulse duration of less than 10 ns. or may be replaced by or accompanied by localized exposure to a pulsed ion beam or plasma. Ions implanted by localized exposure may exit hot tracks, where pulsed heating and quenching may occur such that diamond-phase and/or DLC-phase carbon can be produced. The ion beam energy may be greater than 1 eV, optionally greater than 100 eV. The ions used may include C ions and/or at least one of B, N, O, Ga, He, Ne, Ar, Kr, Xe, and the like. Using one or more noble gas ions can facilitate outgassing and allow the layer to remain free of additional dopants.

[00051] 装置は、層の帯電を防ぐために、層に接続されて電圧/電流又は接地の接続を提供するように構成された電気的接続を含み得る。例えば、エネルギ送達システムの一部としての基板にイオン又は電子が誘導される場合、炭素又は金属を含む層は、層に入射する、フォーカスされた帯電粒子ビームをデフォーカスするか又は偏向させ得る帯電効果を防ぐために電圧源/電流源に接続され得るか、又は接地され得る。この偏向効果は、元の(例えば、高消光係数の)層が導体の場合に起こり得る場合がある。 [00051] The device may include an electrical connection configured to connect to the layer and provide a voltage/current or ground connection to prevent charging of the layer. For example, when ions or electrons are directed to a substrate as part of an energy delivery system, a layer containing carbon or metal is charged so that it can defocus or deflect a focused charged particle beam incident on the layer. It can be connected to a voltage source/current source to prevent effects, or it can be grounded. This deflection effect may occur if the original (eg, high extinction coefficient) layer is a conductor.

[00052] 装置は、層を追加ドーパントがないままにするために、1つ以上の希ガスイオンを使用してガス放出を促進するように構成され得、任意選択で、エネルギ送達システムは、イオン又は電子を基板に誘導するように構成され得る。 [00052] The apparatus may be configured to facilitate outgassing using one or more noble gas ions to leave the layer free of additional dopants; or may be configured to direct electrons to the substrate.

[00053] エネルギ送達システムは、電界ポテンシャルを、金属を含む層と、電界を発生させるための電極との間に提供するように構成された陽極酸化システムを含み得る。装置は、層の少なくとも1つの部分を化学的に変換して、少なくとも1つの部分の化学組成を変化させるための反応媒体を提供するように構成され得る。 [00053] The energy delivery system may include an anodization system configured to provide an electric field potential between a layer comprising a metal and an electrode for generating an electric field. The apparatus may be configured to chemically transform at least one portion of the layer to provide a reaction medium for changing the chemical composition of at least one portion.

[00054] 装置は、層の少なくとも1つの部分の周囲の層上に保護層及び/又はクリアアウト保護層を堆積させるように構成され得る。代替として、陽極酸化前に保護層及び/又はクリアアウト保護層を堆積させるために別個の装置が使用され得る。 [00054] The apparatus may be configured to deposit a protective layer and/or a clearout protective layer on the layer around at least one portion of the layer. Alternatively, separate equipment can be used to deposit the protective layer and/or the clearout protective layer prior to anodization.

[00055] 装置は、金属を含む層の少なくとも1つの部分と電極との間に導電性の液体を提供するように構成された液体塗布システムを含み得る。 [00055] The apparatus may include a liquid application system configured to provide a conductive liquid between at least one portion of the metal-comprising layer and the electrode.

[00056] 装置は、基板の少なくとも一部が導電性液体に接触しないように基板を支持するように構成された基板支持物を含み得る。代替又は追加として、装置は、基板の一部と導電性液体との間の接触を防ぐために、少なくとも部分的に基板に絶縁層を塗布するように構成された絶縁層塗布器を含み得る。絶縁層は、除去可能であり得る(例えば、絶縁層は、基板の一部を、陽極酸化プロセス中に導電性液体に曝されないように一時的にマスクし得る)。絶縁層は、必要に応じて除去され得、例えば陽極酸化プロセスの完了後に除去され得る。 [00056] The apparatus may include a substrate support configured to support the substrate such that at least a portion of the substrate does not come into contact with the conductive liquid. Alternatively or additionally, the apparatus may include an insulating layer applicator configured to at least partially apply an insulating layer to the substrate to prevent contact between a portion of the substrate and the conductive liquid. The insulating layer may be removable (eg, the insulating layer may temporarily mask a portion of the substrate from exposure to the conductive liquid during the anodization process). The insulating layer may be removed if desired, for example after the anodization process is completed.

[00057] 陽極酸化システムは、金属層と電極との間に電界を発生させるために、金属層及び電極に接続されたエネルギ源を含み得る。エネルギ源は、連続的及び/又はパルス状の電圧及び/又は電流を提供するように構成され得る。 [00057] An anodization system may include an energy source connected to the metal layer and the electrode to generate an electric field between the metal layer and the electrode. The energy source may be configured to provide continuous and/or pulsed voltage and/or current.

[00058] エネルギ源は、金属層がアノードを形成し、電極がカソードを形成するような極性を有する、金属層及び電極に電気的に接続された電圧源を含み得る。 [00058] The energy source may include a voltage source electrically connected to the metal layer and the electrode having a polarity such that the metal layer forms the anode and the electrode forms the cathode.

[00059] 陽極酸化システムは、金属を含む層の少なくとも1つの部分を修正するための電気化学的及び/又は光電気化学的陽極酸化を実施するように構成され得る。 [00059] The anodization system may be configured to perform electrochemical and/or photoelectrochemical anodization to modify at least one portion of the metal-comprising layer.

[00060] 少なくとも1つの部分は、金属を含む層の上に提供又は形成された保護層の開口部を介した先行リソエッチングプロセスにおいて画定され得る。 [00060] The at least one portion may be defined in a prior litho-etching process through openings in a protective layer provided or formed over the metal-containing layer.

[00061] 少なくとも1つの部分は、エネルギ送達システムのフォーカスされたビームによって画定され得る。 [00061] At least one portion may be defined by a focused beam of the energy delivery system.

[00062] エネルギ送達システムは、層の少なくとも1つの部分を化学的、電気化学的及び/又は光電気化学的に変換して、少なくとも1つの部分の化学組成を変化させるために、反応媒体の存在下において、金属を含む層の少なくとも1つの部分を修正するように構成され得る。 [00062] The energy delivery system chemically, electrochemically and/or photoelectrochemically converts at least one portion of the layer to change the chemical composition of the at least one portion. Underneath, it may be configured to modify at least one portion of a layer comprising metal.

[00063] 装置は、反応媒体を収容するチャンバを含み得る。 [00063] The apparatus may include a chamber containing the reaction medium.

[00064] チャンバは、放射線及び/又は粒子が、金属を含む層と相互作用することを可能にするように構成され得る。チャンバは、放射線及び/又は粒子がチャンバに入ることを可能にする透明セクションを含み得る。エネルギ送達システムは、チャンバ内に設けられ得る。 [00064] The chamber may be configured to allow radiation and/or particles to interact with the metal-containing layer. The chamber may include transparent sections that allow radiation and/or particles to enter the chamber. An energy delivery system may be provided within the chamber.

[00065] 反応媒体は、ガス及び/又は液体を含み得る。 [00065] The reaction medium may include gas and/or liquid.

[00066] 反応媒体は、酸素(O)、酸化物、水素(H)、ホウ素(B)、ホウ化物、炭素(C)、炭化物、窒素(N)、窒化物、塩素(Cl)、塩化物、臭素(Br)、臭化物、フッ素(F)、フッ化物、ヨウ素(I)、ヨウ化物、ケイ素(Si)、ケイ化物、リン(P)、リン化物の少なくとも1つの原子、イオン又は分子を含み得る。 [00066] Reaction media include oxygen (O), oxides, hydrogen (H), boron (B), borides, carbon (C), carbides, nitrogen (N), nitrides, chlorine (Cl), chlorides , bromine (Br), bromide, fluorine (F), fluoride, iodine (I), iodide, silicon (Si), silicide, phosphorus (P), phosphide obtain.

[00067] 金属は、タングステン又は他の任意の適切な金属を含み得る。 [00067] The metal may comprise tungsten or any other suitable metal.

[00068] エネルギ送達システムは、反応媒体中の少なくとも1つの原子、イオン又は分子が金属と反応して、少なくとも1つの部分内に新たな化学化合物を形成するように、金属を含む層の化学組成を変化させるように構成され得る。 [00068] The energy delivery system modifies the chemical composition of the metal-containing layer such that at least one atom, ion or molecule in the reaction medium reacts with the metal to form a new chemical compound within at least one portion. can be configured to vary the

[00069] エネルギ送達システムは、反応媒体中の化学結合を切断するためにUV、DUV及び/又はEUV放射線を送達するように更に構成され得る。 [00069] The energy delivery system may be further configured to deliver UV, DUV and/or EUV radiation to break chemical bonds in the reaction medium.

[00070] 装置は、修正中に生成されたデブリ粒子を層の表面から除去するためのデブリ除去システムを含み得る。デブリ除去システムは、放電、ガス及び液体の流れ並びにデブリを除去するための反応媒体の少なくとも1つを含み得る。 [00070] The apparatus may include a debris removal system for removing debris particles generated during modification from the surface of the layer. The debris removal system may include at least one of an electrical discharge, a gas and liquid flow, and a reaction medium for removing debris.

[00071] 装置は、基板にガス及び/又は液体を接触させて、基板から熱を除去するための冷却システムを含み得る。冷却システムは、エネルギ送達システムによって修正された層の少なくとも一部にガス及び/又は液体を送達するように構成され得る。 [00071] The apparatus may include a cooling system for contacting the substrate with gas and/or liquid to remove heat from the substrate. A cooling system may be configured to deliver gas and/or liquid to at least a portion of the layer modified by the energy delivery system.

[00072] 装置は、基板上に層を堆積させる補助層堆積システムを含み得る。補助層堆積システムは、炭素又は金属を含む基板及び/又は層、及び/又は基板の他の部分に保護層、電気的絶縁層、BARC及び/又はレジストを堆積させるように構成され得る。 [00072] The apparatus may include an auxiliary layer deposition system for depositing a layer on the substrate. The auxiliary layer deposition system may be configured to deposit protective layers, electrically insulating layers, BARCs and/or resists on substrates and/or layers comprising carbon or metal and/or other portions of substrates.

[00073] 不透明層の少なくとも1つの部分は、任意選択のBARC及びレジスト層の堆積及びリソツール内での基板のパターニング前に修正され得る。 [00073] At least one portion of the opaque layer may be modified prior to deposition of the optional BARC and resist layers and patterning of the substrate in a litho tool.

[00074] 装置は、層堆積システムを含み得る。層堆積システムは、層内、例えば炭素を含む層内の少なくとも1つのシード副層の作成のために堆積条件を変化させるように動作可能であり得る。シード副層は、ナノダイヤモンド核生成及び/又はダイヤモンド状炭素(DLC)のためのシード副層として動作するsp3配位炭素を含み得る。シード副層内のsp3配位炭素原子の濃度は、他の副層より高いことができる。 [00074] The apparatus may include a layer deposition system. The layer deposition system may be operable to vary deposition conditions for creation of at least one seed sublayer within a layer, eg, a layer comprising carbon. The seed sublayer can include sp3-coordinated carbon that acts as a seed sublayer for nanodiamond nucleation and/or diamond-like carbon (DLC). The concentration of sp3-coordinated carbon atoms in the seed sublayer can be higher than in other sublayers.

[00075] 層堆積システムは、不透明な炭素層に対して四価炭素原子の濃度が相対的に上昇しており、厚さが層より薄い追加層がシード副層として設けられ得るように構成され得る。 [00075] The layer deposition system is configured such that an additional layer having a relatively elevated concentration of tetravalent carbon atoms with respect to the opaque carbon layer and having a thickness less than the layer can be provided as a seed sublayer. obtain.

[00076] 層堆積システムは、炭素層を含む層の構造修正を使用して、第1の堆積プロセスで堆積された層の下部部分の透明度が高められる一方、第2の堆積プロセスによる修正後に層の上部部分が提供されるように構成され得る。第1及び第2の堆積プロセス(及び任意選択で任意の更なる堆積プロセス)が層堆積システムによって実施され得る。 [00076] A layer deposition system uses structural modification of a layer comprising a carbon layer to enhance the transparency of a lower portion of a layer deposited in a first deposition process, while the layer is modified after modification by a second deposition process. can be configured to provide an upper portion of the The first and second deposition processes (and optionally any further deposition processes) may be performed by the layer deposition system.

[00077] 装置は、基板から材料を除去するための層除去システムを含み得る。層除去システムは、基板から除去される材料が少なくとも1つのフィーチャの位置及びサイズに対応するように構成されたリソエッチングシステムを含み得る。層除去システムは、化学機械研磨(CMP)装置を含み得る。層除去システムは、アブレーションシステムを含み得る。層及び/又は材料は、補助層と呼ばれ得る。材料は、金属又は炭素を含む層を含み得、層の何れかが基板上に堆積され得るか又は基板の他の任意の部分を含み得る。 [00077] The apparatus may include a layer removal system for removing material from the substrate. The layer removal system may include a lithoetching system configured such that the material removed from the substrate corresponds to the location and size of the at least one feature. A layer removal system may include a chemical mechanical polishing (CMP) apparatus. A layer removal system may include an ablation system. A layer and/or material may be referred to as an auxiliary layer. The material may include layers comprising metal or carbon, any of which may be deposited on the substrate or may include any other portion of the substrate.

[00078] 層除去システムは、基板上の保護層、基板上の電気的絶縁層、基板上のBARC及び/又はレジストの少なくとも1つを少なくとも部分的に除去及び/又は平坦化するように構成され得る。層は、炭素又は金属を含み得、及び/又は炭素又は金属を含む層において修正された炭素又は金属を含み得る。 [00078] The layer removal system is configured to at least partially remove and/or planarize at least one of a protective layer on the substrate, an electrically insulating layer on the substrate, a BARC and/or resist on the substrate. obtain. A layer may comprise carbon or metal and/or may comprise carbon or metal modified in a layer comprising carbon or metal.

[00079] 装置は、フィードバック制御システムを更に含み得る。フィードバック制御システムは、層の少なくとも1つの部分の1つ以上のパラメータを測定するように構成され得る。フィードバック制御システムは、1つ以上のパラメータに基づいてエネルギ送達システムを制御するように構成され得る。パラメータは、寸法、透明度、光学係数(例えば、屈折係数又は消光係数)、散乱等の少なくとも1つを含み得る。 [00079] The apparatus may further include a feedback control system. A feedback control system may be configured to measure one or more parameters of at least one portion of the layer. A feedback control system may be configured to control the energy delivery system based on one or more parameters. The parameters may include at least one of dimensions, transparency, optical coefficients (eg, refraction coefficients or extinction coefficients), scattering, and the like.

[00080] フィードバック制御システムは、放射線センサを含み得る。放射線センサは、層の少なくとも1つの部分から放射線を受けるように構成され得る。フィードバック制御システムは、受けられた放射線に基づいて層の少なくとも1つの部分の1つ以上のパラメータを測定するように構成され得る。受けられた放射線は、エネルギ送達システムによって生成された反射及び/又は散乱された放射線を含み得る。 [00080] The feedback control system may include a radiation sensor. A radiation sensor may be configured to receive radiation from at least one portion of the layer. A feedback control system may be configured to measure one or more parameters of at least one portion of the layer based on the received radiation. Received radiation may include reflected and/or scattered radiation produced by the energy delivery system.

[00081] フィードバック制御システムは、エネルギ送達システムによるレーザ照射パルス及び/又は粒子放射の回数及び強度を最適化するように構成され得る。フィードバック制御システムは、必要に応じて相変換を停止及び/又は制御するように構成され得る。 [00081] A feedback control system may be configured to optimize the number and intensity of laser irradiation pulses and/or particle emissions by the energy delivery system. A feedback control system may be configured to stop and/or control phase conversion as needed.

[00082] フィードバック制御システムは、制御ユニットを含み得る。制御ユニットは、パラメータの1つ以上を測定するように構成され得る。制御ユニットは、装置の他の任意のパート、例えばエネルギ送達システム、放射線センサ等を制御するように動作可能であり得る。フィードバック制御システムは、少なくとも1つの部分の修正を制御するようにエネルギ送達システムを調整するか、制御するか、又は他に変化させるように構成され得る。フィードバック制御システムは、少なくとも1つの部分が十分に修正された(例えば、十分に透明になった)ことを示す信号を(例えば、放射線センサから)受けるように動作可能であり得る。フィードバック制御システムは、信号を使用して、少なくとも1つの部分の修正を停止するか、続行するか、変化させるかを決定するように動作可能であり得る。 [00082] A feedback control system may include a control unit. The control unit may be configured to measure one or more of the parameters. The control unit may be operable to control any other part of the device, such as the energy delivery system, radiation sensors, and the like. A feedback control system may be configured to adjust, control, or otherwise vary the energy delivery system to control modification of at least one portion. The feedback control system may be operable to receive a signal (eg, from a radiation sensor) indicating that at least one portion has been sufficiently modified (eg, rendered sufficiently transparent). The feedback control system may be operable to use the signal to determine whether to stop, continue, or change the modification of the at least one portion.

[00083] フィードバック制御システムは、炭素を含む層の下にある少なくとも1つの層が少なくとも1つの部分の修正の影響を比較的受けないままでいられるようにするように構成され得る。例えば、エネルギ送達システムは、各回のレーザパルス及び/又は粒子パルス後に層で高圧及び/又は高温の領域が発生することを制御できるように制御され得る。各後続パルスは、炭素を含む層により深く伝搬することができる。少なくとも1つの部分の修正される各層は、パルスごとに一層透明になり得る。フィードバック制御システムは、炭素を含む層の下にある少なくとも1つの層がパルスの影響を受けること又は実質的に影響を受けることを防ぎ得る。 [00083] The feedback control system may be configured to allow at least one layer underlying the carbon-containing layer to remain relatively unaffected by the modification of the at least one portion. For example, the energy delivery system can be controlled to control the generation of regions of high pressure and/or high temperature in the layer after each laser and/or particle pulse. Each subsequent pulse can propagate deeper into the carbon-containing layer. Each modified layer of at least one portion may become more transparent with each pulse. The feedback control system may prevent at least one layer underlying the carbon-containing layer from being affected or substantially affected by the pulse.

[00084] フィードバック制御システムは、戻り光信号の少なくとも1つの特性が、少なくとも1つのフィーチャから反射、散乱及び/又は回折された光信号の少なくとも1つによって明らかにされ得るように構成され得、その特性は、基板の少なくとも1つの層に関する情報を提供することに使用され得る。偏光、波長、強度、スペクトル強度、干渉パターン等のような情報は、基板の少なくとも1つの層の少なくとも1つのパラメータ、例えば厚さ、光路長、屈折率「n」、消光係数「k」、組成等を特徴付けることに使用され得る。少なくとも1つの光源及び/又は修正に使用されるものと同じ放射線及び/又は粒子が光信号及び/又は戻り光信号を提供し得る。光源及び/又はエネルギ送達システムによって提供される放射線及び/又は粒子の振幅及び/又は偏光は、メトロロジ法での使用に合わせて減衰及び/又は調整され得、メトロロジ法は、基板のアライメントの測定に使用され得る。少なくとも1つのフィーチャを照射する光信号のメトロロジ照明スポットのサイズは、層の修正された部分のサイズと実質的に重なり合い得るか、又は修正された部分のサイズに密に収まり得る。 [00084] The feedback control system may be configured such that at least one characteristic of the returned optical signal may be accounted for by at least one of the optical signal reflected, scattered and/or diffracted from the at least one feature, wherein The properties can be used to provide information about at least one layer of the substrate. Information such as polarization, wavelength, intensity, spectral intensity, interference pattern, etc. may be obtained from at least one parameter of at least one layer of the substrate, e.g. thickness, optical path length, refractive index 'n', extinction coefficient 'k', composition etc. can be used to characterize. The same radiation and/or particles used for at least one light source and/or modification may provide the optical signal and/or the return optical signal. The amplitude and/or polarization of the radiation and/or particles provided by the light source and/or energy delivery system can be attenuated and/or adjusted for use in metrology, which is used to measure substrate alignment. can be used. The size of the metrology illumination spot of the optical signal illuminating the at least one feature may substantially overlap the size of the modified portion of the layer or may closely fit the size of the modified portion.

[00085] フィードバック制御システムは、修正される少なくとも1つの部分の領域内の基板を通して伝搬する光信号の少なくとも1つの特性が、少なくとも1つのフィーチャが(例えば、フィーチャの背面照明により又は別の照明方向で)十分に照明されているかどうかを判定することに使用され得るように構成され得る。基板は、(例えば、(ケイ素が透明である波長を含み得る)赤外又は中赤外放射線で)照明(例えば、背面照明)され得、これは、修正された少なくとも1つの部分内のDLC/ダイヤモンド相が十分に厚くなった後及び/又は炭素を含む層の残存不透明度が十分に低減され得る場合、放射線が少なくとも1つの部分を通して漏れ得るようにするためである。フィードバック制御システムは、放射線(例えば、赤外又は中赤外放射線等)の漏れを監視するように構成され得、少なくとも1つの部分の修正を停止すべき瞬間(例えば、放射線漏れの量が閾値レベルを超えた場合等)を検出することに使用され得る。 [00085] The feedback control system is such that at least one characteristic of the optical signal propagating through the substrate in the region of the at least one portion to be modified is controlled by the at least one feature (e.g., due to backlighting of the feature or another illumination direction). in) can be used to determine whether there is sufficient illumination. The substrate may be illuminated (e.g., backlit) (e.g., with infrared or mid-infrared radiation (which may include wavelengths at which silicon is transparent)), which reveals the DLC/ This is to allow radiation to leak through at least one portion after the diamond phase has become sufficiently thick and/or if the residual opacity of the carbon-containing layer can be sufficiently reduced. The feedback control system may be configured to monitor the leakage of radiation (e.g., infrared or mid-infrared radiation, etc.) and the moment at which correction of at least one portion should be stopped (e.g., the amount of radiation leakage reaches a threshold level). , etc.).

[00086] フィードバック制御システムは、エネルギ送達システムによるプラズマ生成又は他の形態の放射線励起を監視するように構成され得る。レーザパルス及び/又は粒子の少なくとも1つによってプラズマが生成された場合又は原子/分子が励起された場合、光学分光法又は別の手法を使用してプラズマ又は励起の存在を検知し得、これは、例えば、ダイヤモンド相又はDLC相が炭素を含む層を厚さ全体にわたって修正した場合に行われる。 [00086] The feedback control system may be configured to monitor plasma generation or other forms of radiation excitation by the energy delivery system. When plasma is generated or atoms/molecules are excited by at least one of the laser pulse and/or particles, optical spectroscopy or another technique may be used to detect the presence of the plasma or excitation, which is , for example, when a diamond phase or a DLC phase modifies a carbon-containing layer through its thickness.

[00087] 炭素を含む層は、エネルギ送達システムから送達される(例えば、レーザパルス中の)放射線を減衰させるか又は吸収し得、及び/又はエネルギ送達システムから送達される粒子を吸収し得る。放射線及び/又は粒子は、最初に層の少なくとも1つの部分の上面を修正し得る。上面は、層の上部副層を含み得る。上部副層を修正することにより、上部副層の放射線及び/又は粒子に対する透明度を高め得る。上部副層の透明度を高めることにより、放射線及び/又は粒子の減衰/吸収を減らすことが可能になり、それにより、(例えば、後続のレーザパルス又は粒子パルスの形態で)より多くの放射線及び/又は粒子が送達されると、放射線及び/又は粒子は、修正された上部副層を通して伝搬して、上部副層の下の下部副層を修正して、少なくとも1つの下部副層の透明度を高め得る。一例では、各放射線及び/又は粒子パルスが層内で連続する(例えば、下部)副層を修正するにつれて、後続パルスが層内に一層深く伝搬することが可能になり、最終的に、これらのパルスは、層(例えば、層の全体厚さ)を完全に通して伝搬することが可能になり、これらのパルス(及び/又は光信号)が、基板の、炭素を含む層の下の層にアクセスすることが可能になる。層の少なくとも1つの部分が(例えば、層の全体厚さにわたって)修正されると、エネルギ送達システムからのパルスが、ほとんど減衰せずに、炭素を含む修正された層を通して伝搬することが可能になり、それにより、基板の(例えば、別の層に存在し、炭素を含む層に存在しない)少なくとも1つの他の化学元素又は分子の励起が引き起こされることが可能になり、それにより、特徴的な波長又はスペクトルの放射が引き起こされることが可能になり、これは、少なくとも1つの部分の修正が、光信号が少なくとも1つの部分を通して伝搬することを可能にするのに十分であることを示すものであり得る。例えば、炭素を含む層の修正中に放射線された放射線と、基板の少なくとも1つの他の要素によって放射された放射線(これは、炭素を含む層の修正中に放射線された放射線と異なる少なくとも1つの波長を含み得る)とを区別することが可能であり得る。 [00087] A layer comprising carbon may attenuate or absorb radiation delivered from the energy delivery system (eg, in a laser pulse) and/or may absorb particles delivered from the energy delivery system. The radiation and/or particles may first modify the top surface of at least one portion of the layer. The top surface may include an upper sublayer of layers. By modifying the top sublayer, the transparency of the top sublayer to radiation and/or particles can be increased. Increasing the transparency of the top sublayer can reduce attenuation/absorption of radiation and/or particles, thereby providing more radiation and/or (e.g. in the form of subsequent laser or particle pulses). or when the particles are delivered, the radiation and/or particles propagate through the modified top sublayer to modify the bottom sublayer below the top sublayer to increase the transparency of at least one bottom sublayer. obtain. In one example, as each radiation and/or particle pulse modifies successive (e.g., lower) sublayers within a layer, subsequent pulses are allowed to propagate deeper into the layer, ultimately resulting in these The pulses are allowed to propagate completely through the layer (e.g., the entire thickness of the layer) such that these pulses (and/or optical signals) reach the layers of the substrate below the carbon-containing layer. be able to access. Modification of at least one portion of the layer (e.g., through the entire thickness of the layer) allows pulses from the energy delivery system to propagate through the modified layer comprising carbon with little attenuation. , thereby allowing excitation of at least one other chemical element or molecule (e.g. present in another layer and not present in the carbon-containing layer) of the substrate to be induced, thereby resulting in a characteristic different wavelengths or spectra of radiation can be induced, indicating that the modification of at least one portion is sufficient to allow the optical signal to propagate through at least one portion can be For example, radiation emitted during modification of the carbon-comprising layer and radiation emitted by at least one other element of the substrate, which is at least one radiation different from the radiation emitted during modification of the carbon-comprising layer. (which may include wavelength).

[00088] フィードバック制御システムは、少なくとも1つの部分によって反射、散乱、回折された放射線の少なくとも1つの特性を特徴付けるように構成され得る。フィードバック制御システムは、ラマン検出システム、走査型電子顕微鏡又はフィーチャによって反射、散乱及び/又は回折された放射線の特性を測定する任意の他の計測器の少なくとも1つを含み得る。ラマン検出システムは、少なくとも1つの部分によって発生したラマン信号及び/又は表面増強ラマン信号を検出するように構成され得、これらの信号は、少なくとも1つの部分を特徴付けることに使用され得る。ラマン検出システムは、(例えば、修正された少なくとも1つの部分の最上層における)sp2/sp3配位炭素原子の比に関する情報を提供するように構成され得る。走査型電子顕微鏡等は、少なくとも1つの部分を特徴付けることに使用され得る。炭素を含む層の圧縮、圧痕等を測定することにより、炭素を含む層の厚さの何れの部分が相変換されたかを調べることが可能であり得る。 [00088] The feedback control system may be configured to characterize at least one property of the radiation reflected, scattered or diffracted by the at least one portion. The feedback control system may include at least one of a Raman detection system, a scanning electron microscope or any other instrument that measures properties of radiation reflected, scattered and/or diffracted by the feature. A Raman detection system may be configured to detect Raman signals and/or surface-enhanced Raman signals generated by the at least one portion, and these signals may be used to characterize the at least one portion. The Raman detection system can be configured to provide information regarding the ratio of sp2/sp3 coordinated carbon atoms (eg, in the top layer of the modified at least one portion). A scanning electron microscope or the like can be used to characterize at least one portion. By measuring the compression, indentation, etc. of the carbon-containing layer, it may be possible to determine what part of the thickness of the carbon-containing layer has undergone phase conversion.

[00089] 受けられた放射線は、以下のものの1つ以上を含み得る。 [00089] The received radiation may include one or more of the following.

[00090] 層の少なくとも1つの部分から反射又は散乱した、エネルギ送達システムからの放射線、層の少なくとも1つの部分を通して伝搬した放射線であって、半導体デバイス基板を背面から照らすように構成された放射線源から放射された放射線、エネルギ送達システムからの放射線及び/又は粒子により、層の部分において励起された放射線並びにエネルギ送達システムによって修正された層の部分と実質的に重なり合っているスポットに誘導され、スポットから反射及び/又は散乱した、補助光源からの放射線。放射線源は、半導体デバイス基板を背面から照らすように構成された更なる放射線源を定義し得る。放射線源は、放射線及び/又は粒子を放射するように構成され得、この放射線及び/又は粒子は、基板を実質的に透過し得るが、未修正層によって実質的に吸収され得る。放射線源は、補助光源を含み得る。補助光源は、半導体基板を背面から照らす背面照明を含み得る。 [00090] Radiation from the energy delivery system reflected or scattered from at least one portion of the layer, radiation propagated through at least one portion of the layer, the radiation source configured to back-illuminate the semiconductor device substrate. The radiation emitted from, the radiation from the energy delivery system and/or the particles directed to a spot substantially overlapping the radiation excited in the portion of the layer and the portion of the layer modified by the energy delivery system; Radiation from an auxiliary light source that is reflected and/or scattered from. The radiation source may define a further radiation source configured to back-illuminate the semiconductor device substrate. The radiation source may be configured to emit radiation and/or particles, which may be substantially transmitted through the substrate but substantially absorbed by the unmodified layer. A radiation source may include an auxiliary light source. The supplemental light source may include a backlight that illuminates the semiconductor substrate from behind.

[00091] エネルギ送達システムは、層の少なくとも1つの部分の透明度を層の全体厚さ未満の深さまで修正するための放射線及び/又は粒子を放射するように構成され得る。 [00091] The energy delivery system may be configured to emit radiation and/or particles to modify the transparency of at least one portion of the layer to a depth less than the entire thickness of the layer.

[00092] 装置は、基板上に層を堆積させる層堆積システムを含み得る。 [00092] The apparatus may include a layer deposition system for depositing a layer on a substrate.

[00093] 層堆積システムは、層の第1の副層を基板上に堆積させるように構成され得る。エネルギ送達システムは、第1の副層の少なくとも1つの部分を修正するように動作可能であり得る。 [00093] A layer deposition system may be configured to deposit a first sublayer of a layer onto a substrate. The energy delivery system may be operable to modify at least one portion of the first sublayer.

[00094] 層堆積システムは、第1の副層の少なくとも1つの部分の修正後、層の第2の副層を第1の副層上に堆積させるように構成され得る。 [00094] The layer deposition system may be configured to deposit a second sublayer of the layer over the first sublayer after modification of at least one portion of the first sublayer.

[00095] 層堆積システムは、層内の少なくとも1つのシード副層の作成のために堆積条件を変化させるように動作可能であり得る。シード副層は、ナノダイヤモンド核生成及び/又はダイヤモンド状炭素(DLC)等のためのシード副層として動作するsp3配位炭素を含み得る。シード副層内のsp3配位炭素原子の濃度は、他の副層より高いことができる。 [00095] The layer deposition system may be operable to vary deposition conditions for the creation of at least one seed sublayer within the layer. The seed sublayer can include sp3-coordinated carbon that acts as a seed sublayer for nanodiamond nucleation and/or diamond-like carbon (DLC), and the like. The concentration of sp3-coordinated carbon atoms in the seed sublayer can be higher than in other sublayers.

[00096] シード副層は、全層厚より薄いことができ、例えば全層厚の2倍超、10倍超又は他の任意の倍率の薄さであり得る。 [00096] The seed sublayer can be thinner than the total layer thickness, for example, more than 2 times, more than 10 times, or any other factor thinner than the total layer thickness.

[00097] 層堆積システムは、層の上面において少なくとも1つのシード副層を堆積させるように構成され得る。層堆積チャンバ又は製造方法は、炭素を含む層の最上部分をシード副層に変換するように構成され得、この変換は、例えば、層を(例えば、希ガスの)エネルギイオンに曝すことによって行われる。 [00097] The layer deposition system may be configured to deposit at least one seed sublayer on top of the layer. A layer deposition chamber or manufacturing method may be configured to convert the top portion of the carbon-containing layer into a seed sublayer, for example, by exposing the layer to energetic ions (of, for example, a noble gas). will be

[00098] 層堆積システムは、基板を一連の処理にかけるように構成され得る。層堆積システムは、炭素を含む層を基板上に堆積させるように構成され得、基板は、全体厚さが層の50~100%であり得る。層の修正前に異なる厚さが堆積され得ることが理解される。装置は、基板内のフィーチャの一部又は全ての上方の層の少なくとも1つの部分を修正して、少なくとも1つの部分の消光係数を減らすように構成され得る。装置は、少なくとも1つの部分の面積が、対応するフィーチャの面積の0.1~10倍であるように構成され得、対応するフィーチャと実質的に重なり合い得る。装置は、層のうち、消光係数が低減された厚さが最初の層の厚さ以下であり得るように、装置の厚さの一部のみを修正するように構成され得る。 [00098] A layer deposition system may be configured to subject a substrate to a series of processes. A layer deposition system can be configured to deposit a layer comprising carbon on a substrate, where the substrate can be 50-100% of the total thickness of the layer. It is understood that different thicknesses can be deposited prior to modification of the layer. The apparatus may be configured to modify at least one portion of a layer over some or all of the features in the substrate to reduce the extinction coefficient of at least one portion. The device may be configured such that the area of at least one portion is 0.1 to 10 times the area of the corresponding feature and may substantially overlap the corresponding feature. The device may be configured to modify only a portion of the thickness of the device such that the thickness of the layer with reduced extinction coefficient may be less than or equal to the thickness of the initial layer.

[00099] 層堆積システム及び/又は製造処理は、平坦化及びデブリ粒子除去の少なくとも一方のために、(例えば、化学機械研磨装置等を使用する)化学機械研磨(CMP)ステップを実施するように構成され得る(デブリ粒子は、アブレーションプルーム等の結果として基板の表面から発生し得る)。層堆積システムは、少なくとも1つの部分の修正前に除去可能層を(例えば、スピンコーティング等により)塗布するように構成され得る。除去可能層は、堆積したデブリ粒子を除去するために(例えば、洗浄等によって)除去され得、これは、例えば、基板における少なくとも1つのフィーチャが少なくとも1つの部分の修正後に可視になった時点で行われ得る。 [00099] The layer deposition system and/or fabrication process is adapted to perform a chemical mechanical polishing (CMP) step (e.g., using a chemical mechanical polishing apparatus, etc.) for planarization and/or debris particle removal. (debris particles may originate from the surface of the substrate as a result of an ablation plume, etc.). A layer deposition system may be configured to apply a removable layer (eg, by spin coating, etc.) prior to modification of at least one portion. The removable layer may be removed (e.g., by washing, etc.) to remove deposited debris particles, e.g., once at least one feature in the substrate is visible after at least one portion is modified. can be done.

[000100] CMPは、基板の表面内にリップルが形成された場合及び/又は少なくとも1つの修正された部分のエッジにリップルが形成された場合、それらのリップルを減らすか又はなくすために行われ得る。代替又は追加として、CMPは、デブリ粒子を除去するために行われ得る。 [000100] CMP may be performed to reduce or eliminate ripples if ripples are formed in the surface of the substrate and/or if ripples are formed at the edge of at least one modified portion. . Alternatively or additionally, CMP may be performed to remove debris particles.

[000101] 層堆積システムは、層の全体厚さの残り部分を堆積するように構成され得、これは、例えば、少なくとも1つの部分の修正後に行われ得る。例えば、堆積された最初の厚さに応じて全層厚の0~50%又は他の任意のパーセンテージ範囲が堆積され得る。 [000101] The layer deposition system may be configured to deposit the remaining portion of the entire thickness of the layer, which may occur, for example, after modification of at least one portion. For example, 0-50% of the total layer thickness or any other percentage range may be deposited depending on the initial thickness deposited.

[000102] 層堆積システムは、底部反射防止膜(BARC)、レジスト及び他の任意の層の少なくとも1つを基板上に堆積するように構成され得る。層堆積システムは、BARC及び/又はレジストの堆積が比較的均一又は平坦であり得るように構成され得る。DLC/ダイヤモンド及びアモルファス炭素のウェッティングには、BARC及び/又はレジストによるばらつきがある場合がある。アモルファス炭素等の層、例えば光信号が比較的薄い層に浸透することを可能にし得る比較的薄い層を堆積させることにより、BARC及び/又はレジスト等のウェッティング特性のばらつきを低減し得る。 [000102] The layer deposition system may be configured to deposit at least one of a bottom antireflective coating (BARC), a resist, and any other layers on a substrate. Layer deposition systems may be configured such that BARC and/or resist deposition may be relatively uniform or planar. DLC/diamond and amorphous carbon wetting may vary with BARC and/or resist. Depositing a layer such as amorphous carbon, eg, a relatively thin layer that may allow the optical signal to penetrate the relatively thin layer, may reduce variations in wetting properties such as BARC and/or resist.

[000103] 層堆積システムは、層の未修正部分が層の少なくとも1つの修正済み部分の上方又は下方になり得るように、炭素を含む層を堆積させるように構成され得、それにより、少なくとも1つの修正済み部分を有する層が層の上面により近くなるか、又は層の下面により近くなり得る(例えば、基板の下層と接触し得る)。修正済み部分の下方に未修正層を提供することにより、層に対してユーザ指定のアッシングレシピを使用できることが確実になり得る。 [000103] The layer deposition system may be configured to deposit the carbon-containing layer such that the unmodified portion of the layer may be above or below at least one modified portion of the layer, whereby at least one A layer with two modified portions may be closer to the top surface of the layer or closer to the bottom surface of the layer (eg, may be in contact with the bottom layer of the substrate). Providing an unmodified layer below the modified portion may ensure that a user-specified ashing recipe can be used for the layer.

[000104] 装置は、修正中に生成されたデブリ粒子を層の表面から除去するためのデブリ除去システムを含み得る。 [000104] The apparatus may include a debris removal system for removing debris particles generated during modification from the surface of the layer.

[000105] 層の修正を真空下又は低圧下で行うことにより、基板の表面のデブリ粒子堆積を減らすか又はなくし得る。デブリ粒子堆積を減らすか又はなくすための圧力条件の例は、参照によって本明細書に組み込まれるHarilal et al.“Background gas collisional effects on expanding fs and ns laser ablation plumes”, Appl. Phys. A, Vol. 117(1), pp. 319-326 (2014)に記載されている。 [000105] Debris particle deposition on the surface of the substrate may be reduced or eliminated by modifying the layer under vacuum or low pressure. Examples of pressure conditions to reduce or eliminate debris particle deposition are described in Harilal et al. "Background gas collisional effects on expanding fs and ns laser ablation plumes", Appl. Phys. A, Vol. 117(1), pp. 319-326 (2014).

[000106] デブリ除去システムは、層の少なくとも1つの部分の修正中にアブレーションプルーム内に形成されたデブリ粒子に照射する放射線を放射するレーザ等の放射線源を含み得、それにより、アブレーションプルーム内のデブリ粒子のサイズ及び/又はデブリ粒子の数が低減される。 [000106] The debris removal system may include a radiation source, such as a laser, that emits radiation to irradiate debris particles formed within the ablation plume during modification of at least one portion of the layer, thereby reducing The size of debris particles and/or the number of debris particles is reduced.

[000107] デブリ除去システムは、アブレーションプルームに(例えば、アフタパルス等の形態の)少なくとも1つのレーザパルスを照射するように構成され得る。レーザパルスは、エネルギ及び/又はピークフルエンスが少なくとも1つの部分を修正するための放射線及び/又は粒子より低いことができる。レーザパルスは、継続時間が10ns未満、0.1ns未満であり得るか、又は他の任意の適切なパルス継続時間であり得る。レーザパルスは、少なくとも1つの部分を修正するパルスのそれぞれ又は幾つかに続くように構成され得、例えば10μs未満、1μs未満の遅延又は他の任意の適切な遅延で続くように構成され得る。アフタパルスは、アブレーションプラズマプルーム内の粒子によって効果的に吸収され得、それにより、粒子は、蒸発するか、又は少なくともサイズを100nm未満に若しくは100nmよりはるかに小さくし得、それにより、粒子は、基板上に残ったとしても画像化されることがないか、又は歩留まりを低下させない。 [000107] The debris removal system may be configured to irradiate the ablation plume with at least one laser pulse (eg, in the form of an after-pulse, etc.). The laser pulse can be lower in energy and/or peak fluence than the radiation and/or particles for modifying the at least one portion. Laser pulses may be less than 10 ns in duration, less than 0.1 ns in duration, or any other suitable pulse duration. The laser pulses may be configured to follow each or some of the at least one portion modifying pulses, for example, may be configured to follow with a delay of less than 10 μs, less than 1 μs or any other suitable delay. The afterpulse can be effectively absorbed by particles within the ablation plasma plume, whereby the particles can evaporate or at least reduce their size to less than 100 nm or much smaller than 100 nm, thereby allowing the particles to reach the substrate. If left on, it will not be imaged or reduce yield.

[000108] デブリ除去システムは、層の少なくとも1つの部分の修正中、層の少なくとも1つの部分の上方にプラズマを発生させる放電器を含み得る。プラズマは、帯電粒子を捕捉するように構成され得る(例えば、帯電粒子は、アブレーションプルーム内で発生し、及び/又は帯電する可能性があり、それらの再堆積を妨げる可能性がある)。代替又は追加として、装置は、層を含む基板にバイアスをかけるように構成され得、それにより、電界が、アブレーションプルーム内に発生した帯電粒子を基板からはね返し得、及び/又は帯電粒子の再堆積を妨げ得る。 [000108] The debris removal system may include a discharger that generates a plasma above at least one portion of the layer during modification of the at least one portion of the layer. The plasma may be configured to trap charged particles (eg, charged particles may be generated and/or charged within the ablation plume, which may prevent their redeposition). Alternatively or additionally, the apparatus may be configured to bias the substrate comprising the layer such that the electric field may repel charged particles generated in the ablation plume from the substrate and/or redeposit the charged particles. can prevent

[000109] 修正中の層の上方の放電を維持することは、適度な温度のイオンが発生可能であるように行われ得る。例えば、チタンイオン等のイオンは、100eV未満、任意選択で10eV未満のエネルギで発生し得る。アブレーションプラズマプルーム内で発生する粒子は、概して負に帯電している場合があり、これは、負の粒子がプラズマの正ポテンシャル中に保持される結果をもたらし得るとともに、それらの粒子の基板上での再堆積を妨げ得る。 [000109] Maintaining the discharge above the layer being modified can be done so that moderate temperature ions can be generated. For example, ions such as titanium ions may be generated at energies less than 100 eV, optionally less than 10 eV. Particles generated within the ablation plasma plume may generally be negatively charged, which can result in negative particles being held in the plasma's positive potential and their substrate can prevent the redeposition of

[000110] デブリ除去システムは、半導体デバイス基板を傾斜させるように構成され得、それにより、デブリ粒子は、重力下で又は他の何らかの外力若しくは圧力で層から離され得る。基板は、重力がデブリ粒子を層から離し得るように少なくとも1つの部分の修正中に傾斜し得る。 [000110] The debris removal system may be configured to tilt the semiconductor device substrate so that debris particles may be dislodged from the layer under gravity or some other external force or pressure. The substrate may tilt during modification of at least one portion such that gravity may force debris particles away from the layer.

[000111] デブリ除去システムは、層の表面に除去可能層を塗布するように構成され得、デブリ粒子は、除去可能層上に収集され得る。デブリ除去システムは、層の少なくとも1つの部分の修正後に除去可能層を除去するように更に構成され得る。 [000111] The debris removal system may be configured to apply the removable layer to the surface of the layer, and the debris particles may collect on the removable layer. The debris removal system may be further configured to remove the removable layer after modifying at least one portion of the layer.

[000112] デブリ除去システムは、エネルギ送達システムが放射線及び/又は粒子を放射する前に層の少なくとも1つの部分の位置において除去可能層を除去するように構成され得る。 [000112] The debris removal system may be configured to remove the removable layer at the location of at least one portion of the layer before the energy delivery system emits radiation and/or particles.

[000113] デブリ除去システムは、層の少なくとも1つの部分の近傍に反応媒体を設けるように構成され得、例えばそれによりアブレーションプルーム内の材料の反応の生成物のみが実質的に揮発性又は可溶性であり得る。反応媒体は、ガス又は液体であり得る。反応媒体は、放射線及び/又は粒子に対して透明であり得る。活性化エネルギは、プルーム内の高温により及び/又は放射線及び/又は粒子による直接光励起により提供され得る。反応媒体は、プラズマプルーム内の蒸気又は粒子を揮発性又は可溶性の形態に変換するように構成され得、これは、その後、拡散及び/又は流れによって除去され得る。 [000113] The debris removal system may be configured to provide a reaction medium proximate to at least one portion of the layer, e.g., whereby only the products of reaction of materials within the ablation plume are substantially volatile or soluble. could be. The reaction medium can be gas or liquid. The reaction medium may be transparent to radiation and/or particles. Activation energy can be provided by the high temperature within the plume and/or by direct photoexcitation by radiation and/or particles. The reaction medium may be configured to convert vapors or particles within the plasma plume to volatile or soluble forms, which may then be removed by diffusion and/or flow.

[000114] 少なくとも1つの部分の修正は、反応媒体中で実施され得る。反応媒体は、酸素、水素、ハロゲン、空気、水蒸気、液体水、CO(例えば、ガス又は液体)等の少なくとも1つを含み得る。反応媒体は、揮発性又は可溶性の酸化物、ハロゲン化合物等に変化するように構成され得、これは、例えば、アブレーションプルーム内に発生し得るデブリ粒子を排除するためである。デブリ粒子は、アブレーションプルーム内で反応媒体と反応し得、反応媒体は、ガス又は液体の形態であり得、流れ及び/又は拡散によって除去され得る揮発性又は可溶性の粒子/分子を形成し得る。デブリ粒子は、高密度蒸気から凝縮されるか若しくは液体形態で生成される可能性があり、及び/又は炭素、タングステン、ホウ素、窒素及び/又は他の任意の元素を含み得る。 [000114] The modification of at least one portion may be performed in the reaction medium. The reaction medium can include at least one of oxygen, hydrogen, halogen, air, water vapor, liquid water, CO2 (eg, gas or liquid), and the like. The reaction medium may be configured to convert to volatile or soluble oxides, halogen compounds, etc., to eliminate debris particles that may occur within the ablation plume, for example. Debris particles may react with the reaction medium within the ablation plume, which may be in gaseous or liquid form, and may form volatile or soluble particles/molecules that may be removed by flow and/or diffusion. Debris particles may be condensed from dense vapor or produced in liquid form and/or may contain carbon, tungsten, boron, nitrogen and/or any other element.

[000115] 装置は、液体又はガスを保持するように構成されたチャンバを更に含み得る。半導体デバイス基板は、少なくともエネルギ送達システムによる放射線及び/又は粒子の放射中に液体又はガスに少なくとも部分的に浸漬され得る。 [000115] The apparatus may further include a chamber configured to hold a liquid or gas. A semiconductor device substrate may be at least partially immersed in a liquid or gas during irradiation of radiation and/or particles by the energy delivery system.

[000116] 少なくとも1つの部分の修正は、液体(例えば、水、アルコール、液体二酸化炭素、ペルフルオロ流体、熱伝導流体等)中で実施され得る。基板は、少なくとも部分的に槽内で浸漬され得、及び/又は基板の表面に液膜が塗布され得る(例えば、これは、凝縮等を含み得る)。 [000116] Modification of at least one portion may be performed in a liquid (eg, water, alcohol, liquid carbon dioxide, perfluorinated fluid, heat transfer fluid, etc.). The substrate may be at least partially submerged within the bath and/or a liquid film may be applied to the surface of the substrate (eg, this may include condensation, etc.).

[000117] 液体内で修正を実施することにより、ウェーハにかかる熱応力を低減することが可能になり得る。液体は、伝導、及び/又は蒸発、及び/又は分離によってヒートシンクとなるように動作し得る。液体は、クエンチングを改善するように動作可能であり(例えば、そのため、炭素は、約4000~5000℃又は他の任意のポテンシャル温度又は適切な温度まで加熱され得る)、及びダイヤモンド相又はDLC相を保持するように急速冷却され得る。 [000117] Performing the modification in the liquid may allow the thermal stress on the wafer to be reduced. The liquid may act as a heat sink by conduction and/or evaporation and/or separation. The liquid is operable to improve quenching (eg carbon can be heated to about 4000-5000° C. or any other potential or suitable temperature for it) and a diamond or DLC phase. can be rapidly cooled to retain the

[000118] 液体に浸漬された基板の少なくとも1つの部分の修正を実施することにより、クーロン爆発及び/又は蒸発プロセスによる炭素(及び例えば他の元素)の分離を抑えるか又は防ぎ得、これは、その後のエッチングステップに対する層の耐性を維持すること又は層の厚さを(例えば、層内の修正された部分と未修正部分との差を最小化することにより)維持することに有用な場合があり、それにより、平坦化ステップの実施を不要にすることが可能になり、及び/又はBARC及び/又はレジストの塗布のステップ時のウェッティング/分布のばらつきを減らすことが可能になる。 [000118] Modification of at least one portion of the substrate immersed in the liquid may be performed to reduce or prevent separation of carbon (and other elements, for example) due to Coulombic explosion and/or vaporization processes, which may include: It can be useful in maintaining layer resistance to subsequent etching steps or in maintaining layer thickness (e.g., by minimizing the difference between modified and unmodified portions in the layer). Yes, which allows the need to perform a planarization step and/or reduces wetting/distribution variability during the BARC and/or resist application step.

[000119] 装置は、エネルギ送達システムが放射線及び/又は粒子を放射する前に層の表面に液膜を塗布するように構成された液膜塗布器を含み得る。 [000119] The apparatus may include a liquid film applicator configured to apply a liquid film to the surface of the layer before the energy delivery system emits radiation and/or particles.

[000120] 装置は、少なくとも1つのフィーチャに関連する情報を測定するために、光信号を送出して層の少なくとも1つの部分を透過させるように構成された光学系を含み得る。 [000120] The apparatus may include an optical system configured to transmit an optical signal through at least one portion of the layer to measure information associated with the at least one feature.

[000121] 光学系は、少なくとも1つのフィーチャに関連する情報を測定するための任意の適切な計測器を含み得る。光学系は、少なくとも1つのフィーチャに関連する情報の測定が可能であるように、少なくとも1つのフィーチャから反射、散乱及び/又は回折された光信号を受けるように構成され得る。光学系は、修正された少なくとも1つの部分を伝搬する光信号を提供するように構成され得る。 [000121] The optical system may include any suitable metrology instrument for measuring information related to at least one feature. The optical system may be configured to receive optical signals reflected, scattered and/or diffracted from at least one feature such that information associated with the at least one feature can be measured. The optical system may be configured to provide an optical signal propagating through the modified at least one portion.

[000122] 装置は、層の少なくとも1つの部分を通して受けられた戻り光信号に基づいて、少なくとも1つのフィーチャに関連する情報を測定する基板アライメントシステムを含み得る。 [000122] The apparatus may include a substrate alignment system that measures information related to at least one feature based on the return optical signal received through at least one portion of the layer.

[000123] 少なくとも1つのフィーチャは、光信号を照射される場合があり、光信号は、最初に、少なくとも1つの部分を通して伝搬することができる。光信号は、少なくとも1つのフィーチャと相互作用して(それらから反射、散乱及び/又は回折されて)戻り光信号を形成し得、戻り光信号は、その後、少なくとも1つの部分を通して伝搬することができる。少なくとも1つのフィーチャは、光信号を照射される場合があり、光信号は、最初に、少なくとも1つの部分を通して伝搬しない場合がある。例えば、光信号が最初に少なくとも1つの部分を通過できないように、少なくとも1つのフィーチャは、少なくとも1つの異なる方向から到達した光信号を照射される場合がある。戻り光信号は、その後、少なくとも1つの部分を通して伝搬することができる。 [000123] At least one feature may be illuminated with an optical signal, and the optical signal may initially propagate through the at least one portion. The optical signal may interact with (reflected, scattered and/or diffracted from) at least one feature to form a return optical signal, which may then propagate through the at least one portion. can. At least one feature may be illuminated with an optical signal, and the optical signal may not initially propagate through the at least one portion. For example, at least one feature may be illuminated by optical signals arriving from at least one different direction such that the optical signal cannot first pass through the at least one portion. A return optical signal can then propagate through the at least one portion.

[000124] 基板アライメントシステムは、基板がアライメントされているかどうかを判定するために、少なくとも1つのフィーチャの存在、位置及び方位等の少なくとも1つを測定するように構成され得る。 [000124] A substrate alignment system may be configured to measure at least one of the presence, position, orientation, etc. of at least one feature to determine whether the substrate is aligned.

[000125] 基板アライメントシステムは、基板とリソグラフィ装置又はリソグラフィツールとの間の相対的な位置付けを制御して、それらの中での基板のアライメントを行うように構成され得る。 [000125] A substrate alignment system may be configured to control a relative positioning between a substrate and a lithographic apparatus or tool to align the substrate therein.

[000126] 光学系及び/又は基板アライメントシステムは、少なくとも1つのフィーチャから取得された情報の測定及び解析の少なくとも一方のための任意の適切な計測器を含み得る。少なくとも1つのフィーチャに関連する情報を測定する技術の例は、本開示の出願人によってもたらされたようなスマートアライメントセンサハイブリッド(SMASH)システムを使用すること、及び/又は本開示の出願人によってもたらされたような位相格子アライメント手法、及び/又は少なくとも1つのフィーチャからの戻り光信号を使用して情報を測定する干渉法技術の少なくとも1つを含み得る。SMASHシステムは、少なくとも1つのフィーチャの全範囲が可視であること(例えば、修正された部分の面積が対応するフィーチャの面積より小さい場合)、及び/又は光信号によって十分に照明されていることを必要とせずに情報を測定し得る。SMASHシステムは、可視スペクトルレンジ及び/又は赤外スペクトルレンジで動作可能であり得る。SMASHシステム又は他の任意の計測器で使用される波長は、炭素を含む層に少なくとも部分的に浸透する波長であり得、例えば層の一部が少なくとも1つのフィーチャを覆い隠している場合にそうであり得る。層がその全体厚さにわたって完全に修正されているわけではない場合若しくは修正が不完全であり、修正された層の厚さがその全体厚さより薄い場合、及び/又は他の任意の層が層上に堆積されている場合でも、波長を適切に選択することにより、SMASHシステム又は他の任意の適切な計測器で生成される情報光信号が層に浸透することを可能にすることができる。 [000126] The optical system and/or substrate alignment system may include any suitable metrology for measuring and/or analyzing information obtained from at least one feature. Examples of techniques for measuring information associated with at least one feature include using a Smart Alignment Sensor Hybrid (SMASH) system as provided by the assignee of the present disclosure and/or It may include at least one of phase grating alignment techniques as provided, and/or interferometry techniques that measure information using a return optical signal from at least one feature. The SMASH system determines that the full extent of at least one feature is visible (eg, if the area of the modified portion is smaller than the area of the corresponding feature) and/or is sufficiently illuminated by the light signal. Information can be measured without need. A SMASH system may be operable in the visible spectral range and/or the infrared spectral range. The wavelength used in the SMASH system or any other instrument may be a wavelength that at least partially penetrates the carbon-containing layer, such as when a portion of the layer obscures at least one feature. can be If the layer is not completely modified over its entire thickness or if the modification is incomplete and the modified layer thickness is less than its total thickness and/or if any other layer Appropriate selection of the wavelength can allow the informational optical signal generated by the SMASH system or any other suitable instrument to penetrate the layer, even when deposited on top.

[000127] フィーチャは、アライメントマーク又はオーバーレイマーク等を含み得る。 [000127] The features may include alignment marks or overlay marks or the like.

[000128] 修正された層は、炭素を少なくとも20%含み得るか、又は任意選択で炭素を少なくとも50%含み得る。 [000128] The modified layer may comprise at least 20% carbon, or optionally at least 50% carbon.

[000129] 炭素を含む層を相変化させるエネルギ送達システムは、原理上、不透明金属層の化学組成を(例えば、局所的に)変化させて、その光学的透明度を高め得る。試薬(例えば、金属を含む層の少なくとも一部分と接触する反応媒体)の可用性が化学組成の変化に影響する場合がある。 [000129] An energy delivery system that phase-changes a carbon-containing layer can, in principle, alter (eg, locally) the chemical composition of an opaque metal layer to enhance its optical transparency. The availability of reagents (eg, reaction medium in contact with at least a portion of the metal-containing layer) can affect changes in chemical composition.

[000130] 金属層の局所的な化学的変換に関するエネルギ送達システムの最適特性は、炭素層の構造修正に関する特性と異なる場合がある。しかしながら、使用されるパラメータ間に幾らかの類似性があり得る。例えば、エネルギ送達システムのエネルギ(例えば、レーザ)パルス継続時間が1nsよりかなり短く、フルエンスが0.01~1J/cmの範囲であれば、金属層の修正に使用され得、これは、これらのパラメータが高温及び/又は高圧をもたらし得るためである。エネルギ送達システムは、(例えば、金属酸化物、金属ホウ化物、金属窒化物等を形成するために)酸化物、ホウ化物、窒化物等の生成に触媒作用を及ぼすように構成され得、基となる金属により新たに形成された物質又は組成物の相互拡散を促進し得る。本明細書に記載のように、相変化した材料(例えば、ダイヤモンド、DLC等)が炭素層を通って伝搬する場合に起こり得るのと同じ又は同様の、金属層を通る化学的に変化した金属の伝搬が起こり得る。 [000130] The optimal properties of the energy delivery system for localized chemical transformation of the metal layer may differ from those for structural modification of the carbon layer. However, there may be some similarity between the parameters used. For example, energy (eg, laser) pulse durations of the energy delivery system significantly less than 1 ns and fluences in the range of 0.01-1 J/cm 2 can be used to modify metal layers, which parameters can lead to high temperatures and/or high pressures. The energy delivery system can be configured to catalyze the formation of oxides, borides, nitrides, etc. (e.g., to form metal oxides, metal borides, metal nitrides, etc.), groups and metals can promote interdiffusion of newly formed substances or compositions. As described herein, chemically altered metal through a metal layer in the same or similar manner as can occur when a phase changed material (e.g., diamond, DLC, etc.) propagates through a carbon layer can occur.

[000131] 従って、炭素層の局所的構造変化のために適切である、本明細書に記載の装置及び方法は、金属層の局所的化学変化にも少なくとも部分的に適用可能であり得る。 [000131] Accordingly, the apparatus and methods described herein that are suitable for localized structural alterations of carbon layers may also be applicable, at least in part, to localized chemical alterations of metal layers.

[000132] フォーカスされたエネルギビームを提供し得るエネルギ送達システムを提供することに対する代替又は追加として、エネルギ送達システムは、金属の電気化学変換を行うように構成され得、これは、金属酸化物、金属窒化物、金属ホウ化物等の比較的深い(例えば、最大数μm等の)変換をもたらし得る。変換の局所的性質は、先行する絶縁層の堆積/リソエッチング(これは、基板内に埋められたフィーチャと異なる領域における試薬と金属層との接触を防ぎ得る)及び/又はフォーカスされたエネルギビームの送達システムによる電気化学変換に触媒作用を及ぼすことの何れかによってもたらされ得る。そのようなシステムは、(例えば、埋められたフィーチャの上方の)金属変換の速度をおよそ10~1000倍ほどに高め得、それにより、局所的に変換された層は、透明度が高められ得る一方、層の他の部分(これらは、比較的低速の純粋電気化学的陽極酸化にかけられ得る)は、わずかに影響を受けるのみであり得る(同じ時間枠にわたるその透明度の変化は、最小限又は無視できるものである)。陽極酸化された層(これは、比較的薄い場合がある)は、CMP等で除去可能である。 [000132] As an alternative or addition to providing an energy delivery system capable of providing a focused energy beam, the energy delivery system may be configured to perform electrochemical conversion of metals, such as metal oxides, Relatively deep (eg, up to several μm, etc.) conversion of metal nitrides, metal borides, etc. can result. The local nature of the transformation may depend on the preceding deposition/litho-etching of an insulating layer (which may prevent contact between the reagent and the metal layer in areas different from the buried features in the substrate) and/or focused energy beams. by catalyzing an electrochemical conversion by the delivery system of Such systems can increase the rate of metal conversion (e.g., above buried features) by a factor of approximately 10-1000, whereby locally converted layers can be enhanced in transparency. , other parts of the layer, which may be subjected to relatively slow pure electrochemical anodization, may be only slightly affected (changes in their transparency over the same timeframe are minimal or negligible). is possible). The anodized layer (which may be relatively thin) is removable such as by CMP.

[000133] 本開示の一例によれば、本開示の何れかの例の装置を含むリソグラフィ装置が提供される。 [000133] According to an example of the disclosure, there is provided a lithographic apparatus comprising an apparatus of any example of the disclosure.

[000134] 本開示の一例によれば、本開示の何れかの例の装置を含むリソグラフィツールが提供される。 [000134] According to an example of the present disclosure, a lithography tool is provided that includes an apparatus of any example of the present disclosure.

[000135] 本開示の一例によれば、半導体デバイス基板における少なくとも1つのフィーチャに関連する情報を測定するための方法が提供される。少なくとも1つのフィーチャは、炭素を含む層によって少なくとも部分的に覆い隠され得、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号は、フィーチャに到達することを妨げられ得る。少なくとも1つのフィーチャは、金属を含む層によって少なくとも部分的に覆い隠され得、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号は、フィーチャに到達することを妨げられ得る。方法は、エネルギ送達システムにより、層の少なくとも1つの部分を修正して、少なくとも1つの部分の透明度を高めることを含み得る。層の少なくとも1つの部分は、不透明である場合があり、修正は、少なくとも1つの部分の相変化及び/又は化学組成変化を引き起こすことにより、少なくとも1つの部分の透明度を高め得る。少なくとも1つの部分を修正することは、少なくとも1つのフィーチャに関連する情報を測定するための光信号の少なくとも一部が、少なくとも1つのフィーチャに関連する情報を測定するために層の少なくとも1つの部分を通して伝搬することができるようなものであり得る。 [000135] According to one example of the present disclosure, a method is provided for measuring information associated with at least one feature in a semiconductor device substrate. The at least one feature may be at least partially obscured by the carbon-containing layer such that optical signals for measuring information associated with the at least one feature may be prevented from reaching the feature. The at least one feature may be at least partially obscured by the layer comprising metal such that optical signals for measuring information related to the at least one feature may be prevented from reaching the feature. The method can include modifying at least one portion of the layer with the energy delivery system to increase the transparency of at least one portion. At least one portion of the layer may be opaque, and the modification may increase the transparency of at least one portion by causing a phase change and/or a chemical composition change of the at least one portion. Modifying at least one portion causes at least a portion of the optical signal to measure information related to the at least one feature to be at least one portion of the layer to measure information related to the at least one feature. can be such that it can propagate through

[000136] 方法は、エネルギ送達システムを使用して、層の少なくとも1つの部分を修正するためのビームを放射することを含み得、この修正は、(例えば、(例えば、sp3配位炭素原子の含有量を増やすこと及び/又はsp2配位炭素原子の含有率を減らすことで)層内の炭素原子の幾らかの価電子状態を変化させることによって)層の少なくとも1つの部分の消光係数を減らすことによって行われる。 [000136] The method may include emitting a beam to modify at least one portion of the layer using the energy delivery system, the modification comprising (e.g., sp3-coordinated carbon atoms reducing the extinction coefficient of at least one portion of the layer (by changing the valence state of some of the carbon atoms in the layer) by increasing the content and/or reducing the content of sp2-coordinated carbon atoms It is done by

[000137] ビームは、放射線及び/又は粒子を含み得る。 [000137] The beam may include radiation and/or particles.

[000138] 方法は、エネルギ送達システムを使用して、(例えば、層の少なくとも1つの部分において)炭素内に相変化を引き起こし、及び/又は層の少なくとも1つの部分においてsp3配位炭素原子(例えば、四価炭素原子)の濃度を上昇させることにより、層の少なくとも1つの部分を修正するためのビームを放射することを含み得る。 [000138] The method uses an energy delivery system to induce a phase change in carbon (e.g., in at least one portion of the layer) and/or sp3-coordinated carbon atoms (e.g., , tetravalent carbon atoms) to modify at least one portion of the layer.

[000139] 方法は、炭素がダイヤモンド及びダイヤモンド状炭素の少なくとも一方を形成するように層の少なくとも1つの部分において炭素を修正することを含み得る。 [000139] The method may include modifying the carbon in at least one portion of the layer such that the carbon forms at least one of diamond and diamond-like carbon.

[000140] 方法は、エネルギ送達システムを使用して、消光係数及び/又は屈折係数を減らして、伝導帯中の電子の濃度及び総数を減らすか又はゼロにすることにより、局所的化学組成変化を引き起こして層の少なくとも1つの部分を修正することを含み得る。エネルギ送達システムは、放射線及び/又は粒子のビームを放射するように構成され得る。代替又は追加として、エネルギ送達システムは、局所的化学組成変化を引き起こして層の少なくとも1つの部分を修正する陽極酸化システムを含み得る。 [000140] The method uses an energy delivery system to reduce the extinction and/or refraction coefficients to reduce or eliminate the concentration and total number of electrons in the conduction band, thereby causing local chemical composition changes. causing to modify at least one portion of the layer. The energy delivery system may be configured to emit beams of radiation and/or particles. Alternatively or additionally, the energy delivery system may include an anodization system that causes a local chemical composition change to modify at least one portion of the layer.

[000141] 方法は、少なくとも1つのレーザを使用して放射線及び/又は粒子を放射することを含み得る。方法は、放射線を放射する少なくとも1つのレーザ及び/又はエネルギ粒子のフォーカスされたビームの少なくとも1つの源を使用することを含み得る。 [000141] The method may include emitting radiation and/or particles using at least one laser. The method may comprise using at least one laser that emits radiation and/or at least one source of a focused beam of energetic particles.

[000142] 方法は、パルス状レーザ源を使用して一連のレーザパルスを放射することを含み得る。 [000142] The method may include emitting a series of laser pulses using a pulsed laser source.

[000143] 方法は、4nm~3μmの範囲の少なくとも1つの波長を有する放射線を放射することを含み得る。 [000143] The method may include emitting radiation having at least one wavelength in the range of 4 nm to 3 μm.

[000144] 方法は、少なくとも1つのレーザを使用して、5fs~500psの範囲のパルス継続時間を有する可視及び/又は赤外レーザパルス、1ps~500nsの範囲のパルス継続時間を有する紫外レーザパルス、1fs~100nsの範囲のパルス継続時間を有する軟X線~DUVレーザパルス等の1つ以上を放射することを含み得る。 [000144] The method uses at least one laser to generate visible and/or infrared laser pulses with pulse durations ranging from 5 fs to 500 ps, ultraviolet laser pulses with pulse durations ranging from 1 ps to 500 ns, It may involve emitting one or more such as soft X-ray to DUV laser pulses with pulse durations ranging from 1 fs to 100 ns.

[000145] 方法は、少なくとも1つのレーザを使用して、第1のパルス継続時間の少なくとも1つのレーザパルスを含む最初のパルストレインを放射することを含み得る。方法は、より短い第2のパルス継続時間の少なくとも1つのレーザパルスを含む次のパルストレインを放射することを更に含み得る。 [000145] The method may include emitting an initial pulse train including at least one laser pulse of a first pulse duration using at least one laser. The method may further include emitting a next pulse train including at least one laser pulse of a second, shorter pulse duration.

[000146] 方法は、少なくとも1つのレーザを使用して、層のアブレーション閾値を下回るピーク放射フルエンス又は強度を有する放射線を放射することを含み得る。 [000146] The method may include emitting radiation having a peak emission fluence or intensity below the ablation threshold of the layer using at least one laser.

[000147] 方法は、少なくとも1つのレーザを使用して、直線偏光放射線、非直線偏光放射線、楕円偏光放射線及びらせん偏光放射線の少なくとも1つを有する放射線及び/又は粒子を放射することを含み得る。 [000147] The method may comprise emitting radiation and/or particles having at least one of linearly polarized radiation, non-linearly polarized radiation, elliptically polarized radiation and helically polarized radiation using at least one laser.

[000148] 方法は、少なくとも1つのレーザを使用して、各レーザパルスが直線偏光、円形偏光、楕円偏光、らせん偏光の1つを有するレーザパルスのシーケンス及び/又はトレイン内の幾つかのパルスがトレイン内の他のパルスと異なる偏光を有するレーザパルスのシーケンスを放射することを含み得る。 [000148] The method uses at least one laser, wherein several pulses in a sequence and/or train of laser pulses each laser pulse has one of a linear polarization, a circular polarization, an elliptical polarization, and a helical polarization. It may involve emitting a sequence of laser pulses having a different polarization than other pulses in the train.

[000149] 方法は、エネルギ送達システムにより、層の少なくとも1つの部分のパルス状加熱のための放射線及び/又は粒子を放射することを含み得る。 [000149] The method may comprise emitting radiation and/or particles for pulsed heating of at least one portion of the layer with the energy delivery system.

[000150] 方法は、エネルギ送達システムを使用して、電子ビーム、イオンビーム、中性ビーム、5~20nmの範囲の極端紫外線(EUV)ビーム及び20~100nmの範囲の波長を有する放射線を含むビームの1つ以上を放射することを含み得る。ビームは、放射線及び/又は粒子を含み得る。 [000150] A method uses an energy delivery system to generate beams including electron beams, ion beams, neutral beams, extreme ultraviolet (EUV) beams in the range of 5-20 nm, and radiation having wavelengths in the range of 20-100 nm. can include radiating one or more of The beam may contain radiation and/or particles.

[000151] 方法は、金属を含む層の少なくとも1つの部分を修正するための放射線及び/又は粒子を放射することを含み得る。 [000151] The method may include emitting radiation and/or particles to modify at least one portion of the metal-comprising layer.

[000152] 方法は、層の少なくとも1つの部分を化学的に変換して、少なくとも1つの部分の化学組成を変化させるために、反応媒体の存在下において、金属を含む層の少なくとも1つの部分を修正することを含み得る。反応媒体は、ガス及び/又は液体を含み得、これは、エネルギ送達システムのビームに対して十分透明であり得る。 [000152] The method includes adding at least one portion of a layer comprising a metal in the presence of a reaction medium to chemically transform at least one portion of the layer to change the chemical composition of the at least one portion. can include modifying. The reaction medium may include gas and/or liquid, which may be sufficiently transparent to the beam of the energy delivery system.

[000153] エネルギ送達システムは、100ns未満、任意選択で10ns未満、任意選択で10fs超の継続時間を有するパルスを放射するように構成されたレーザを含み得る。 [000153] The energy delivery system may include a laser configured to emit pulses having a duration of less than 100 ns, optionally less than 10 ns, optionally greater than 10 fs.

[000154] レーザは、複数のパルスを送達するように構成され得、任意選択で、パルスの繰り返し率は、少なくとも1kHzであり得、任意選択で、パルスの繰り返し率は、少なくとも1MHzであり得、及び/又は任意選択で、パルスのデューティサイクルは、1%未満であり得る。 [000154] The laser may be configured to deliver a plurality of pulses, optionally the pulse repetition rate may be at least 1 kHz, optionally the pulse repetition rate may be at least 1 MHz, And/or optionally, the pulse duty cycle may be less than 1%.

[000155] レーザは、0.01~1J/cmの範囲のフルエンスを有する放射線を放射するように構成され得る。 [000155] The laser may be configured to emit radiation having a fluence in the range of 0.01-1 J/ cm2 .

[000156] エネルギ送達システムは、金属を含む層の少なくとも1つの部分の透明度を高めるために、金属を含む層を他の原子、イオン又は分子で飽和させるためのイオンビームを提供するように構成され得る。 [000156] The energy delivery system is configured to provide an ion beam for saturating the metal-comprising layer with other atoms, ions or molecules to enhance the transparency of at least one portion of the metal-comprising layer. obtain.

[000157] 方法は、少なくとも1つの部分の修正のためのレーザ照射を使用し得、このレーザ照射は、少なくとも1つの部分を、全フルエンスが0.01J/cm超であり、及び/又はパルス継続時間が10ns未満である連続波及び/又はパルス状イオンビーム若しくはプラズマに局所露光することに置き換えられ得るか又はそれを伴い得る。局所露光によって注入されたイオンは、ホットトラックから出ることがあり、ホットトラックでは、ダイヤモンド相及び/又はDLC相の炭素が生成可能であるようにパルス状加熱及びクエンチングが起こり得る。イオンビームエネルギは、1eV超であり得、任意選択で100eV超であり得る。使用されるイオンは、Cイオン及び/又はB、N、O、Ga、He、Ne、Ar、Kr、Xe等の少なくとも1つを含み得る。方法は、1つ以上の希ガスイオンを使用することを含み得、これは、ガス放出を促進し得、且つ層を追加ドーパントがないままにし得る。 [000157] The method may employ laser irradiation for modification of at least one portion, wherein the laser irradiation irradiates the at least one portion with a total fluence greater than 0.01 J/ cm2 and/or pulsed It may be replaced by or accompanied by localized exposure to a continuous wave and/or pulsed ion beam or plasma of duration less than 10 ns. Ions implanted by localized exposure may exit hot tracks, where pulsed heating and quenching may occur such that diamond-phase and/or DLC-phase carbon can be produced. The ion beam energy may be greater than 1 eV, optionally greater than 100 eV. The ions used may include C ions and/or at least one of B, N, O, Ga, He, Ne, Ar, Kr, Xe, and the like. Methods may include using one or more noble gas ions, which may facilitate outgassing and leave the layer free of additional dopants.

[000158] 方法は、電気的接続を層に接続することを含み得、電気的接続は、層の帯電を防ぐために電圧/電流又は接地の接続を提供するように構成される。例えば、エネルギ送達システムの一部としての基板にイオン又は電子が誘導される場合、方法は、炭素を含む層を電圧源/電流源に接続するか、又は接地することを含み得、これは、層に入射する、フォーカスされた帯電粒子ビームをデフォーカスするか又は偏向させ得る帯電効果を防ぐためである。この偏向効果は、元の(例えば、高消光係数の)層が導体の場合に起こり得る場合がある。 [000158] The method may include connecting an electrical connection to the layer, the electrical connection configured to provide a voltage/current or ground connection to prevent charging of the layer. For example, if ions or electrons are induced in a substrate as part of an energy delivery system, the method may include connecting the carbon-containing layer to a voltage/current source or grounding, which may include: This is to prevent charging effects that can defocus or deflect a focused charged particle beam incident on the layer. This deflection effect may occur if the original (eg, high extinction coefficient) layer is a conductor.

[000159] 方法は、1つ以上の希ガスイオンを使用して、ガス放出を促進して、層を追加ドーパントがないままにすることを含み得る。方法は、イオン又は電子を基板に誘導することを含み得る。 [000159] The method may include using one or more noble gas ions to facilitate outgassing to leave the layer free of additional dopants. The method may include directing ions or electrons to the substrate.

[000160] エネルギ送達システムは、電界ポテンシャルを、金属を含む層と、電界を発生させる電極との間に提供するように構成された陽極酸化システムを含み得る。方法は、層の少なくとも1つの部分を化学的に変換して、少なくとも1つの部分の化学組成を変化させるための反応媒体を提供することを含み得る。 [000160] The energy delivery system may include an anodization system configured to provide an electric field potential between a layer comprising a metal and an electrode that generates an electric field. The method can include chemically transforming at least one portion of the layer to provide a reaction medium for changing the chemical composition of the at least one portion.

[000161] 方法は、層の少なくとも1つの部分の周囲の層上に保護層及び/又はクリアアウト保護層を堆積させることを含み得る。保護層及び/又はクリアアウト保護層を堆積させることは、陽極酸化前に同じ装置又は別個の装置を使用して実施され得る。 [000161] The method may include depositing a protective layer and/or a clearout protective layer on the layer around at least one portion of the layer. Depositing the protective layer and/or the clearout protective layer can be performed using the same apparatus or separate apparatus prior to anodization.

[000162] 方法は、液体塗布システムにより、金属を含む層の少なくとも1つの部分と電極との間に導電性の液体を提供することを含み得る。 [000162] The method may include providing a conductive liquid between at least one portion of the metal-containing layer and the electrode with a liquid application system.

[000163] 方法は、基板支持物により、基板の少なくとも一部が導電性液体に接触しないように基板を支持することを含み得る。代替又は追加として、方法は、絶縁層塗布器により、基板の一部と導電性液体との間の接触を防ぐために、基板の少なくとも一部に絶縁層を塗布することを含み得る。方法は、絶縁層を(例えば、陽極酸化プロセスの完了後に)除去することを含み得る。 [000163] The method may include supporting the substrate with the substrate support such that at least a portion of the substrate does not contact the conductive liquid. Alternatively or additionally, the method may include applying an insulating layer to at least a portion of the substrate to prevent contact between the portion of the substrate and the conductive liquid with an insulating layer applicator. The method may include removing the insulating layer (eg, after completing the anodization process).

[000164] 方法は、金属層と電極との間に電界を発生させるために、金属層及び電極にエネルギ源を接続することを含み得る。エネルギ源は、連続的及び/又はパルス状の電圧及び/又は電流を提供するように構成され得る。 [000164] The method may include connecting an energy source to the metal layer and the electrode to generate an electric field between the metal layer and the electrode. The energy source may be configured to provide continuous and/or pulsed voltage and/or current.

[000165] エネルギ源は、金属層がアノードを形成し、電極がカソードを形成するような極性を有する、金属層及び電極に電気的に接続された電圧源を含み得る。 [000165] The energy source may include a voltage source electrically connected to the metal layer and the electrode having a polarity such that the metal layer forms the anode and the electrode forms the cathode.

[000166] 方法は、金属を含む層の少なくとも1つの部分を修正するための電気化学的及び/又は光電気化学的陽極酸化を実施することを含み得る。 [000166] The method may include performing electrochemical and/or photoelectrochemical anodization to modify at least one portion of the metal-comprising layer.

[000167] 方法は、金属を含む層の上に提供又は形成された保護層の開口部を介した先行リソエッチングプロセスにおいて少なくとも1つの部分を画定することを含み得る。 [000167] The method may include defining at least one portion in a prior litho-etching process through an opening in a protective layer provided or formed over the metal-containing layer.

[000168] 少なくとも1つの部分は、エネルギ送達システムのフォーカスされたビームによって画定され得る。 [000168] At least one portion may be defined by a focused beam of the energy delivery system.

[000169] 方法は、層の少なくとも1つの部分を化学的、電気化学的及び/又は光電気化学的に変換して、少なくとも1つの部分の化学組成を変化させるために、反応媒体の存在下において、金属を含む層の少なくとも1つの部分を修正することを含み得る。 [000169] The method chemically, electrochemically and/or photoelectrochemically converts at least one portion of the layer to change the chemical composition of the at least one portion in the presence of a reaction medium. , modifying at least one portion of the metal-containing layer.

[000170] 方法は、反応媒体を収容するチャンバを設けることを含み得る。 [000170] The method may include providing a chamber containing the reaction medium.

[000171] チャンバは、放射線及び/又は粒子が、金属を含む層と相互作用することを可能にするように構成され得る。チャンバは、放射線及び/又は粒子がチャンバに入ることを可能にする透明セクションを含み得る。エネルギ送達システムは、チャンバ内に設けられ得る。 [000171] The chamber may be configured to allow radiation and/or particles to interact with the metal-containing layer. The chamber may include transparent sections that allow radiation and/or particles to enter the chamber. An energy delivery system may be provided within the chamber.

[000172] 反応媒体は、ガス及び/又は液体を含み得る。 [000172] The reaction medium may include gas and/or liquid.

[000173] 反応媒体は、酸素(O)、酸化物、水素(H)、ホウ素(B)、ホウ化物、炭素(C)、炭化物、窒素(N)、窒化物、塩素(Cl)、塩化物、臭素(Br)、臭化物、フッ素(F)、フッ化物、ヨウ素(I)、ヨウ化物、ケイ素(Si)、ケイ化物、リン(P)、リン化物の少なくとも1つの原子、イオン又は分子を含み得る。 [000173] Reaction media include oxygen (O), oxides, hydrogen (H), boron (B), borides, carbon (C), carbides, nitrogen (N), nitrides, chlorine (Cl), chlorides , bromine (Br), bromide, fluorine (F), fluoride, iodine (I), iodide, silicon (Si), silicide, phosphorus (P), phosphide obtain.

[000174] 金属は、タングステン又は他の任意の適切な金属を含み得る。 [000174] The metal may comprise tungsten or any other suitable metal.

[000175] 方法は、反応媒体中の少なくとも1つの原子、イオン又は分子が金属と反応して、少なくとも1つの部分内に新たな化学化合物を形成するように、金属を含む層の化学組成を変化させることを含み得る。 [000175] The method changes the chemical composition of the metal-containing layer such that at least one atom, ion or molecule in the reaction medium reacts with the metal to form a new chemical compound within the at least one portion. can include allowing

[000176] 方法は、反応媒体中の化学結合を切断するためにUV、DUV及び/又はEUV放射線を送達することを含み得る。 [000176] The method may include delivering UV, DUV and/or EUV radiation to break chemical bonds in the reaction medium.

[000177] 方法は、修正中に生成されたデブリ粒子を層の表面から除去するためのデブリ除去システムを設けることを含み得る。デブリ除去システムは、放電、ガス及び液体の流れ並びにデブリを除去するための反応媒体の少なくとも1つを含み得る。 [000177] The method may include providing a debris removal system for removing debris particles generated during modification from the surface of the layer. The debris removal system may include at least one of an electrical discharge, a gas and liquid flow, and a reaction medium for removing debris.

[000178] 方法は、基板にガス及び/又は液体を接触させて、基板から熱を除去するための冷却システムを設けることを含み得る。冷却システムは、エネルギ送達システムによって修正された層の少なくとも一部にガス及び/又は液体を送達するように構成され得る。 [000178] The method may include contacting the substrate with a gas and/or liquid to provide a cooling system for removing heat from the substrate. A cooling system may be configured to deliver gas and/or liquid to at least a portion of the layer modified by the energy delivery system.

[000179] 方法は、基板上に層を堆積させる補助層堆積システムを設けることを含み得る。補助層堆積システムは、炭素又は金属を含む基板及び/又は層、及び/又は基板の他の部分に保護層、電気的絶縁層、BARC及び/又はレジストを堆積させるように構成され得る。 [000179] The method may include providing an auxiliary layer deposition system to deposit a layer on the substrate. The auxiliary layer deposition system may be configured to deposit protective layers, electrically insulating layers, BARCs and/or resists on substrates and/or layers comprising carbon or metal and/or other portions of substrates.

[000180] 方法は、任意選択のBARC及びレジスト層の堆積及びリソツール内での基板のパターニング前に不透明層の少なくとも1つの部分を修正することを含み得る。 [000180] The method may include modifying at least one portion of the opaque layer prior to depositing the optional BARC and resist layers and patterning the substrate in the litho-tool.

[000181] 方法は、層堆積システムを設けることを含み得る。層堆積システムは、層内、例えば炭素を含む層内の少なくとも1つのシード副層の作成のために堆積条件を変化させるように動作可能であり得る。シード副層は、ナノダイヤモンド核生成及び/又はダイヤモンド状炭素(DLC)のためのシード副層として動作するsp3配位炭素を含み得る。シード副層内のsp3配位炭素原子の濃度は、他の副層より高いことができる。 [000181] The method may include providing a layer deposition system. The layer deposition system may be operable to vary deposition conditions for creation of at least one seed sublayer within a layer, eg, a layer comprising carbon. The seed sublayer can include sp3-coordinated carbon that acts as a seed sublayer for nanodiamond nucleation and/or diamond-like carbon (DLC). The concentration of sp3-coordinated carbon atoms in the seed sublayer can be higher than in other sublayers.

[000182] 方法は、不透明な炭素層に対して四価炭素原子の濃度が相対的に上昇しており、厚さが層より薄い追加層をシード副層として動作するように設けることを含み得る。 [000182] The method may include providing an additional layer having an elevated concentration of tetravalent carbon atoms relative to the opaque carbon layer and having a thickness less than the layer to act as a seed sublayer. .

[000183] 方法は、層堆積システムを使用して、第1の堆積プロセスで層の下部部分を堆積させることと、第2の堆積プロセスで層の上部部分を堆積させることとを含み得る。層堆積システムは、炭素を含む層の構造修正を使用して、第1の堆積プロセスで堆積された層の下部部分の透明度が高められる一方、第2の堆積プロセスによる修正後に層の上部部分が提供されるように構成され得る。第1及び第2の堆積プロセス(及び任意選択で任意の更なる堆積プロセス)が層堆積システムによって実施され得る。 [000183] The method may include depositing a lower portion of the layer in a first deposition process and depositing an upper portion of the layer in a second deposition process using the layer deposition system. The layer deposition system uses structural modification of the carbon-containing layer to enhance the transparency of the lower portion of the layer deposited in the first deposition process, while the upper portion of the layer after modification by the second deposition process. can be configured to be provided. The first and second deposition processes (and optionally any further deposition processes) may be performed by the layer deposition system.

[000184] 方法は、層除去システムにより、基板から材料を除去することを含み得る。層除去システムは、基板から除去される材料が少なくとも1つのフィーチャの位置及びサイズに対応するように構成されたリソエッチングシステムを含み得る。層除去システムは、化学機械研磨(CMP)装置を含み得る。層除去システムは、アブレーションシステムを含み得る。層及び/又は材料は、補助層と呼ばれ得る。材料は、金属又は炭素を含む層を含み得、層の何れかが基板上に堆積され得るか又は基板の他の任意の部分を含み得る。 [000184] The method may include removing material from the substrate with a layer removal system. The layer removal system may include a lithoetching system configured such that the material removed from the substrate corresponds to the location and size of the at least one feature. A layer removal system may include a chemical mechanical polishing (CMP) apparatus. A layer removal system may include an ablation system. A layer and/or material may be referred to as an auxiliary layer. The material may include layers comprising metal or carbon, any of which may be deposited on the substrate or may include any other portion of the substrate.

[000185] 層除去システムは、基板上の保護層、基板上の電気的絶縁層、基板上のBARC及び/又はレジストの少なくとも1つを少なくとも部分的に除去及び/又は平坦化するように構成され得る。層は、炭素又は金属を含み得、及び/又は炭素又は金属を含む層において修正された炭素又は金属を含み得る。 [000185] The layer removal system is configured to at least partially remove and/or planarize at least one of a protective layer on the substrate, an electrically insulating layer on the substrate, a BARC and/or resist on the substrate. obtain. A layer may comprise carbon or metal and/or may comprise carbon or metal modified in a layer comprising carbon or metal.

[000186] 方法は、帯電粒子ビームによってもたらされる電荷の蓄積を回避するために電流源若しくはバイアス電圧源を設けること又は炭素を含む層を接地することを含み得る。 [000186] The method may include providing a current or bias voltage source or grounding the carbon-containing layer to avoid charge build-up caused by the charged particle beam.

[000187] 方法は、層の少なくとも1つの部分の1つ以上のパラメータを測定し、且つ1つ以上のパラメータに基づいてエネルギ送達システムを制御するように構成されたフィードバック制御システムを使用することを含み得る。 [000187] The method includes using a feedback control system configured to measure one or more parameters of at least one portion of the layer and control an energy delivery system based on the one or more parameters. can contain.

[000188] 方法は、フィードバック制御システムの放射線センサを使用して、層の少なくとも1つの部分から放射線を受けることを含み得る。方法は、フィードバック制御システムを使用して、受けられた放射線に基づいて層の少なくとも1つの部分の1つ以上のパラメータを測定することを含み得る。 [000188] The method may include receiving radiation from at least one portion of the layer using a radiation sensor of the feedback control system. The method may include measuring one or more parameters of at least one portion of the layer based on received radiation using a feedback control system.

[000189] 受けられた放射線は、以下のものの1つ以上を含み得る。 [000189] The radiation received may include one or more of the following.

[000190] 層の少なくとも1つの部分から反射又は散乱した、エネルギ送達システムからの放射線、層の少なくとも1つの部分を通して伝搬した放射線であって、半導体デバイス基板を背面から照らすように構成された放射線源から放射された放射線、エネルギ送達システムからの放射線及び/又は粒子により、層の部分において励起された放射線並びにエネルギ送達システムによって修正された層の部分と実質的に重なり合っているスポットに誘導され、スポットから反射及び/又は散乱した、補助光源からの放射線。 [000190] Radiation from the energy delivery system reflected or scattered from at least one portion of the layer, radiation propagated through at least one portion of the layer, the radiation source configured to back-illuminate the semiconductor device substrate. The radiation emitted from, the radiation from the energy delivery system and/or the particles directed to a spot substantially overlapping the radiation excited in the portion of the layer and the portion of the layer modified by the energy delivery system; Radiation from an auxiliary light source that is reflected and/or scattered from.

[000191] 方法は、エネルギ送達システムを使用して、層の少なくとも1つの部分の透明度を層の全体厚さ未満の深さまで修正するための放射線及び/又は粒子を放射することを含み得る。 [000191] The method may include emitting radiation and/or particles to modify the transparency of at least one portion of the layer to a depth less than the entire thickness of the layer using the energy delivery system.

[000192] 方法は、基板上に層を堆積させる層堆積システムを使用することを含み得る。 [000192] The method may include using a layer deposition system to deposit a layer on the substrate.

[000193] 方法は、層堆積システムを使用して、基板上に層の第1の副層を堆積させることを含み得る。方法は、エネルギ送達システムを使用して、第1の副層中の少なくとも1つの部分を修正することを含み得る。 [000193] The method may include depositing a first sublayer of the layer on the substrate using the layer deposition system. The method may include modifying at least one portion in the first sublayer using the energy delivery system.

[000194] 方法は、層堆積システムを使用して、第1の副層の少なくとも1つの部分の修正後、層の第2の副層を第1の副層上に堆積させることを含み得る。層堆積システムを使用して、任意の数の副層が堆積され得る。各副層は、任意の適切な組成物、例えばドーパント、不純物、他の形態の炭素等を有し得る。 [000194] The method may include depositing a second sublayer of the layer over the first sublayer after modifying at least one portion of the first sublayer using the layer deposition system. Any number of sublayers can be deposited using the layer deposition system. Each sublayer may have any suitable composition, such as dopants, impurities, other forms of carbon, and the like.

[000195] 方法は、層堆積システムを使用して、層内の少なくとも1つのシード副層の作成のために堆積条件を変化させることを含み得る。シード副層は、ナノダイヤモンド核生成及び/又はダイヤモンド状炭素(DLC)等のためのシード副層として動作する(例えば、増量された)sp3配位炭素を含み得る。 [000195] The method may include varying deposition conditions to create at least one seed sublayer within the layer using the layer deposition system. The seed sublayer may include (eg, enriched) sp3-coordinated carbon that acts as a seed sublayer for nanodiamond nucleation and/or diamond-like carbon (DLC), and the like.

[000196] 方法は、層堆積システムを使用して、層の上面において少なくとも1つのシード副層を堆積させることを含み得る。方法は、最上層の一部分をシード副層に変換する手段を含み得る。 [000196] The method may include depositing at least one seed sublayer on top of the layer using the layer deposition system. The method may include means for transforming a portion of the top layer into a seed sublayer.

[000197] 方法は、デブリ除去システムを使用して、修正中に生成されたデブリ粒子を層の表面から除去することを含み得る。 [000197] The method may include removing debris particles generated during modification from the surface of the layer using a debris removal system.

[000198] デブリ除去システムを使用することは、放射線源を使用して、層の少なくとも1つの部分の修正中にアブレーションプルーム内に形成されたデブリ粒子に照射する放射線を放射して、アブレーションプルーム内のデブリ粒子のサイズ及び/又はデブリ粒子の数を低減することを含み得る。 [000198] Using the debris removal system includes emitting radiation using a radiation source to irradiate debris particles formed within the ablation plume during modification of at least one portion of the layer, and reducing the size of debris particles and/or the number of debris particles.

[000199] 方法は、(例えば、放電器によって発生する)放電を使用して、層の少なくとも1つの部分の修正中、層の少なくとも1つの部分の上方にプラズマを発生させることを含み得る。プラズマは、粒子を捕捉するように構成され得る(例えば、粒子は、アブレーションプルーム内で発生し、及び/又は帯電する可能性があり、それらの再堆積を妨げる可能性がある)。代替又は追加として、方法は、層を含む基板にバイアスをかけることにより、電界が、アブレーションプルーム内に発生した帯電粒子を基板からはね返し得るようにすること、及び/又は帯電粒子の再堆積を妨げ得るようにすることを含み得る。 [000199] The method may include generating a plasma above at least one portion of the layer during modification of the at least one portion of the layer using an electrical discharge (eg, generated by a discharger). The plasma may be configured to trap particles (eg, particles may be generated and/or charged within the ablation plume, which may prevent their redeposition). Alternatively or additionally, the method biases the substrate containing the layer such that the electric field can repel charged particles generated in the ablation plume from the substrate and/or prevent redeposition of the charged particles. to obtain.

[000200] 方法は、デブリ除去システムを使用して、半導体デバイス基板を傾斜させて、デブリ粒子が重力下で層から離され得るようにすることを含み得る。 [000200] The method may include tilting the semiconductor device substrate using the debris removal system so that debris particles may be separated from the layer under gravity.

[000201] 方法は、デブリ除去システムを使用して、層の表面に除去可能層を塗布することを含み得る。デブリ粒子は、除去可能層上に収集され得る。方法は、デブリ除去システムを使用して、層の少なくとも1つの部分の修正後に除去可能層を除去することを含み得る。 [000201] The method may include applying a removable layer to a surface of the layer using a debris removal system. Debris particles may be collected on the removable layer. The method may include removing the removable layer after modifying at least one portion of the layer using the debris removal system.

[000202] 方法は、デブリ除去システムを使用して、エネルギ送達システムが放射線及び/又は粒子を放射する前に層の少なくとも1つの部分の位置において除去可能層を除去することを含み得る。 [000202] The method may include using the debris removal system to remove the removable layer at the location of at least one portion of the layer before the energy delivery system emits radiation and/or particles.

[000203] 方法は、デブリ除去システムを使用して、層の少なくとも1つの部分の近傍に反応媒体を設けることを含み得、例えばそれによりアブレーションプルーム内の材料の反応の生成物のみが実質的に揮発性又は可溶性であり得る。反応媒体は、ガス又は液体であり得る。反応媒体は、放射線及び/又は粒子に対して透明であり得る。活性化エネルギは、プルーム内の高温により及び/又は放射線及び/又は粒子による直接光励起により提供され得る。反応媒体は、プラズマプルーム内の蒸気又は粒子を揮発性又は可溶性の形態に変換し得、これは、その後、拡散及び/又は流れによって除去され得る。 [000203] The method may include providing a reaction medium proximate at least one portion of the layer using the debris removal system, e.g., whereby substantially only products of reaction of materials within the ablation plume are removed. It can be volatile or soluble. The reaction medium can be gas or liquid. The reaction medium may be transparent to radiation and/or particles. Activation energy can be provided by the high temperature within the plume and/or by direct photoexcitation by radiation and/or particles. The reaction medium can convert vapors or particles within the plasma plume to volatile or soluble forms, which can then be removed by diffusion and/or flow.

[000204] 方法は、液体を保持するように構成されたチャンバを設けて、半導体デバイス基板を少なくともエネルギ送達システムによる放射線及び/又は粒子の放射中に液体に少なくとも部分的に浸漬することを含み得る。 [000204] The method may include providing a chamber configured to hold a liquid and at least partially immersing the semiconductor device substrate in the liquid during radiation and/or particle emission by the energy delivery system. .

[000205] 方法は、液膜塗布器を使用して、エネルギ送達システムが放射線及び/又は粒子を放射する前に層の表面に液膜を塗布することを含み得る。 [000205] The method may include using a liquid film applicator to apply a liquid film to the surface of the layer before the energy delivery system emits radiation and/or particles.

[000206] 方法は、光学系を使用して、少なくとも1つのフィーチャに関連する情報を測定するために、光信号を送出して層の少なくとも1つの部分を透過させることを含み得る。 [000206] The method may include sending an optical signal through at least one portion of the layer to measure information associated with the at least one feature using an optical system.

[000207] 方法は、基板アライメントシステムを使用して、層の少なくとも1つの部分を通して受けられた戻り光信号に基づいて、少なくとも1つのフィーチャに関連する情報を測定することを含み得る。 [000207] The method may include measuring information related to at least one feature based on a return optical signal received through at least one portion of the layer using the substrate alignment system.

[000208] 方法は、基板アライメントシステムを使用して、基板がアライメントされているかどうかを判定するために、少なくとも1つのフィーチャの存在、位置及び方位の少なくとも1つを測定することを含み得る。 [000208] The method may include measuring at least one of presence, position and orientation of at least one feature to determine whether the substrate is aligned using the substrate alignment system.

[000209] 方法は、基板アライメントシステムを使用して、基板とリソグラフィ装置又はリソグラフィツールとの間の相対的な位置付けを制御して、それらの中での基板のアライメントを行うことを含み得る。 [000209] The method may include using a substrate alignment system to control a relative positioning between the substrate and a lithographic apparatus or tool to align the substrate therein.

[000210] 本開示の一例によれば、コンピュータプログラムが提供される。コンピュータプログラムは、少なくとも1つのプロセッサで実行されたとき、本開示の何れかの例による方法を実施するように装置を制御することを少なくとも1つのプロセッサに行わせることができる命令を含み得る。 [000210] According to one example of the present disclosure, a computer program is provided. The computer program may include instructions that, when executed on at least one processor, cause the at least one processor to control an apparatus to perform a method according to any example of this disclosure.

[000211] 本開示の一例によれば、キャリアが提供される。キャリアは、本開示の何れかの例のコンピュータプログラムを収容し得る。キャリアは、電子信号、光信号、無線信号、非一時的コンピュータ可読記憶媒体等の1つであり得る。 [000211] According to one example of the present disclosure, a carrier is provided. A carrier may carry the computer program of any example of this disclosure. A carrier can be one of an electronic signal, an optical signal, a wireless signal, a non-transitory computer-readable storage medium, and the like.

[000212] 本開示の何れかの例、態様又は実施形態の少なくとも1つの特徴は、本開示の何れかの例、態様又は実施形態の何れかの対応する特徴を置き換え得る。本開示の何れかの例、態様又は実施形態の少なくとも1つの特徴は、本開示の他の何れかの例、態様又は実施形態と組み合わされ得る。 [000212] At least one feature of any example, aspect or embodiment of this disclosure may replace any corresponding feature of any example, aspect or embodiment of this disclosure. At least one feature of any example, aspect or embodiment of the disclosure may be combined with any other example, aspect or embodiment of the disclosure.

[000213] 以下では、添付の概略図面を参照して、本開示の実施形態をあくまで例として説明する。 [000213] Embodiments of the disclosure are described below, by way of example only, with reference to the accompanying schematic drawings.

[000214]リソグラフィ装置の概略的概要を示す。[000214] Fig. 2 depicts a schematic overview of a lithographic apparatus; [000215]リソグラフィセルの概略的概要を示す。[000215] Fig. 3 depicts a schematic overview of a lithographic cell; [000216]基板内の層のアライメントを支援するアライメントマークを含む基板の一部の概略図を示す。[000216] Fig. 3 depicts a schematic view of a portion of a substrate including alignment marks to aid in alignment of layers within the substrate. [000217]本開示の一例による、基板における少なくとも1つのフィーチャに関連する情報を測定する処理の様々なステップ中の基板の一部の概略図を示す。[000217] FIG. 11 depicts a schematic view of a portion of a substrate during various steps of a process of measuring information related to at least one feature on the substrate, according to an example of the present disclosure. [000217]本開示の一例による、基板における少なくとも1つのフィーチャに関連する情報を測定する処理の様々なステップ中の基板の一部の概略図を示す。[000217] FIG. 11 depicts a schematic view of a portion of a substrate during various steps of a process of measuring information related to at least one feature on the substrate, according to an example of the present disclosure. [000217]本開示の一例による、基板における少なくとも1つのフィーチャに関連する情報を測定する処理の様々なステップ中の基板の一部の概略図を示す。[000217] FIG. 11 depicts a schematic view of a portion of a substrate during various steps of a process of measuring information related to at least one feature on the substrate, according to an example of the present disclosure. [000218]本開示の一例によるエネルギ送達システムの概略的概要を示す。[000218] Fig. 13 depicts a schematic overview of an energy delivery system according to an example of the present disclosure; [000219]本開示の一例による基板アライメントシステムの概略的概要を示す。[000219] Fig. 2 depicts a schematic overview of a substrate alignment system according to an example of the present disclosure; [000220]本開示の一例による、基板における少なくとも1つのフィーチャに関連する情報を測定するための装置の概略的概要を示す。[000220] Fig. 10 depicts a schematic overview of an apparatus for measuring information associated with at least one feature in a substrate, according to an example of the present disclosure; [000221]本開示の一例による、表面からデブリ粒子を除去するためのデブリ除去システムの概略的概要を示す。[000221] Fig. 12 depicts a schematic overview of a debris removal system for removing debris particles from a surface, according to an example of the present disclosure; [000222]本開示の一例による、基板上に少なくとも1つの層を堆積させる層堆積システムの概略的概要を示す。[000222] FIG. 11 depicts a schematic overview of a layer deposition system for depositing at least one layer on a substrate, according to an example of the present disclosure. [000223]本開示の一例による、基板における少なくとも1つのフィーチャに関連する情報を測定するための方法の一部の概略的概要を示す。[000223] Fig. 11 depicts a schematic overview of part of a method for measuring information associated with at least one feature in a substrate, according to an example of the present disclosure; [000224]フィードバック制御装置の概略的概要を示す。[000224] Fig. 11 shows a schematic overview of a feedback controller; [000225]本開示の一例による、基板を修正することと、基板における少なくとも1つのフィーチャに関連する情報を測定することとを行うシステムの概略的概要を示す。[000225] FIG. 13 depicts a schematic overview of a system for modifying a substrate and measuring information related to at least one feature in the substrate, according to an example of the present disclosure. [000226]図12に示されたシステムを使用して基板を修正するプロセスの一例のステップの概略図を示す。[000226] FIG. 13 depicts a schematic representation of the steps of an example process for modifying a substrate using the system shown in FIG. [000226]図12に示されたシステムを使用して基板を修正するプロセスの一例のステップの概略図を示す。[000226] FIG. 13 depicts a schematic representation of the steps of an example process for modifying a substrate using the system shown in FIG. [000226]図12に示されたシステムを使用して基板を修正するプロセスの一例のステップの概略図を示す。[000226] FIG. 13 depicts a schematic representation of the steps of an example process for modifying a substrate using the system shown in FIG. [000226]図12に示されたシステムを使用して基板を修正するプロセスの一例のステップの概略図を示す。[000226] FIG. 13 depicts a schematic representation of the steps of an example process for modifying a substrate using the system shown in FIG. [000226]図12に示されたシステムを使用して基板を修正するプロセスの一例のステップの概略図を示す。[000226] FIG. 13 depicts a schematic representation of the steps of an example process for modifying a substrate using the system shown in FIG. [000227]本開示の一例による、基板を修正することと、基板における少なくとも1つのフィーチャに関連する情報を測定することとを行うシステムの概略的概要を示す。[000227] FIG. 11 depicts a schematic overview of a system for modifying a substrate and measuring information related to at least one feature in the substrate, according to one example of the present disclosure. [000228]図14に示されたシステムを使用して基板を修正するプロセスの一例のステップの概略図を示す。[000228] FIG. 15 depicts a schematic representation of the steps of an example process for modifying a substrate using the system shown in FIG. [000228]図14に示されたシステムを使用して基板を修正するプロセスの一例のステップの概略図を示す。[000228] FIG. 15 depicts a schematic representation of the steps of an example process for modifying a substrate using the system shown in FIG. [000228]図14に示されたシステムを使用して基板を修正するプロセスの一例のステップの概略図を示す。[000228] FIG. 15 depicts a schematic representation of the steps of an example process for modifying a substrate using the system shown in FIG. [000228]図14に示されたシステムを使用して基板を修正するプロセスの一例のステップの概略図を示す。[000228] FIG. 15 depicts a schematic representation of the steps of an example process for modifying a substrate using the system shown in FIG. [000228]図14に示されたシステムを使用して基板を修正するプロセスの一例のステップの概略図を示す。[000228] FIG. 15 depicts a schematic representation of the steps of an example process for modifying a substrate using the system shown in FIG. [000228]図14に示されたシステムを使用して基板を修正するプロセスの一例のステップの概略図を示す。[000228] FIG. 15 depicts a schematic representation of the steps of an example process for modifying a substrate using the system shown in FIG. [000228]図14に示されたシステムを使用して基板を修正するプロセスの一例のステップの概略図を示す。[000228] FIG. 15 depicts a schematic representation of the steps of an example process for modifying a substrate using the system shown in FIG.

[000229] 本明細書では、「放射」及び「ビーム」という用語は、あらゆるタイプの電磁放射を包含するように使用され、そのような電磁放射には、紫外線(例えば、365、248、193、157又は126nmの波長を有する)、EUV(例えば、約5~100nmの範囲の波長を有する極端紫外線)が含まれる。 [000229] As used herein, the terms "radiation" and "beam" are used to encompass all types of electromagnetic radiation, including ultraviolet radiation (e.g., 365, 248, 193, 157 or 126 nm), EUV (eg, extreme ultraviolet with wavelengths in the range of about 5-100 nm).

[000230] 本明細書で使用される「レチクル」、「マスク」又は「パターニングデバイス」という用語は、特に断らない限り、基板のターゲット部分に作成されるべきパターンに対応するパターン化された断面を、入射する放射ビームに提供するために使用可能な一般的なパターニングデバイスを意味するものとして広義に解釈され得、これに関連して「ライトバルブ」という用語も使用される場合がある。古典的なマスク(透過型又は反射型のマスク;バイナリマスク、位相シフトマスク、ハイブリッドマスク等)に加えて、他のそのようなパターニングデバイスの例として次のものがある。
- プログラマブルミラーアレイ。そのようなミラーアレイの詳細については、参照によって本明細書に組み込まれる米国特許第5,296,891号及び同第5,523,193号に示されている。
- プログラマブルLCDアレイ。そのような構造の一例については、参照によって本明細書に組み込まれる米国特許第5,229,872号に示されている。
[000230] The terms "reticle", "mask" or "patterning device" as used herein, unless otherwise specified, refer to a patterned cross section corresponding to the pattern to be created on a target portion of a substrate. , may be broadly interpreted to mean a general patterning device that can be used to provide an incoming radiation beam, and the term "light valve" may also be used in this context. In addition to classical masks (transmissive or reflective masks; binary masks, phase-shift masks, hybrid masks, etc.), other examples of such patterning devices include the following.
- Programmable mirror array. Details of such mirror arrays are provided in US Pat. Nos. 5,296,891 and 5,523,193, incorporated herein by reference.
- Programmable LCD array. An example of such a structure is shown in US Pat. No. 5,229,872, incorporated herein by reference.

[000231] 図1は、リソグラフィ装置LAを概略的に示す。リソグラフィ装置LAは、放射ビームB(例えば、UV放射、DUV放射又はEUV放射)を調節するように構成された(イルミネータとも呼ばれる)照明システムILと、パターニングデバイス(例えば、マスク)MAを支持するように構築されて、特定のパラメータに従ってパターニングデバイスMAを正確に位置決めするように構成された第1のポジショナPMに接続された支持構造(例えば、マスクテーブル)MTと、基板(例えば、レジストコートウェーハ)Wを保持するように構築されて、特定のパラメータに従って基板を正確に位置決めするように構成された第2のポジショナPWに接続された基板テーブル(例えば、ウェーハテーブル)WTと、パターニングデバイスMAによって放射ビームBに付与されたパターンを基板Wの(例えば、1つ以上のダイを含む)ターゲット部分Cに投影するように構成された投影システム(例えば、屈折投影レンズシステム)PSとを含む。 [000231] Figure 1 schematically depicts a lithographic apparatus LA. The lithographic apparatus LA comprises an illumination system IL (also called an illuminator) configured to condition a radiation beam B (e.g. UV, DUV or EUV radiation), and a patterning device (e.g. mask) MA. a support structure (e.g. mask table) MT connected to a first positioner PM configured to accurately position the patterning device MA according to certain parameters, and a substrate (e.g. a resist-coated wafer) constructed in A substrate table (e.g. wafer table) WT connected to a second positioner PW constructed to hold W and configured to accurately position the substrate according to certain parameters, and the patterning device MA. a projection system (eg a refractive projection lens system) PS configured to project a pattern imparted to the beam B onto a target portion C (eg comprising one or more dies) of the substrate W;

[000232] 稼働中、イルミネータILは、放射源SOから(例えば、ビーム送達システムBDを介して)放射ビームを受ける。照明システムILは、放射の誘導、整形及び制御のために様々なタイプの光学コンポーネントを含み得、例えば屈折型、反射型、磁気型、電磁型、静電型若しくは他のタイプの光学コンポーネント又はこれらの任意の組み合わせを含み得る。イルミネータILは、放射ビームBがパターニングデバイスMAの面において所望の空間強度分布及び角度強度分布をその断面に有するように、放射ビームBを調節するために使用され得る。 [000232] In operation, illuminator IL receives a beam of radiation from source SO (eg, via beam delivery system BD). The illumination system IL may include various types of optical components for directing, shaping and controlling radiation, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components or these. any combination of The illuminator IL may be used to condition the beam of radiation B so that it has the desired spatial and angular intensity distribution in its cross-section in the plane of the patterning device MA.

[000233] 本明細書で使用される「投影システム」PSという用語は、様々なタイプの投影システムを包含するものとして広義に解釈されたい。そのようなシステムには、使用されている露光放射の必要に応じて又は他の要因(例えば、液浸液の使用又は真空の使用)の必要に応じて、屈折型、反射型、反射屈折型、アナモルフィック型、磁気型、電磁型及び静電光学型のシステム又はこれらの任意の組み合わせが含まれ得る。本明細書で「投影レンズ」という用語が使用されている場合、それらは、全てより一般的な用語である「投影システム」PSと同義であると見なされ得る。 [000233] As used herein, the term "projection system" PS should be interpreted broadly to encompass various types of projection systems. Such systems include refractive, reflective and catadioptric systems as required by the exposure radiation being used or as required by other factors (e.g. use of immersion liquid or use of vacuum). , anamorphic, magnetic, electromagnetic and electro-optical systems or any combination thereof. Any use of the term "projection lens" herein may be considered synonymous with the more general term "projection system" PS.

[000234] リソグラフィ装置は、投影システムと基板との間の空間を埋めるように、基板の少なくとも一部分が、屈折率が比較的高い液体(例えば、水)で覆われ得るタイプであり得、これは、液浸リソグラフィとも呼ばれる。液浸技術の詳細については、参照によって本明細書に組み込まれる米国特許第6,952,253号及び国際公開第99-49504号に示されている。 [000234] The lithographic apparatus may be of a type in which at least a portion of the substrate may be covered with a liquid with a relatively high refractive index (e.g. water) so as to fill the space between the projection system and the substrate. , also called immersion lithography. Details of immersion techniques are provided in US Pat. No. 6,952,253 and WO 99-49504, which are incorporated herein by reference.

[000235] リソグラフィ装置LAは、2つ(デュアルステージ)以上の基板テーブルWTを有するタイプであり得、例えば2つ以上の支持構造MT(図示せず)を有するタイプであり得る。そのような「マルチステージ」の機械では、追加のテーブル/構造が並行して使用され得、即ち1つ以上のテーブルがパターニングデバイスMAのデザインレイアウトを基板Wに露光させるために使用されている間、1つ以上の他のテーブルで準備ステップが実施され得る。 [000235] The lithographic apparatus LA may be of a type having two (dual stage) or more substrate tables WT, eg of a type having two or more support structures MT (not shown). In such a "multi-stage" machine, additional tables/structures may be used in parallel, i.e. while one or more tables are used to expose the design layout of the patterning device MA onto the substrate W. , preparation steps may be performed in one or more other tables.

[000236] 稼働中、放射ビームBは、支持構造(例えば、マスクテーブルMT)上に保持されたパターニングデバイス(例えば、マスクMA)に入射し、パターニングデバイスMAによってパターン形成される。放射ビームBは、マスクMAを横断した後、投影システムPSを通過し、投影システムPSは、ビームを基板Wのターゲット部分C上にフォーカスさせる。第2のポジショナPW及び位置センサIF(例えば、干渉デバイス、リニアエンコーダ、2Dエンコーダ又は静電容量センサ)の支援により、基板テーブルWTは、正確に動かされ得、例えば放射ビームBの経路内に異なる複数のターゲット部分Cを位置決めするように正確に動かされ得る。同様に、マスクMAを放射ビームBの経路に対して正確に位置決めするために、第1のポジショナPMと、場合により別の位置センサ(これは、図1に明示されていない)とが使用され得る。マスクMA及び基板Wは、マスクアライメントマークM1、M2及び基板アライメントマークP1、P2を使用してアライメントされ得る。基板アライメントマークは、図示されたように専用ターゲット部分を占有するが、ターゲット部分間の空間に配置され得る(これらは、スクライブレーンアライメントマークと呼ばれる)。 [000236] In operation, the radiation beam B is incident on the patterning device (eg mask MA), which is held on the support structure (eg mask table MT), and is patterned by the patterning device MA. After traversing the mask MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. FIG. With the aid of a second positioner PW and a position sensor IF (e.g. an interferometric device, a linear encoder, a 2D encoder or a capacitive sensor) the substrate table WT can be moved precisely, e.g. It can be precisely moved to position a plurality of target portions C. Similarly, a first positioner PM and possibly another position sensor (which is not explicitly shown in FIG. 1) are used to accurately position the mask MA with respect to the path of the radiation beam B. obtain. Mask MA and substrate W may be aligned using mask alignment marks M1, M2 and substrate alignment marks P1, P2. Although the substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are called scribe-lane alignment marks).

[000237] 図2に示されるように、リソグラフィ装置LAは、リソグラフィセルLC(リソセル又は(リソ)クラスタと呼ばれることもある)の一部をなし得、リソグラフィセルLCは、基板Wに対して露光前プロセス及び露光後プロセスを実施するための装置も含むことが多い。従来、そのような装置として、レジスト層を堆積させるスピンコータSC、露光したレジストを現像するデベロッパDE、冷却プレートCH及びベークプレートBK(これらは、例えば、基板Wの温度を調節するものであり、それは、例えば、レジスト層中の溶剤を調節するために行われる)がある。基板ハンドラ(即ちロボット)ROが基板Wを入出力ポートI/O1、I/O2からピックアップし、それらの基板Wを様々なプロセス装置間で動かし、それらの基板Wをリソグラフィ装置LAのローディングベイLBまで送達する。リソセル内のデバイスは、まとめてトラックと呼ばれることも多く、典型的にはトラック制御ユニットTCUの管理下にあり、トラック制御ユニットTCU自体は、監視制御システムSCSによって制御され得、監視制御システムSCSは、リソグラフィ装置LAも(例えば、リソグラフィ制御ユニットLACUを介して)制御し得る。 [000237] As shown in Figure 2, the lithographic apparatus LA may form part of a lithographic cell LC (sometimes referred to as a lithocell or (litho)cluster), which exposes a substrate W to a Apparatus for performing pre- and post-exposure processes is also often included. Conventionally, such devices include a spin coater SC for depositing a resist layer, a developer DE for developing the exposed resist, a cooling plate CH and a bake plate BK (which, for example, regulate the temperature of the substrate W, which is , for example, to adjust the solvent in the resist layer). A substrate handler (or robot) RO picks up substrates W from input/output ports I/O1, I/O2, moves them between the various process apparatus, and places them in loading bay LB of lithographic apparatus LA. Deliver to The devices within a lithocell, often collectively referred to as a truck, are typically under the control of a truck control unit TCU, which itself may be controlled by a supervisory control system SCS, which is a supervisory control system SCS. , the lithographic apparatus LA may also be controlled (eg, via the lithographic control unit LACU).

[000238] リソグラフィ装置LAによって露光される基板Wが正確且つ確実に露光されるために、基板を検査して、パターン形成された構造の特性、例えば連続する層間のオーバーレイエラー、線の太さ、クリティカルディメンジョン(CD)等を測定することが望ましい。そのため、検査ツール(図示せず)がリソセルLCに含まれ得る。エラーが検出された場合、例えば、連続する基板の露光又は基板Wに対して実施されるべき他のプロセスステップに対する調節が行われ得、これは、特に同じバッチ又はロットの他の基板Wが引き続き露光又はプロセスされる前に検査が行われる場合に行われ得る。 [000238] To ensure that the substrate W to be exposed by the lithographic apparatus LA is correctly and reliably exposed, the substrate is inspected for characteristics of the patterned structures, e.g. overlay error between successive layers, line thickness, It is desirable to measure the critical dimension (CD) or the like. As such, an inspection tool (not shown) may be included in the lithocell LC. If an error is detected, adjustments may be made, for example, to the exposure of successive substrates or other process steps to be performed on the substrate W, particularly because other substrates W of the same batch or lot may continue to be exposed. It can be done when inspection is done before it is exposed or processed.

[000239] メトロロジ装置と呼ばれることもある検査装置は、基板Wの特性を測定するために使用され、特に異なる複数の基板Wの特性がどのようにばらつくか、又は同じ基板Wの異なる複数の層に関連付けられた特性が層ごとにどのようにばらつくかを測定するために使用される。検査装置は、代わりに、基板W上の欠陥を識別するように構築され得、例えばリソセルLCの一部分であり得るか、又はリソグラフィ装置LAに組み込まれ得るか、又はスタンドアロン装置であり得る。検査装置は、潜像(露光後のレジスト層内の像)に関する特性、又は半潜像(露光後ベーク工程PEB後のレジスト層内の像)に関する特性、又は現像されたレジスト像(レジストの露光部分又は非露光部分が除去されている)に関する特性、又は更に(エッチング等のパターン転写工程後の)エッチングされた像に関する特性を測定し得る。 [000239] Inspection equipment, sometimes referred to as metrology equipment, is used to measure properties of substrates W, particularly how different substrates W vary in properties, or different layers of the same substrate W. is used to measure how the properties associated with vary from layer to layer. The inspection apparatus may alternatively be constructed to identify defects on the substrate W, and may eg be part of the lithocell LC, or may be integrated into the lithographic apparatus LA, or may be a stand-alone apparatus. The inspection apparatus checks the characteristics of the latent image (the image in the resist layer after exposure), the characteristics of the semi-latent image (the image in the resist layer after the post-exposure baking process PEB), or the developed resist image (the exposure of the resist). (parts or unexposed parts have been removed), or even the etched image (after a pattern transfer step such as etching) can be measured.

[000240] リソグラフィ装置LAは、パターンを基板上に正確に複写するように構成されている。適用されるフィーチャの位置及び寸法は、特定の公差の範囲内でなければならない。オーバーレイエラー(「オーバーレイ」と呼ばれることが多い)に起因する位置エラーが発生することがある。オーバーレイは、第1の露光中の第1のフィーチャを第2の露光中の第2のフィーチャに対して配置する際のエラーである。リソグラフィ装置は、パターニング前に各ウェーハを基準に対して正確にアライメントすることにより、オーバーレイエラーを最小化する。これは、アライメントセンサを使用して基板上のアライメントマークの位置を測定することによって行われる。アライメント処理の詳細については、参照によって本明細書に組み込まれる米国特許出願公開第20100214550号に見出すことができる。パターン寸法(CD)エラーは、例えば、基板がリソグラフィ装置のフォーカス面に対して正確に位置決めされていない場合に発生することがある。このようなフォーカス位置エラーは、基板表面の非平坦性に関連している場合がある。リソグラフィ装置は、レベルセンサを使用してパターニング前の基板表面のトポグラフィを測定することにより、このようなフォーカス位置エラーを最小化する。パターニングデバイスが基板上に正確に結像(フォーカス)されるように、順次パターニング中に基板高さ補正が適用される。レベルセンサシステムの詳細については、参照によって本明細書に組み込まれる米国特許出願公開第20070085991号に見出すことができる。 [000240] The lithographic apparatus LA is configured to accurately reproduce the pattern onto the substrate. The positions and dimensions of the applied features must be within certain tolerances. Position errors can occur due to overlay errors (often called "overlays"). Overlay is an error in placing a first feature during a first exposure relative to a second feature during a second exposure. A lithographic apparatus minimizes overlay errors by precisely aligning each wafer with a fiducial before patterning. This is done by measuring the positions of alignment marks on the substrate using alignment sensors. Details of the alignment process can be found in US Patent Application Publication No. 20100214550, incorporated herein by reference. Pattern dimension (CD) errors can occur, for example, when the substrate is not precisely positioned with respect to the focus plane of the lithographic apparatus. Such focus position errors may be related to non-flatness of the substrate surface. A lithographic apparatus minimizes such focus position errors by using level sensors to measure the topography of the substrate surface before patterning. A substrate height correction is applied during sequential patterning so that the patterning device is accurately imaged (focused) onto the substrate. Details of the level sensor system can be found in US Patent Application Publication No. 20070085991, which is incorporated herein by reference.

[000241] IC製造中、リソグラフィ装置LA及びメトロロジ装置MTに加えて、他のプロセス装置も使用され得る。エッチングステーション(図示せず)は、パターンがレジストに露光された後の基板をプロセスする。エッチングステーションは、パターンをレジストから、レジスト層の下にある1つ以上の層に転写する。典型的には、エッチングは、プラズマ媒体を塗布することに基づく。局所エッチング特性は、例えば、基板の温度制御を行うこと又は電圧制御リングを使用してプラズマ媒体を誘導することによって制御され得る。エッチング制御の詳細については、参照によって本明細書に組み込まれる国際特許出願公開国際公開第2011081645号及び米国特許出願公開第20060016561号に見出すことができる。 [000241] During IC manufacturing, other process equipment may be used in addition to the lithographic equipment LA and the metrology equipment MT. An etching station (not shown) processes the substrate after the pattern has been exposed in the resist. An etch station transfers the pattern from the resist into one or more layers underlying the resist layer. Typically, etching is based on applying a plasma medium. Local etching characteristics can be controlled, for example, by providing temperature control of the substrate or by inducing the plasma medium using a voltage control ring. Details of etch control can be found in International Patent Application Publication No. WO2011081645 and US Patent Application Publication No. 20060016561, which are incorporated herein by reference.

[000242] ICの製造中、リソグラフィ装置又はエッチングステーション等のプロセス装置を使用して基板をプロセスすることのプロセス状態は、フィーチャの特性が特定の制御限度内にとどまるように安定を維持し得る。プロセスの安定性は、ICの機能部分のフィーチャ、プロダクトフィーチャに関係する場合がある。プロセスを安定させるためにプロセス制御機能を適切に配備しなければならない。プロセス制御は、プロセスデータのモニタリング及びプロセス補正手段の実施(例えば、プロセスデータの特性に基づくプロセス装置の制御)を含む。プロセス制御は、メトロロジ装置MTによる周期的な測定に基づき得、これは、「高度プロセス制御」と称されることが多い(APCとも更に称される)。APCの詳細については、参照によって本明細書に組み込まれる米国特許出願公開第20120008127号に見出すことができる。典型的なAPCの実施は、基板上のメトロロジフィーチャを周期的に測定して、1つ以上のプロセス装置に関連付けられるドリフトをモニタリングして補正することを含む。メトロロジフィーチャは、プロダクトフィーチャのプロセス変動に対する応答を反映する。プロセス変動に対するメトロロジフィーチャの感度は、プロダクトフィーチャと比較して異なる場合がある。その場合、いわゆる「デバイスへのメトロロジ」オフセット(MTDとも更に称される)が測定され得る。プロダクトフィーチャの挙動を模倣するために、メトロロジターゲットは、セグメント化フィーチャ、アシストフィーチャ又は特定のジオメトリ及び/又は寸法を有するフィーチャを含み得る。慎重にデザインされたメトロロジターゲットは、プロセス変動に対してプロダクトフィーチャと同様に応答しなければならない。メトロロジターゲットデザインの詳細については、参照によって本明細書に組み込まれる国際特許出願公開国際公開第2015101458号に見出すことができる。 [000242] During the manufacture of an IC, the process conditions of processing a substrate using process equipment, such as a lithographic apparatus or an etching station, may remain stable such that the characteristics of features remain within specified control limits. Process stability may relate to features of the functional part of the IC, product features. Process control functions must be properly deployed to stabilize the process. Process control includes monitoring process data and implementing process correction measures (eg, control of process equipment based on characteristics of process data). Process control may be based on periodic measurements by metrology equipment MT, often referred to as "advanced process control" (also referred to as APC). Details of APC can be found in US Patent Application Publication No. 20120008127, which is incorporated herein by reference. A typical APC implementation involves periodically measuring metrology features on a substrate to monitor and correct for drift associated with one or more process tools. Metrology features reflect the response of product features to process variations. Metrology features may have different sensitivities to process variations compared to product features. In that case, a so-called "metrology to device" offset (also referred to further as MTD) can be measured. Metrology targets may include segmentation features, assist features, or features with specific geometries and/or dimensions to mimic the behavior of product features. Carefully designed metrology targets must respond to process variations in the same way as product features. Details of metrology target design can be found in International Patent Application Publication No. WO2015101458, incorporated herein by reference.

[000243] メトロロジターゲットが存在し、及び/又は測定される場所を基板及び/又はパターニングデバイス全体にわたって分散させることは、「サンプリングスキーム」と呼ばれることが多い。典型的には、サンプリングスキームは、関係するプロセスパラメータの予想されるフィンガープリントに基づいて選択され、典型的には、基板上の、プロセスパラメータが変動すると予想される領域では、プロセスパラメータが一定であると予想される領域より高い密度でサンプリングが行われる。更に、実施可能なメトロロジ測定の回数には、リソグラフィプロセスのスループットに対するメトロロジ測定の許容可能な影響に基づく限度がある。スループットに影響を及ぼさないように、及び/又はメトロロジフィーチャに割り当てるレチクル又は基板上の領域が大きくなりすぎないように、サンプリングスキームを慎重に選択することがリソグラフィプロセスを正確に制御するために重要である。メトロロジターゲットの位置決め及び/又は測定の最適化に関連する技術は、「スキームの最適化」と呼ばれることが多い。スキームの最適化の詳細については、参照によって本明細書に組み込まれる国際特許出願公開国際公開第2015110191号及び欧州特許出願公開第16193903.8号に見出すことができる。 [000243] Distributing where metrology targets are present and/or measured across the substrate and/or patterning device is often referred to as a "sampling scheme." Typically, the sampling scheme is selected based on the expected fingerprint of the process parameters of interest, typically where the process parameters are constant in areas on the substrate where the process parameters are expected to vary. The area is sampled at a higher density than expected. Furthermore, there is a limit to the number of metrology measurements that can be performed based on the acceptable impact of the metrology measurements on the throughput of the lithography process. Careful selection of the sampling scheme is important to accurately control the lithography process so as not to affect throughput and/or to not dedicate too much area on the reticle or substrate to metrology features. is. Techniques related to metrology target positioning and/or measurement optimization are often referred to as "scheme optimization." Details of the optimization of the scheme can be found in International Patent Application Publication No. WO2015110191 and European Patent Application Publication No. 16193903.8, incorporated herein by reference.

[000244] 図3は、フィーチャを含む幾つかの中間層12を含む基板10の一部分を示し、フィーチャは、この例では、グレーティング等のようなターゲットアライメントマーク14の形態である。ターゲットアライメントマーク14は、中間層12の1つにエッチングされる。ターゲットアライメントマーク14を含む層12の上に幾つかの追加中間層12が堆積される。これらの追加中間層12の上に更なる中間層12が堆積され、これは、この例では、窒化物層16の形態である。ターゲットアライメントマーク14と均等な位置から規定の横方向距離「X」にある窒化物層16に第1のアライメントマーク18がエッチングされる。 [000244] Figure 3 shows a portion of a substrate 10 including several intermediate layers 12 containing features, which in this example are in the form of target alignment marks 14, such as gratings or the like. A target alignment mark 14 is etched into one of the intermediate layers 12 . Several additional intermediate layers 12 are deposited over layer 12 containing target alignment marks 14 . On top of these additional intermediate layers 12 further intermediate layers 12 are deposited, which in this example are in the form of nitride layers 16 . A first alignment mark 18 is etched into the nitride layer 16 at a prescribed lateral distance “X L ” from a position equivalent to the target alignment mark 14 .

[000245] 窒化物層16上には、炭素を含む層(以下では「炭素層」20と呼ばれる)が堆積され、これは、この例では、炭素ハードマスクの形態である。窒化物層16にエッチングされた第1のアライメントマーク18の形状により、炭素層20が堆積されることにより、第1のアライメントマーク18の垂直上方に(例えば、炭素層20の上面24上に)対応する第2のアライメントマーク22が形成される。第2のアライメントマーク22は、炭素層20の下にある第1のアライメントマーク18と横方向にほぼアライメントされている。規定の横方向距離「X」を使用することにより、炭素層20及び中間層12の下にあるターゲットアライメントマーク14の横方向位置を確定して、炭素層20の上面24に更なるターゲットアライメントマーク26をエッチングすることが可能である。ターゲットアライメントマーク14及び更なるターゲットアライメントマーク26が横方向にほぼアライメントされているため、基板10の各層を間接的にアライメントして、オーバーレイ(OV)28ミスアライメントがあっても最小化されるようにすることが可能である。製造プロセスにおいて、必要に応じて炭素層20上にレジスト層30が堆積され得る。(例えば、ICの構造が小さくなって)OV 28バジェットがよりタイトになると、この間接的なアライメントプロセスでは、各層内の構造が互いに対して適切に横方向にアライメントされるようにするための十分な精度が得られない可能性がある。 [000245] Over the nitride layer 16 is deposited a layer comprising carbon (hereinafter referred to as "carbon layer" 20), which in this example is in the form of a carbon hardmask. Due to the shape of the first alignment mark 18 etched into the nitride layer 16, the carbon layer 20 is deposited vertically above the first alignment mark 18 (eg, on top surface 24 of the carbon layer 20). A corresponding second alignment mark 22 is formed. The second alignment marks 22 are substantially laterally aligned with the first alignment marks 18 underlying the carbon layer 20 . Further target alignment to the upper surface 24 of the carbon layer 20 is achieved by establishing the lateral position of the target alignment mark 14 underlying the carbon layer 20 and the intermediate layer 12 by using the prescribed lateral distance "X L ". It is possible to etch the marks 26 . Because the target alignment mark 14 and the further target alignment mark 26 are substantially laterally aligned, each layer of the substrate 10 is indirectly aligned such that any overlay (OV) 28 misalignment is minimized. It is possible to A resist layer 30 may be deposited on the carbon layer 20 if desired during the manufacturing process. As the OV 28 budget becomes tighter (e.g., as IC structures become smaller), this indirect alignment process may be sufficient to ensure that the structures within each layer are properly laterally aligned with respect to each other. accuracy may not be obtained.

[000246] 図4a~4cは、基板10と同様の基板110の一部分を示す。図3に対して、図4a~4cは、それぞれ異なるアライメント処理の3つのステップを示し、この処理は、基板110内の各層間のアライメントを測定する処理及び/又はフィーチャから他の任意の情報を測定する処理である。図4a~4cの各要素は、図3の対応する各要素と類似しているか又は同様であり、図3の参照符号に100を加えた参照符号で示されている。基板110及び基板110内の各層のアライメントを測定するプロセスについては、本明細書において詳述されている。 [000246] FIGS. 4a-4c show a portion of a substrate 110 similar to substrate 10. FIG. In contrast to FIG. 3, FIGS. 4a-4c each show three steps of a different alignment process, which processes alignment measurements between layers in substrate 110 and/or extracts any other information from features. This is the process of measuring. Each element of FIGS. 4a-4c is similar or similar to each corresponding element of FIG. A process for measuring the alignment of substrate 110 and layers within substrate 110 is described in detail herein.

[000247] (i)第1のアライメントマーク18が窒化物層16にエッチングされ、その後、(ii)その上に炭素層20が堆積され、その後、(iii)更なるターゲットアライメントマーク26が炭素層20にエッチングされる、図3の処理とは対照的に、このようなエッチングステップは、図4a~4cの処理で実施されない。代わりに、図4aに示されるように、(例えば、炭素層120の形態の)炭素層が窒化物層116の上に堆積され、これは、炭素層120の上面124が平坦であり、その中にアライメントマークを全く含まないように行われる。 [000247] (i) a first alignment mark 18 is etched into the nitride layer 16, after which (ii) a carbon layer 20 is deposited thereon, after which (iii) a further target alignment mark 26 is etched into the carbon layer. In contrast to the process of FIG. 3, which is etched at 20, no such etching step is performed in the process of FIGS. 4a-4c. Alternatively, as shown in FIG. 4a, a carbon layer (eg, in the form of carbon layer 120) is deposited over nitride layer 116, such that top surface 124 of carbon layer 120 is flat and there is a flat surface 124 therein. not include any alignment marks.

[000248] あるフィーチャ、この例では幾つかの中間層112の1つにエッチングされたターゲットアライメントマーク114の形態であるフィーチャが少なくとも部分的に炭素層120によって覆い隠され、それにより、ターゲットアライメントマーク114の情報(例えば、位置)を測定する光信号(図4aには示されず)は、ターゲットアライメントマーク114に到達することが炭素層120内での光吸収によって妨げられる。 [000248] A feature, in this example in the form of a target alignment mark 114 etched into one of several intermediate layers 112, is at least partially obscured by the carbon layer 120, thereby forming a target alignment mark. An optical signal (not shown in FIG. 4 a ) measuring information (eg, position) of 114 is prevented from reaching the target alignment mark 114 by optical absorption within the carbon layer 120 .

[000249] (例えば、放射線及び/又は粒子の)ビームを放射するエネルギ送達システム(ここでは示さないが、後述する)が設けられ、ビームは、この例では、レーザビーム132の形態であり、レーザビーム132が炭素層120の少なくとも一部分134に入射したときに部分134の透明度が高くなるように部分134を修正する。図4bに示されるように、レーザビーム132が部分134を修正したことで、部分134の透明度が周囲の炭素層120に比べて高くなる。任意選択で、レーザビーム132と部分134との相互作用で発生したデブリを除去するためのデブリ除去処理又はエッチングステップが実施され得、その結果、図4bに示されるように、部分134の層厚が周囲の炭素層120より薄くなることがある。 [000249] An energy delivery system (not shown here, but described below) is provided that emits a beam (e.g., of radiation and/or particles), which in this example is in the form of a laser beam 132, a laser The portion 134 is modified so that when the beam 132 impinges on at least the portion 134 of the carbon layer 120 , the portion 134 is highly transparent. As shown in FIG. 4b, the laser beam 132 has modified the portion 134 so that the portion 134 is more transparent than the surrounding carbon layer 120 . Optionally, a debris removal process or etching step may be performed to remove debris generated by the interaction of laser beam 132 with portion 134, resulting in a layer thickness of portion 134 as shown in FIG. 4b. may be thinner than the surrounding carbon layer 120 .

[000250] 図4cに示されるように、修正部分134を含む炭素層120の上にレジスト層130が堆積される。アライメントシステム(図示せず)が光信号136を送達するように構成されており、光信号136は、ターゲットアライメントマーク114の位置を測定するために、レジスト層130を通して、部分134を通して、窒化物層116を通して、幾つかの中間層112を通して伝搬することができる。部分134の透明度が高くなったことにより、光信号136は、少なくとも部分的に、炭素層120の未修正領域に比べて少ない吸収量で部分134を通して伝搬することができる。光信号136は、その後、中間層112を通して伝搬し、ターゲットアライメントマーク114を照明する。光信号136は、ターゲットアライメントマーク114から(例えば、反射、散乱及び/又は回折等によって)返され得、返された光信号(図示せず)は、部分134及びレジスト層130を逆に通して伝搬することができる。基板アライメントシステム(図示せず)は、部分134を通して返される光信号を受けるように構成された放射線センサ(図示せず)を含む。この返される光信号の特性(例えば、強度、形成された回折及び/又は干渉パターン、波長等)に基づいて、アライメント測定システムは、ターゲットアライメントマーク114の位置及び/又は方位を測定して、基板110がアライメントされているかどうかを判定し得る。 [000250] A resist layer 130 is deposited over the carbon layer 120 including the modified portion 134, as shown in Figure 4c. An alignment system (not shown) is configured to deliver an optical signal 136 that passes through resist layer 130, through portion 134, and through nitride layer 130 to determine the position of target alignment mark 114. 116 can propagate through several intermediate layers 112 . The increased transparency of portion 134 allows, at least in part, optical signal 136 to propagate through portion 134 with less absorption than unmodified regions of carbon layer 120 . Optical signal 136 then propagates through intermediate layer 112 and illuminates target alignment mark 114 . Optical signal 136 may be returned from target alignment mark 114 (eg, by reflection, scattering, and/or diffraction, etc.), and the returned optical signal (not shown) passes back through portion 134 and resist layer 130 . can be propagated. A substrate alignment system (not shown) includes a radiation sensor (not shown) configured to receive optical signals returned through portion 134 . Based on the properties of this returned optical signal (eg, intensity, formed diffraction and/or interference pattern, wavelength, etc.), the alignment measurement system measures the position and/or orientation of the target alignment mark 114 to It can be determined whether 110 is aligned.

[000251] 図4a~4cに示された処理では、実施されるエッチングステップの数は、図3に示された処理に比べて少ない。より少ないエッチングステップでのアライメント又は情報測定を可能にすることにより、アライメント/情報の測定にかかる時間を短縮し得、従って製造コストを低減し得る。更に、エッチングプロセスの追加的な部分を回避し得、例えば透明なエッチングストッパ材料をクリアアウトに再充填することが不要であり得る。ターゲットアライメントマーク114の位置が直接測定できるため、基板110の各層における構造間のオーバーレイの精度を高めることが可能であり得る。 [000251] In the process illustrated in FIGS. 4a-4c, fewer etching steps are performed than in the process illustrated in FIG. By allowing alignment or information measurement in fewer etching steps, the alignment/information measurement time may be reduced, thus reducing manufacturing costs. Furthermore, an additional part of the etching process may be avoided, eg refilling the clearout with a transparent etch stop material may not be necessary. Because the position of target alignment marks 114 can be directly measured, it may be possible to increase the accuracy of the overlay between structures in each layer of substrate 110 .

[000252] 以下では、部分134を修正するプロセスについて詳細に説明する。炭素は、アモルファス炭素、グラファイト、ダイヤモンド状炭素(DLC)、ダイヤモンド等の幾つかの同素形の形態をとり得る。ICの製造で使用される炭素層120は、一般にアモルファス炭素の形態であり、その消光係数「k」は、UV光、可視光及びIR光の波長に対して0.4を超え得るが、アモルファス炭素は、これら及び他の波長に対して異なる消光係数「k」を有し得ることが理解される。このように消光係数が相対的に高いことの結果として、これら及び/又は他の幾つかの波長で炭素層120が相対的に不透明になり、それにより、ターゲットアライメントマーク114の位置を測定する光信号を、光吸収により、ターゲットアライメントマーク114から返される光信号の信号対ノイズ比が低下して、アライメントの正確な測定のための閾値レベルを下回ることがないように炭素層120に浸透させることができないか、又は少なくとも炭素層120に十分に浸透させることができない。 [000252] The process of modifying portion 134 is described in detail below. Carbon can take the form of several allotropic forms such as amorphous carbon, graphite, diamond-like carbon (DLC), and diamond. The carbon layer 120 used in IC fabrication is generally in the form of amorphous carbon, whose extinction coefficient "k" can exceed 0.4 for wavelengths of UV, visible and IR light, although amorphous It is understood that carbon can have different extinction coefficients "k" for these and other wavelengths. As a result of this relatively high extinction coefficient, the carbon layer 120 is relatively opaque at these and/or some other wavelengths, thereby allowing light from which the position of the target alignment mark 114 to be measured. Allowing the signal to penetrate the carbon layer 120 such that light absorption does not degrade the signal-to-noise ratio of the optical signal returned from the target alignment mark 114 below a threshold level for accurate measurement of alignment. or at least not sufficiently penetrate the carbon layer 120 .

[000253] 図4aの例では、レーザビーム132は、部分134と相互作用して、炭素層120の構造修正を引き起こし、それにより層120中の炭素の相変化が引き起こされ、これは、その炭素の消光係数の低減につながり得る。レーザビーム132は、アモルファス炭素の構造をダイヤモンド又はDLC寄りに修正するレーザパルスを送達し、ダイヤモンド又はDLCは、波長によっては消光係数kが0.1未満である。このように消光係数が低減されると、部分134の透明度は、ターゲットアライメントマーク114の位置を測定する光信号を、光吸収により、ターゲットアライメントマーク114から返される光信号の信号対ノイズ比が低下して、アライメントの正確な測定のための閾値レベルを下回ることがないように炭素層120に浸透させることができる程度まで、又は少なくとも炭素層120に十分に浸透させることができる程度まで高められる。部分134が、少なくとも部分的に、ダイヤモンド又はDLCを形成するように修正された場合、炭素層120を通したターゲットアライメントマーク114の可視性を高めることが可能である。レーザビーム132を使用して、横方向にターゲットアライメントマーク114とアライメントされた(例えば、ターゲットアライメントマーク114の垂直上方にある)部分134における炭素層120を構造修正することにより、その炭素層120を局所的に周囲の領域の炭素層120より透明にして、アライメントを炭素層120越しに直接行うことを可能にすることができる。 [000253] In the example of Figure 4a, laser beam 132 interacts with portion 134 to cause structural modification of carbon layer 120, which causes a phase change of the carbon in layer 120, which causes the carbon can lead to a reduction in the extinction coefficient of Laser beam 132 delivers laser pulses that modify the structure of amorphous carbon toward diamond or DLC, which have extinction coefficients k less than 0.1, depending on the wavelength. When the extinction coefficient is thus reduced, the transparency of portion 134 reduces the optical signal for determining the position of target alignment mark 114 , and optical absorption reduces the signal-to-noise ratio of the optical signal returned from target alignment mark 114 . , or at least enough to penetrate carbon layer 120 without falling below a threshold level for accurate measurement of alignment. Visibility of target alignment mark 114 through carbon layer 120 may be enhanced if portion 134 is at least partially modified to form diamond or DLC. A laser beam 132 is used to structurally modify the carbon layer 120 in a portion 134 laterally aligned with the target alignment mark 114 (e.g., vertically above the target alignment mark 114). It can be locally more transparent than the carbon layer 120 in surrounding areas to allow alignment to be done directly through the carbon layer 120 .

[000254] レーザパルスと、これに関連する急速加熱/冷却及び/又は圧力パルスとの照射により、(ダイヤモンド及びDLCに関連付けられた)sp3配位炭素原子の濃度が上昇し、(アモルファス炭素に関連付けられた)sp2配位炭素原子の濃度が低下する。この構造修正により、炭素層120の価電子帯における電子の濃度及び/又は移動度を低下させて、消光係数を低下させ得る。 [000254] Irradiation with laser pulses and associated rapid heating/cooling and/or pressure pulses increases the concentration of sp3-coordinated carbon atoms (associated with diamond and DLC) and The concentration of sp2-coordinated carbon atoms is reduced. This structural modification may reduce the concentration and/or mobility of electrons in the valence band of the carbon layer 120 to reduce the extinction coefficient.

[000255] 以下では、部分134を修正するためのレーザシステムの例について詳細に説明する。レーザパルスのピークのエネルギ及び/又は強度は、アモルファス炭素から炭素及びDLCへの変換を引き起こすのに十分な熱及び/又は圧力を発生させることに関連付けられてきた。例えば、(波長が193nmであり、パルス継続時間が20nsであるArFエキシマレーザによって発生するような)深紫外(DUV)波長のナノ秒レーザパルスを使用して、アモルファス炭素を溶融して高度過冷却状態を作り出し得、この状態から炭素の様々な状態を作り出し得る。そのような例は、参照によって本明細書に組み込まれるNarayan et al.,“Research Update: Direct conversion of amorphous carbon into diamond at ambient pressures and temperatures in air”, APL Materials 3, 100702 (2015)で報告されている。Narayanは、超過冷却状態からのクエンチングでナノダイヤモンドの核生成が引き起こされると説明している。Narayanは、ナノダイヤモンドが種晶として動作することにより、高度過冷却状態の炭素からマイクロダイヤモンドが生じることも見出した。 [000255] An example laser system for modifying portion 134 is described in detail below. The peak energy and/or intensity of the laser pulse has been associated with generating sufficient heat and/or pressure to cause conversion of amorphous carbon to carbon and DLC. For example, deep ultraviolet (DUV) wavelength nanosecond laser pulses (as generated by an ArF excimer laser with a wavelength of 193 nm and a pulse duration of 20 ns) are used to melt amorphous carbon to a high degree of supercooling. A state can be created, from which various states of carbon can be created. Such an example is reported in Narayan et al., "Research Update: Direct conversion of amorphous carbon into diamond at ambient pressures and temperatures in air", APL Materials 3, 100702 (2015), incorporated herein by reference. ing. Narayan explains that quenching from supercooled conditions causes nucleation of nanodiamonds. Narayan also found that nanodiamonds act as seed crystals to form microdiamonds from highly supercooled carbon.

[000256] グラファイトの形態の炭素を構造修正する更なるレーザシステム例では、赤外フェムト秒(fs)レーザシステムは、多結晶グラファイトを4kHzパルストレインにおいて1パルス当たり4J/cmのフルエンスで25fsの558μJのレーザパルスに露光させる。そのような例は、参照によって本明細書に組み込まれるMaia et al.,“Synthesis of diamond-like phase from graphite by ultrafast laser driven dynamical compression”, Scientific Reports 5: 11812 (2015)で報告されている。Maiaによると、この例のレーザシステムは、ダイヤモンド状及び/又はオニオン状の炭素相を搬送する半透明/透明のマイクロメートルサイズの構造を合成したものである。 [000256] In a further laser system example for structural modification of carbon in the form of graphite, an infrared femtosecond (fs) laser system blasts polycrystalline graphite at a fluence of 4 J/ cm2 per pulse in a 4 kHz pulse train at 25 fs. Exposure to a 558 μJ laser pulse. Such an example is reported in Maia et al., “Synthesis of diamond-like phase from graphite by ultrafast laser driven dynamical compression”, Scientific Reports 5: 11812 (2015), incorporated herein by reference. According to Maia, this example laser system is a synthesis of translucent/transparent micrometer-sized structures carrying diamond-like and/or onion-like carbon phases.

[000257] 図5は、基板110の炭素層120の少なくとも一部分134を修正して、部分134の透明度を高めるために、放射線及び/又は粒子をビームの形態で放射するように構成されたエネルギ源142を含むエネルギ送達システム140を示し、ビームは、この例では、レーザビーム132の形態である。透明度を修正することにより、本明細書に記載のように、光信号が修正部分134に浸透して、基板110のターゲットアライメントマーク114を照明することが可能になり得る。 [000257] FIG. 5 shows an energy source configured to emit radiation and/or particles in the form of a beam to modify at least a portion 134 of the carbon layer 120 of the substrate 110 to make the portion 134 more transparent. Energy delivery system 140 is shown including 142 , the beam in the form of laser beam 132 in this example. Modifying transparency may allow an optical signal to penetrate modified portion 134 and illuminate target alignment mark 114 on substrate 110, as described herein.

[000258] 図6は、ターゲットアライメントマーク114から位置、方位等の情報を復元する基板アライメントシステム150を示す。基板アライメントシステム150は、光学系152を含み、光学系152は、炭素層120の修正部分134(図6では修正部分の境界を破線で示す)に浸透する光信号136を放射して、ターゲットアライメントマーク114から光学系152に戻り光信号154が戻り得るようにターゲットアライメントマーク114を照射する。戻り光信号154は、反射、散乱及び/又は回折した光信号の形態でターゲットアライメントマーク114からの位置、方位等の情報をエンコードし得る。光学系152は、戻り光信号154の特性を測定して、ターゲットアライメントマーク114に関連する情報、例えばターゲットアライメントマーク114(及び/又は他の任意のフィーチャ又はアライメントマーク)の位置及び方位を測定し、それにより基板110のアライメントが測定され得る。基板アライメントシステム150及び/又は光学系152の一例として、アライメントセンサ、例えばSMart Alignment Sensor Hybrid(SMASH)センサがあり、これについては、米国特許第8,767,183B2号で参照され、米国特許第6,961,116号に関連して説明されており、これらは、両方とも参照によって本明細書に組み込まれる。SMASHセンサは、1つの検出器及び異なる4つの波長を有する自己参照干渉計を含み、ソフトウェアを使用してフィーチャの位置等の情報を抽出する。情報の測定には任意の適切なアライメントセンサが使用され得ることが理解される。基板アライメントシステム150及び/又は光学系152は、反射、散乱及び/又は回折した光信号を受ける放射線センサ(図示せず)を含み得る。エネルギ送達システム140、基板アライメントシステム150及び/又は光学系152は、少なくとも1つの部分134の修正中に生成されるラマン信号を測定するラマン検出システム(図示せず)又は他の任意の適切である、少なくとも1つの部分134の修正前、修正中又は修正後にその部分134から放射される放射線の特性を測定する計測器を含み得る。 [000258] FIG. 6 shows a substrate alignment system 150 that recovers information such as position, orientation, etc. from the target alignment mark 114. As shown in FIG. The substrate alignment system 150 includes an optical system 152 that emits an optical signal 136 that penetrates a modified portion 134 of the carbon layer 120 (the boundary of the modified portion is indicated by dashed lines in FIG. 6) to achieve target alignment. The target alignment mark 114 is illuminated so that the optical signal 154 can be returned from the mark 114 to the optical system 152 . Returned optical signal 154 may encode position, orientation, etc. information from target alignment mark 114 in the form of reflected, scattered, and/or diffracted optical signals. Optical system 152 measures characteristics of return optical signal 154 to determine information related to target alignment mark 114, such as the position and orientation of target alignment mark 114 (and/or any other features or alignment marks). , whereby the alignment of the substrate 110 can be measured. One example of substrate alignment system 150 and/or optics 152 is an alignment sensor, eg, a Smart Alignment Sensor Hybrid (SMASH) sensor, which is referenced in US Pat. No. 8,767,183 B2 and US Pat. , 961,116, both of which are incorporated herein by reference. A SMASH sensor includes a single detector and a self-referencing interferometer with four different wavelengths and uses software to extract information such as the position of features. It is understood that any suitable alignment sensor may be used to measure information. Substrate alignment system 150 and/or optics 152 may include a radiation sensor (not shown) that receives reflected, scattered and/or diffracted optical signals. Energy delivery system 140, substrate alignment system 150 and/or optics 152 may be a Raman detection system (not shown) or any other suitable system for measuring Raman signals generated during modification of at least one portion 134. , may include instruments that measure characteristics of radiation emitted from at least one portion 134 before, during, or after modification of that portion 134 .

[000259] 図7は、基板110における少なくとも1つのフィーチャ(例えば、ターゲットアライメントマーク114)に関連する情報を測定するための装置160を示す。装置160は、図5及び6にあるパートを含む。この例では、基板110のターゲットアライメントマーク114は、少なくとも部分的に炭素層120によって覆い隠され、それにより、ターゲットアライメントマーク114に関連する情報を測定するために光学系152から提供される光信号136は、最初に、ターゲットアライメントマーク114に到達することを妨げられる。装置160は、エネルギ送達システム140を含み、エネルギ送達システム140は、炭素層120の少なくとも1つの部分134を修正して、その透明度を高める。エネルギ送達システム140は、レーザビーム132を放射するエネルギ源142を含み、それにより、光信号136の少なくとも一部は、炭素層120の少なくとも1つの部分134を通して伝搬することができる。少なくとも1つの部分134の修正後、ターゲットアライメントマーク114の可視性が高まり、光学系152が、ターゲットアライメントマーク114に関連する情報(位置、方位等)を測定するためにターゲットアライメントマーク114からの戻り光信号154の特性を測定することが可能になる。 [000259] FIG. 7 shows an apparatus 160 for measuring information related to at least one feature (eg, target alignment mark 114) in substrate 110. As shown in FIG. Apparatus 160 includes the parts in FIGS. In this example, the target alignment mark 114 of the substrate 110 is at least partially obscured by the carbon layer 120, thereby providing optical signals from the optical system 152 to measure information related to the target alignment mark 114. 136 is blocked from reaching the target alignment mark 114 first. Apparatus 160 includes an energy delivery system 140 that modifies at least one portion 134 of carbon layer 120 to increase its transparency. Energy delivery system 140 includes an energy source 142 that emits laser beam 132 such that at least a portion of optical signal 136 can propagate through at least one portion 134 of carbon layer 120 . After modification of at least one portion 134 , the visibility of target alignment mark 114 is enhanced and optical system 152 is used to measure information (position, orientation, etc.) associated with target alignment mark 114 . Characteristics of the optical signal 154 can be measured.

[000260] 図8は、炭素層120の修正中に発生したデブリ粒子172を炭素層120の表面124から除去するためのデブリ除去システム170を示す。レーザビーム132と炭素層120との相互作用の結果として、基板124の上方にアブレーションプルーム174が形成される場合があり、これは、例えば、レーザビーム132のパラメータ(例えば、パルスエネルギ、パルス継続時間、放射フルエンス等)がアブレーション閾値を上回る場合である。図8は、概略図に過ぎず、使用され得る幾つかの可能なデブリ除去システム170を示す。図では、1つの基板110のみが、可能なデブリ除去システム170のそれぞれに対して修正部分134及び対応するターゲットアライメントマーク114を有して示されているが、これは、あくまで便宜的であり、図示されたデブリ除去システム170の1つ以上が1つの基板110に対して提供され得ることが理解される。 [000260] FIG. 8 shows a debris removal system 170 for removing debris particles 172 generated during modification of the carbon layer 120 from the surface 124 of the carbon layer 120. FIG. An ablation plume 174 may be formed above the substrate 124 as a result of the interaction of the laser beam 132 with the carbon layer 120, which depends, for example, on the parameters of the laser beam 132 (e.g., pulse energy, pulse duration, , radiation fluence, etc.) exceeds the ablation threshold. FIG. 8 is a schematic diagram only and shows some possible debris removal systems 170 that may be used. Although only one substrate 110 is shown with modified portions 134 and corresponding target alignment marks 114 for each possible debris removal system 170, this is for convenience only and It is understood that one or more of the illustrated debris removal systems 170 may be provided for a single substrate 110 .

[000261] 代替又は追加として、デブリ除去システム170は、炭素層120の少なくとも1つの部分134の修正中にアブレーションプルーム174内に形成されたデブリ粒子172に照射する放射線177を放射するレーザ等の放射線源176(図示せず)を含み、それにより、アブレーションプルーム174内のデブリ粒子172のサイズ及び/又はデブリ粒子172の数が低減される。放射線源176は、既に説明されたエネルギ源142とは別個であるか、エネルギ源142の一部であるか、又はエネルギ源142と同じであり得る。 [000261] Alternatively or additionally, the debris removal system 170 provides radiation, such as a laser, that emits radiation 177 to irradiate debris particles 172 formed within the ablation plume 174 during modification of at least one portion 134 of the carbon layer 120. A source 176 (not shown) is included to reduce the size and/or number of debris particles 172 within the ablation plume 174 . The radiation source 176 can be separate from, part of, or the same as the energy source 142 previously described.

[000262] 代替又は追加として、デブリ除去システム170は、炭素層の少なくとも1つの部分134の修正中に少なくとも1つの部分134の上方にプラズマ180を発生させる放電器178を(例えば、放射線源176に追加で又は放射線源176とは別個に)含む。プラズマ180は、帯電したデブリ粒子172を捕捉する。 [000262] Alternatively or additionally, the debris removal system 170 includes a discharger 178 (e.g., into the radiation source 176) that generates a plasma 180 above the at least one portion 134 of the carbon layer during modification of the at least one portion 134. additionally or separately from the radiation source 176). Plasma 180 traps charged debris particles 172 .

[000263] 代替又は追加として、デブリ除去システム170は、基板支持物182を含み、基板支持物182上に基板110が配置及び/又は保持され得る。基板支持物182は、重力下で及び/又はデブリ粒子172を除去する任意の適切なツールでデブリ粒子172が炭素層120から離され得るように可動及び/又は傾斜可能である。例えば、基板支持物182は、炭素層120の表面124が下向きになるように基板110を傾斜させ得る。 [000263] Alternatively or additionally, debris removal system 170 includes a substrate support 182 upon which substrate 110 may be placed and/or held. Substrate support 182 is movable and/or tiltable such that debris particles 172 may be moved away from carbon layer 120 under gravity and/or with any suitable tool for removing debris particles 172 . For example, substrate support 182 may tilt substrate 110 such that surface 124 of carbon layer 120 faces downward.

[000264] 代替又は追加として、デブリ除去システム170は、炭素層120の表面124に除去可能層186を塗布するように構成された除去可能層堆積システム184(例えば、スピンコータ等)を含む。デブリ粒子172は、除去可能層の除去に適切なタイミングにおいて任意の適切な方法で除去されるように除去可能層186上に収集され得る。代替又は追加として、デブリ除去システム170は、液膜塗布器185の形態の除去可能層堆積システム184を含み、液膜塗布器185は、ビーム132と部分134との相互作用で発生したデブリ粒子172を収集又は回収するために、液膜187又は他の任意の形態の液体を炭素層120の表面124に塗布するように構成されている。代替又は追加として、デブリ除去システム170は、炭素層120を少なくとも部分的に浸漬又は包囲する液体又はガスを保持するチャンバ188を含み得る。 [000264] Alternatively or additionally, debris removal system 170 includes a removable layer deposition system 184 (eg, a spin coater, etc.) configured to apply a removable layer 186 to surface 124 of carbon layer 120. FIG. Debris particles 172 may collect on removable layer 186 to be removed in any suitable manner at a time appropriate for removal of the removable layer. Alternatively or additionally, the debris removal system 170 includes a removable layer deposition system 184 in the form of a liquid film applicator 185 that removes debris particles 172 generated by the interaction of the beam 132 and the portion 134 . is configured to apply a liquid film 187 or any other form of liquid to the surface 124 of the carbon layer 120 to collect or recover the . Alternatively or additionally, debris removal system 170 may include chamber 188 that holds a liquid or gas that at least partially submerges or surrounds carbon layer 120 .

[000265] 代替又は追加として、デブリ除去システム170は、反応媒体189を含み、反応媒体189は、修正で発生したデブリ粒子172と反応媒体189とを反応させるためにチャンバ188内に保持され得るガス及び/又は液体の形態であり得る。揮発性又は可溶性である場合がある反応の生成物の除去は、その後、例えば、基板支持物182を動かし、及び/又は傾斜させることにより、又は他の任意の適切な方法、例えば流体又はガス流をチャンバ188内に通すこと又は基板110の上方に通すこと等により行われ得る。発生したデブリ粒子172は、炭素層172からデブリ粒子172を除去する任意の適切な方法で処理され得る。 [000265] Alternatively or additionally, the debris removal system 170 includes a reaction medium 189 that may be held within the chamber 188 to react the debris particles 172 generated in the modification with the reaction medium 189. and/or in liquid form. Removal of products of the reaction, which may be volatile or soluble, is then performed, for example, by moving and/or tilting the substrate support 182, or by any other suitable method, such as fluid or gas flow. through the chamber 188 or over the substrate 110, or the like. Generated debris particles 172 may be treated in any suitable manner to remove debris particles 172 from carbon layer 172 .

[000266] 図9は、基板110上に少なくとも1つの層を堆積させる層堆積システム190を示す。堆積システム190は、スピンコータ又は他の任意の適切な堆積システムを含み得る。堆積システム190は、リソグラフィ装置又はツール(図示せず)又は他の任意の適切な機器の一部をなし得る。層堆積システム190は、エネルギ送達システム140が、部分134を修正するためにビーム132を放射する前、放射している間又は放射した後の1つ以上において、基板110上に炭素層120を堆積させるように構成され得る。例えば、図示された例では、層堆積システム190は、基板110上に第1の炭素副層120aを堆積させ、その後、エネルギ送達システム140を使用して、炭素層120の少なくとも1つの部分134が修正され得、その後、層堆積システム190を使用して、基板110上に第2の炭素副層120bが堆積され得る。従って、炭素層120は、図9に示されるように、その厚み全体の一部のみが修正され得る。炭素層120の1つ以上の炭素層120が少なくとも1つの修正部分134を含むように、任意の数の炭素層120が堆積され得、それらの炭素層120の何れかが修正され得ることが理解される。炭素層120の各層は、炭素層120の全体厚さの副層と見なされ得る。 [000266] FIG. 9 illustrates a layer deposition system 190 for depositing at least one layer on a substrate 110. As shown in FIG. Deposition system 190 may include a spin coater or any other suitable deposition system. Deposition system 190 may form part of a lithographic apparatus or tool (not shown) or any other suitable equipment. Layer deposition system 190 deposits carbon layer 120 on substrate 110 one or more before, during, or after energy delivery system 140 radiates beam 132 to modify portion 134 . can be configured to allow For example, in the illustrated example, the layer deposition system 190 deposits the first carbon sublayer 120a on the substrate 110 and then uses the energy delivery system 140 to deposit at least one portion 134 of the carbon layer 120. A second carbon sublayer 120b may then be deposited on substrate 110 using layer deposition system 190, which may be modified. Thus, the carbon layer 120 can be modified only partially through its total thickness, as shown in FIG. It is understood that any number of carbon layers 120 may be deposited and any of those carbon layers 120 may be modified such that one or more of carbon layers 120 includes at least one modified portion 134 . be done. Each layer of carbon layer 120 may be considered a sublayer of the overall thickness of carbon layer 120 .

[000267] 代替又は追加として、堆積システム190は、表面124を化学的及び/又は機械的に研磨及び/又は平坦化する化学機械研磨装置(CMP)192を含むか又はそれを付随する。CMP 192は、デブリ粒子172の除去にも使用可能である。層堆積システム190を使用して、他の層(例えば、炭素以外の様々な組成又は材料を有する層)が基板110に堆積され得、及び/又はドーパント(ホウ素、タングステン、窒素及び/又は他の任意のドーパント等)が炭素又は他の任意の材料とともに基板110に堆積され得る。層堆積システム190は、炭素層120(例えば、炭素層124の表面124)に少なくとも1つのシード層(図示せず)を作成するために堆積条件を変えるように動作可能であり得る。シード層は、ナノダイヤモンド核生成及び/又はダイヤモンド状炭素(DLC)のためのシード層として動作するために、濃度が10%超、好ましくは50%超のsp3配位炭素原子を含み得る。例えば、層堆積システム190は、基板110上に初期炭素層120を堆積し得、その後、層堆積システム190は、初期炭素層120の上において、sp3配位炭素等を含むシード炭素層120を堆積し得る。シード炭素層120は、任意の適切な方法で設けられ得、例えばプラズマ支援蒸着システム等で設けられ得る。 [000267] Alternatively or additionally, deposition system 190 includes or is associated with a chemical-mechanical polisher (CMP) 192 that chemically and/or mechanically polishes and/or planarizes surface 124. FIG. CMP 192 can also be used to remove debris particles 172 . Using layer deposition system 190, other layers (eg, layers having various compositions or materials other than carbon) may be deposited on substrate 110 and/or dopants (boron, tungsten, nitrogen and/or other any dopant, etc.) may be deposited on the substrate 110 with carbon or any other material. Layer deposition system 190 may be operable to vary deposition conditions to create at least one seed layer (not shown) on carbon layer 120 (eg, surface 124 of carbon layer 124). The seed layer may contain sp3-coordinated carbon atoms in concentrations greater than 10%, preferably greater than 50%, to act as a seed layer for nanodiamond nucleation and/or diamond-like carbon (DLC). For example, the layer deposition system 190 may deposit an initial carbon layer 120 on the substrate 110, after which the layer deposition system 190 deposits a seed carbon layer 120 comprising sp3-coordinated carbon, etc. over the initial carbon layer 120. can. Seed carbon layer 120 may be applied in any suitable manner, such as by a plasma-assisted deposition system.

[000268] 図10は、半導体デバイス基板110における少なくとも1つのフィーチャ(例えば、ターゲットアライメントマーク114)に関連する情報を測定するための方法200の各パートを示し、ターゲットアライメントマーク114は、少なくとも部分的に炭素層120によって覆い隠され、それにより、ターゲットアライメントマーク114に関連する情報を測定するための光信号136は、ターゲットアライメントマーク114に到達することを妨げられる。方法200の第1のステップ202は、エネルギ送達システム140が放射線及び/又は粒子をビーム132の形態で放射することを含み、ビーム132は、炭素層120の少なくとも1つの部分134に入射すると、部分134を修正して、その透明度を高める。第2のステップ204では、ビーム132の形態の放射線及び/又は粒子が炭素層120の少なくとも1つの部分134を修正するように部分134に入射し、ターゲットアライメントマーク114に関連する情報を測定する光信号136の少なくとも一部は、炭素層120の少なくとも1つの部分134を通して伝搬することができる。第3のステップ206では、光学系152は、ターゲットアライメントマーク114に関連する情報を測定する光信号136を放射する。第4のステップ208では、光信号136は、修正された少なくとも1つの部分134に浸透し、少なくとも部分的に部分134を通して伝搬して、ターゲットアライメントマーク114を照射する。第5のステップ210では、ターゲットアライメントマーク114に関連する情報は、少なくとも1つの部分134を通して光学系152に戻される(例えば、光信号136は、ターゲットアライメントマーク114により、戻り光信号154の形態で反射、散乱及び/又は回折され得る)。第6のステップ212では、基板アライメントシステム150は、戻り光信号152の少なくとも1つの特性(例えば、強度、波長、干渉パターン等)を使用して、ターゲットアライメントマーク114に関連する情報(例えば、位置、方位等)を測定し得る。 [000268] FIG. 10 illustrates parts of a method 200 for measuring information related to at least one feature (eg, target alignment mark 114) in a semiconductor device substrate 110, where the target alignment mark 114 is at least partially is obscured by the carbon layer 120 , thereby preventing the optical signal 136 for measuring information related to the target alignment mark 114 from reaching the target alignment mark 114 . A first step 202 of method 200 includes energy delivery system 140 emitting radiation and/or particles in the form of beam 132 , which upon incident on at least one portion 134 of carbon layer 120 , partially 134 to increase its transparency. In a second step 204 , radiation and/or particles in the form of beam 132 are incident on at least one portion 134 of carbon layer 120 to modify and measure information related to target alignment mark 114 . At least a portion of signal 136 may propagate through at least one portion 134 of carbon layer 120 . In a third step 206 , optical system 152 emits optical signal 136 that measures information related to target alignment mark 114 . In a fourth step 208 , the optical signal 136 penetrates the modified at least one portion 134 and propagates at least partially through the portion 134 to illuminate the target alignment mark 114 . In a fifth step 210, information relating to target alignment mark 114 is returned to optical system 152 through at least one portion 134 (eg, optical signal 136 is transmitted by target alignment mark 114 in the form of return optical signal 154). reflected, scattered and/or diffracted). In a sixth step 212, substrate alignment system 150 uses at least one characteristic (eg, intensity, wavelength, interference pattern, etc.) of return optical signal 152 to use information (eg, position , orientation, etc.).

[000269] 図11は、制御ユニット222を含むフィードバック制御システム220を示し、制御ユニット222は、炭素層120の少なくとも1つの部分134の1つ以上のパラメータ(例えば、寸法、透明度等)を測定し、且つ1つ以上のパラメータに基づいてエネルギ送達システム140を制御するように構成されている。代替又は追加として、フィードバック制御システム220は放射線センサ224を含み、放射線センサ224は、炭素層120の少なくとも1つの部分134から放射線226を受けるように構成されている(例えば、放射線226は、部分134の修正中又は修正後にレーザビーム132によって放射され得る)。フィードバック制御システム220は、受けられた放射線226に基づいて炭素層120の少なくとも1つの部分134の1つ以上のパラメータを測定するように構成されている。更に、フィードバック制御システム220は、(例えば、部分134の修正の度合いを制御するために)エネルギ送達システム140によって堆積されるエネルギの量を、制御ユニット222を介して制御し得る。受けられた放射線226は、(例えば、部分134から反射、散乱、回折等が行われた)エネルギ源142又は他の任意の放射線源及び/又は粒子源から抽出され得る。代替又は追加として、受けられた放射線226は、更なる放射線源、例えばバックライト230によって生成された放射線(及び/又は粒子)228を含み、放射線228は、炭素層120の少なくとも1つの部分134を通して伝搬し、基板110を背面から照らすように構成された放射線源226から放射されている。炭素層120は、放射線228を少なくとも部分的にブロックし得、部分134が修正されると、放射線センサ224は、(部分134の透明度の向上に起因する)放射線228のレベルの上昇を検出し、部分134の修正に使用されている放射線及び/又は粒子の量を制御したり、減らしたり、ゼロにしたりするように制御ユニット222経由でエネルギ送達システム140を促し得る。 [000269] FIG. 11 shows a feedback control system 220 that includes a control unit 222 that measures one or more parameters (eg, dimensions, transparency, etc.) of at least one portion 134 of the carbon layer 120. , and is configured to control the energy delivery system 140 based on one or more parameters. Alternatively or additionally, feedback control system 220 includes a radiation sensor 224 configured to receive radiation 226 from at least one portion 134 of carbon layer 120 (e.g., radiation 226 is received from portion 134). may be emitted by the laser beam 132 during or after modification of the . Feedback control system 220 is configured to measure one or more parameters of at least one portion 134 of carbon layer 120 based on received radiation 226 . Additionally, feedback control system 220 may control, via control unit 222, the amount of energy deposited by energy delivery system 140 (eg, to control the degree of modification of portion 134). Received radiation 226 may be extracted from energy source 142 (eg, reflected, scattered, diffracted, etc. from portion 134) or any other radiation and/or particle source. Alternatively or additionally, the received radiation 226 includes radiation (and/or particles) 228 produced by a further radiation source, such as a backlight 230, the radiation 228 passing through at least one portion 134 of the carbon layer 120. It is emitted from a radiation source 226 configured to propagate and back-illuminate the substrate 110 . Carbon layer 120 may at least partially block radiation 228, and when portion 134 is modified, radiation sensor 224 detects increased levels of radiation 228 (due to increased transparency of portion 134), Energy delivery system 140 may be prompted via control unit 222 to control, reduce, or eliminate the amount of radiation and/or particles being used to modify portion 134 .

[000270] 上述の各例は、炭素層120を修正するための様々な装置、システム及び方法を参照している。これらの装置、システム及び方法の少なくとも1つの原理は、基板の他の層、例えばIC及び金属を含む他の半導体デバイスの製造で使用される基板の他の層を修正することにも等しく適用可能又は同様に適用可能であり得、これは、金属層に伝搬する化学組成変化が、炭素層に伝搬する構造(相)変化に対応し得る場合である。以下の例では、基板310の金属層320を修正することに関して、装置、システム及び方法の説明を行う。 [000270] The above examples refer to various apparatus, systems and methods for modifying the carbon layer 120. FIG. The principles of at least one of these devices, systems and methods are equally applicable to modifying other layers of substrates, such as those used in the manufacture of ICs and other semiconductor devices, including metals. or may be equally applicable, where chemical composition changes propagating in the metal layer may correspond to structural (phase) changes propagating in the carbon layer. The following examples describe apparatus, systems and methods for modifying metal layer 320 of substrate 310 .

[000271] 図12は、基板310の金属層320を修正するシステム300を示す。上述の例の装置、システム及び方法と比較して、関連する場合のシステム300の類似又は同様の特徴は、100又は200を加えられた参照符号を含む。システム300は、エネルギ送達システム340を含み、エネルギ送達システム340は、この例では、金属層320の少なくとも1つの部分334に照射されるレーザビーム332を送達するように構成されている。 [000271] FIG. 12 shows a system 300 for modifying a metal layer 320 of a substrate 310. As shown in FIG. Similar or similar features of system 300 where relevant, as compared to the apparatus, systems and methods of the examples above, include reference numerals increased by 100 or 200. FIG. System 300 includes an energy delivery system 340 configured to deliver a laser beam 332 that irradiates at least one portion 334 of metal layer 320 in this example.

[000272] 図7の例の装置160と同様に、図12の例のシステム300は、基板310における少なくとも1つのフィーチャ(例えば、ターゲットアライメントマーク314)に関連する情報を測定するための装置360を含む。図7の装置160に存在する装置360の類似又は同様の特徴は、200を加えられた参照符号に関連付けられている。装置360は、図5及び6にあるパートを含み、図12は、図5及び6にある対応する特徴を含み、それらは、200を加えられた関連する参照符号を有する。この例では、基板310のターゲットアライメントマーク314は、少なくとも部分的に金属層320によって覆い隠され、それにより、ターゲットアライメントマーク314に関連する情報を測定するために、基板アライメントシステム350の光学系352(例えば、アライメントセンサ等)から提供される光信号336は、最初に、ターゲットアライメントマーク314に到達することを妨げられる。装置360は、エネルギ送達システム340を含み、エネルギ送達システム340は、金属層320の少なくとも1つの部分334を修正して、その透明度を高める。エネルギ送達システム340は、レーザビーム332を放射するエネルギ源342を含み、それにより、光信号336の少なくとも一部は、金属層320の少なくとも1つの部分334を通して伝搬することができる。少なくとも1つの部分334の修正後、少なくとも1つの部分334を通したターゲットアライメントマーク314の可視性が高まり、光学系352が、ターゲットアライメントマーク314に関連する情報(位置、方位等)を測定するためにターゲットアライメントマーク314からの戻り光信号354の特性を測定することが可能になる。 [000272] Similar to the example apparatus 160 of FIG. 7, the example system 300 of FIG. include. Similar or similar features of device 360 present in device 160 of FIG. Apparatus 360 includes parts in FIGS. 5 and 6, and FIG. 12 includes corresponding features in FIGS. 5 and 6, which have associated reference numerals increased by 200. In this example, target alignment mark 314 of substrate 310 is at least partially obscured by metal layer 320 such that optics 352 of substrate alignment system 350 are used to measure information related to target alignment mark 314 . An optical signal 336 provided from (eg, an alignment sensor, etc.) is first blocked from reaching the target alignment mark 314 . Apparatus 360 includes an energy delivery system 340 that modifies at least one portion 334 of metal layer 320 to increase its transparency. Energy delivery system 340 includes an energy source 342 that emits a laser beam 332 such that at least a portion of optical signal 336 can propagate through at least one portion 334 of metal layer 320 . After modification of at least one portion 334 , the visibility of target alignment mark 314 through at least one portion 334 is increased for optical system 352 to measure information (position, orientation, etc.) associated with target alignment mark 314 . It is then possible to measure the characteristics of the return optical signal 354 from the target alignment mark 314 .

[000273] 基板310は、チャンバ388内に設けられ、チャンバ388は、液体又はガス(例えば、酸素、酸素を豊富に含むガス等)のような反応媒体389を収容するように構成可能であり、反応媒体389は、金属層320の反応(例えば、酸化等)の発生等を可能にするように構成されている。少なくとも1つの部分334は、反応媒体389内において、少なくとも1つの部分334の化学組成が(例えば、酸化されること、酸素ベースの化合物を形成すること等によって)変化するように照射される。 [000273] The substrate 310 is provided in a chamber 388, which can be configured to contain a reaction medium 389, such as a liquid or gas (e.g., oxygen, oxygen-rich gas, etc.), The reaction medium 389 is configured to allow reactions (eg, oxidation, etc.) of the metal layer 320 to occur, and the like. At least one portion 334 is irradiated such that the chemical composition of at least one portion 334 is changed (eg, by being oxidized, forming oxygen-based compounds, etc.) within reaction medium 389 .

[000274] 反応媒体389が金属層320の酸化を引き起こすように構成されている例では(酸化は、エネルギ送達システム340によって活性化又は加速され得る)、レーザ照射と組み合わされる酸化プロセスは、少なくとも以下のプロセス/検討を伴い得る。酸化プロセスは、非線形であり、非平衡環境で行われる。(熱)化学反応速度定数は温度依存性(アレニウス型)があり、他のレーザパラメータ(例えば、パルスエネルギ、繰り返し率、パルス数、パルス継続時間、波長、ビーム強度分布等)にも依存する場合がある。短パルス照射の場合、媒体内で温度変化が化学反応より速い状況が発生し得る。この例では、拡散長さは、酸化物層の厚さ自体より短い場合がある。レーザで誘起される金属の酸化プロセスの詳細については、参照によってその内容が本明細書に組み込まれるNanaia et al,“Laser-induced oxidation in metals: state of the art”, Thin Solid Films 298 (1997) 160-164に開示されている。 [000274] In examples where reaction medium 389 is configured to cause oxidation of metal layer 320 (oxidation can be activated or accelerated by energy delivery system 340), the oxidation process combined with laser irradiation comprises at least: may involve the process/consideration of The oxidation process is non-linear and takes place in a non-equilibrium environment. When the (thermal) chemical reaction rate constant is temperature dependent (Arrhenius type) and also depends on other laser parameters (e.g. pulse energy, repetition rate, number of pulses, pulse duration, wavelength, beam intensity distribution, etc.) There is With short-pulse irradiation, situations can arise in which the temperature change is faster than the chemical reaction in the medium. In this example, the diffusion length may be shorter than the oxide layer thickness itself. For details on laser-induced oxidation processes of metals, see Nanaia et al, “Laser-induced oxidation in metals: state of the art”, Thin Solid Films 298 (1997), the contents of which are incorporated herein by reference. 160-164.

[000275] 図13a~eは、基板310の金属層320を修正するためにシステム300を使用するプロセスの一例の各ステップを示す。図13aは、レーザビーム322による修正前のチャンバ388内の基板310を示す。最初に、金属層320の表面321がパッシベートされ得る。即ち、厚さが約1~50nmの自然酸化物層で覆われ得る(この厚さは、時間に依存する場合があり、自己限定的な酸化が関係する場合がある)。拡散により、更なる酸化及び/又はより高速の酸化を促進し得、これには、酸素原子/分子の熱活性化又は光活性化が必要となる場合がある。レーザビーム332が部分334を照射した光活性化ステップを図13bに示す。この例では、チャンバ388は、放射線及び/又は粒子が外部エネルギ送達システム340からチャンバ300に入ることを可能にする透明なセクションを含む(図13bには示していないが、図12に示している)。追加又は代替として、エネルギ送達システム340は、チャンバ388内に設けられ得る。図13cに示された本プロセスのステップでは、活性化原子が反応媒体389と基板310との境界面に吸収され得、それにより金属層320内への酸素含有種の更なる拡散が促進され得る。図13cは、レーザ生成酸化物(例えば、WO等の酸化金属)が、表面321から外に、変換された金属層320の厚さの最大1.5~3倍(等)にわたって延びる(例えば、膨張による)突起/隆起323を形成することも示す。反応媒体389と基板310との境界面(例えば、表面321)において酸化物層が成長して、活性化金属原子も(例えば、空格子点を介して)金属酸化物含有層320内に拡散することが可能になる。従って、本プロセスは、金属層320の少なくとも1つの部分334に金属酸化物を形成/生成することによって金属層320を修正する。本プロセスは、(例えば、少なくとも1つの部分334において)金属層320の光学特性を変化させ得る。例えば、(例えば、レーザビーム332によって修正された)金属酸化物は、基板アライメントシステム350の動作波長において、(例えば、吸収量の減少及び/又は反射率の低下の形態で)透明度が高くなっている。この金属層320の少なくとも1つの部分334の修正により、基板アライメントシステムは、修正されない場合に不透明及び/又は高反射率である金属層320を通して、ターゲットアライメントマーク314(又は他の任意のフィーチャ)から(例えば、位置、アライメント等に関連する)情報を取得することが可能になり得る。 [000275] FIGS. 13a-e illustrate steps in an example process of using system 300 to modify metal layer 320 of substrate 310. FIG. FIG. 13a shows substrate 310 in chamber 388 before modification by laser beam 322. FIG. First, surface 321 of metal layer 320 may be passivated. That is, it may be covered with a native oxide layer with a thickness of about 1-50 nm (this thickness may be time dependent and may involve self-limiting oxidation). Diffusion may promote further and/or faster oxidation, which may require thermal or photoactivation of oxygen atoms/molecules. A photoactivation step in which a laser beam 332 illuminates a portion 334 is shown in FIG. 13b. In this example, chamber 388 includes a transparent section that allows radiation and/or particles to enter chamber 300 from external energy delivery system 340 (not shown in FIG. 13b, but shown in FIG. 12). ). Additionally or alternatively, energy delivery system 340 may be provided within chamber 388 . In the step of the process illustrated in FIG. 13c, activated atoms may be absorbed at the interface between reaction medium 389 and substrate 310, thereby promoting further diffusion of oxygen-containing species into metal layer 320. . FIG. 13c shows that the laser-generated oxide (eg, metal oxide such as WO 3 ) extends out from the surface 321 for up to 1.5-3 (or so) times the thickness of the converted metal layer 320 (eg, , by expansion) is also shown to form protrusions/bumps 323 . An oxide layer grows at the interface (e.g., surface 321) between reaction medium 389 and substrate 310, and activated metal atoms also diffuse (e.g., via vacancies) into metal oxide-containing layer 320. becomes possible. Accordingly, the process modifies metal layer 320 by forming/generating metal oxides on at least one portion 334 of metal layer 320 . This process may change the optical properties of metal layer 320 (eg, in at least one portion 334). For example, the metal oxide (eg, modified by laser beam 332) becomes more transparent (eg, in the form of reduced absorption and/or reduced reflectivity) at the operating wavelength of substrate alignment system 350. there is This modification of at least one portion 334 of the metal layer 320 causes the substrate alignment system to direct light from the target alignment mark 314 (or any other feature) through the otherwise opaque and/or highly reflective metal layer 320 . It may be possible to obtain information (eg, related to position, alignment, etc.).

[000276] 本プロセスは、他の元素(例えば、酸素(酸化)、塩化物、窒素、臭化物、ヨウ化物等)の原子を取り込むことで金属層320の組成を変化させることにより、金属層320の材質を、屈折率及び消光係数がより低い材質に完全に又は部分的に変換し得る。 [000276] The process modifies the composition of the metal layer 320 by incorporating atoms of other elements (e.g., oxygen (oxidation), chloride, nitrogen, bromide, iodide, etc.), thereby increasing the thickness of the metal layer 320. The material may be fully or partially converted to a material with a lower refractive index and extinction coefficient.

[000277] 酸化の例では、酸素含有種は、基板内への種の拡散に基づく化学的に不均一なプロセスを介して金属層320に取り込まれる。金属層320内への酸素含有種の取り込みのプロセスは、(例えば、レーザビーム332によって提供される)化学ポテンシャル及び/又は電界の形成に基づいており、それによりフラックスが規定され、帯電している種(酸化の場合には酸素)の拡散が促進される。酸化プロセス後、図13dに示されるように、余分な材料(例えば、金属層320の表面321にある余計な酸化物)が(例えば、CMPによって)除去され、研磨され、及び/又は洗浄される。図13eは、基板310の、リソグラフィツールに送られる前の最終的な状態を示し、アライメントマーク314の可視性が向上している。任意選択で、修正された少なくとも1つの部分334の上方を含む金属層320にBARC及びレジスト層325が堆積される。金属層320が洗浄された後(且つ任意選択で、図13eに示されたような少なくとも1つの更なる層325が金属層320に提供された後)、基板アライメントシステム350を使用して、ターゲットアライメントマーク314(及び/又は他の任意のフィーチャ)に関連する情報を測定し得る。その後、追加のリソエッチングステップ等の更なるステップが実施され得る。本プロセスは、ターゲットアライメントマーク314(及び/又は他の任意のフィーチャ)から情報を取得する処理を、相対的に、より直接的に、より安価に、より迅速に又は同様の有利さで実現し得る。例えば、必要なリソエッチングステップの数をより少なくし得る。例えば、金属層320にタングステン(W)層が使用される場合、最大8個(以上)の層が必要になり得る。金属(例えば、W)の各堆積後、クリアアウトステップ又は他のステップの繰り返しが必要になる場合がある。現行のクロスポイントデバイスの場合、各金属層の堆積後にクリアアウトステップ又は他のステップの繰り返しが必要になる場合がある。本プロセスにより、非常に多くの又はあらゆるクリアアウトステップが必要とされることの必要性を減らし得、それによりクロスポイントデバイス又は金属層を含む他の任意のデバイスの製造に必要な時間を短縮し得る。 [000277] In the example of oxidation, oxygen-containing species are incorporated into metal layer 320 via a chemically heterogeneous process based on diffusion of the species into the substrate. The process of incorporation of oxygen-containing species into metal layer 320 is based on the formation of chemical potentials and/or electric fields (eg, provided by laser beam 332), whereby the flux is defined and charged. Diffusion of the species (oxygen in the case of oxidation) is facilitated. After the oxidation process, excess material (eg, excess oxide on surface 321 of metal layer 320) is removed (eg, by CMP), polished, and/or cleaned, as shown in FIG. 13d. . FIG. 13e shows the substrate 310 in its final state before being sent to the lithography tool, with improved visibility of the alignment marks 314. FIG. Optionally, a BARC and resist layer 325 is deposited over the metal layer 320 including over the modified at least one portion 334 . After the metal layer 320 has been cleaned (and optionally provided with at least one further layer 325 as shown in FIG. 13e), the substrate alignment system 350 is used to align the target. Information related to alignment marks 314 (and/or any other features) may be measured. Further steps may then be performed, such as additional litho-etching steps. The process may make obtaining information from target alignment marks 314 (and/or any other features) relatively more direct, cheaper, faster, or with similar advantages. obtain. For example, fewer litho-etching steps may be required. For example, if a tungsten (W) layer is used for metal layer 320, up to eight (or more) layers may be required. After each deposition of metal (eg, W), a clearout step or other repeat steps may be required. For current crosspoint devices, a clearout step or other repeated steps may be required after each metal layer deposition. The process may reduce the need for too many or any clearout steps to be required, thereby reducing the time required to fabricate a crosspoint device or any other device that includes metal layers. obtain.

[000278] 幾つかの例では、金属層320がタングステン(W)を含む場合があるが、本プロセスは、基板アライメントシステム350の動作波長に対して比較的高い不透明さ(例えば、吸収量/反射率)を示し得る任意の金属の修正に適用可能であり得ることが理解される。 [000278] In some examples, metal layer 320 may include tungsten (W), but the process has a relatively high opacity (e.g., absorption/reflection It is understood that it may be applicable to any metal modification that may exhibit a modulus.

[000279] レーザベースの又はレーザによって開始された酸化(例えば、レーザビーム332によって引き起こされた酸化)は、純粋な熱酸化と異なる。以下で詳細に言及するように、本プロセスには、酸化及び/又は他の反応プロセスに対するレーザビーム332の光分解的及び/又は熱分解的影響が関係する可能性があり、例えば、不純物又は欠陥を注入することにより、部分334内での試料(例えば、酸素含有種)の拡散のプロセスを加速させ得る。 [000279] Laser-based or laser-initiated oxidation (eg, oxidation caused by laser beam 332) differs from pure thermal oxidation. As will be referred to in detail below, the process may involve photolytic and/or pyrolytic effects of the laser beam 332 on oxidation and/or other reaction processes, e.g. can accelerate the process of diffusion of the sample (eg, oxygen-containing species) within portion 334 by injecting .

[000280] 以下では、あまり理論に縛られることなく、本プロセスの更なる詳細を説明する。本プロセスは、レーザビーム332と金属層320と反応媒体389との間の光-物質相互作用に影響を及ぼす任意のパラメータに応じて様々であり得ることが理解される。本プロセスは、以下のステップの何れも含み得る。最初に、金属層320の表面321における線形及び/又は非線形の機構によるレーザエネルギの吸収が行われ、これは、表面321の温度を上昇させる。酸素含有種(例えば、金属酸化物分子等)が表面321に粘着したり、表面321から分離したりすることは、亜原子層の核生成と一緒に発生する場合がある。金属層320内に形成される酸化物層を通る酸素含有種の運搬が行われる場合がある。これらの影響は、金属層320の表面321を含む(金属)酸化物境界面の成長につながる可能性がある。 [000280] Without being bound too much by theory, further details of the process are described below. It is understood that the process may vary depending on any parameter that affects the light-matter interaction between laser beam 332, metal layer 320 and reaction medium 389. FIG. The process may include any of the following steps. First, absorption of laser energy by linear and/or nonlinear mechanisms at surface 321 of metal layer 320 occurs, which causes the temperature of surface 321 to rise. The sticking or detachment of oxygen-containing species (eg, metal oxide molecules, etc.) from surface 321 may occur in conjunction with subatomic layer nucleation. Transport of oxygen-containing species may occur through oxide layers formed within metal layer 320 . These effects can lead to the growth of (metal) oxide interfaces, including surface 321 of metal layer 320 .

[000281] 金属層320を修正する熱分解レジームでは、最大1000~2000℃の温度は、(例えば、表面321又は表面321の近位等にある)金属酸化物から(例えば、金属層320の)バルク金属内への酸素含有種の拡散を活性化するために十分である。そのような温度は、(例えば、パルス幅tが100ns未満であるか、好ましくはパルス幅が10fs~10nsの範囲である)継続時間が短いレーザパルスが0.01~0.1J/cmの範囲のフルエンスで金属層320の表面321に入射した後に達成可能である。しかしながら、他のパルス継続時間及びフルエンスの組み合わせが使用され得、波長、パルス数、繰り返し率等の他のパラメータも本プロセスに影響を及ぼし得ることが理解される。 [000281] In the pyrolysis regime that modifies the metal layer 320, temperatures of up to 1000-2000°C may cause the metal oxide (eg, at or near the surface 321) to (eg, of the metal layer 320) sufficient to activate the diffusion of oxygen-containing species into the bulk metal. Such temperatures are such that short duration laser pulses (e.g., pulse widths t less than 100 ns, or preferably pulse widths in the range of 10 fs to 10 ns) are between 0.01 and 0.1 J/cm 2 . achievable after impinging on surface 321 of metal layer 320 at a range of fluences. However, it is understood that other pulse duration and fluence combinations can be used, and that other parameters such as wavelength, pulse number, repetition rate, etc. can also affect the process.

[000282] タングステン(W)の例の場合、酸素リッチ相(終了相)がタングステン三酸化物(WO3)であり、体積比W:WO3が1:3.3(等)である。このプロセスでは、余分な材料が生成されて、金属層320の表面321の上方の堆積により、不均一な表面321が形成される可能性がある。余分な材料は、本明細書に記載のとおり、例えばCMP等で除去され得る。 [000282] In the case of the tungsten (W) example, the oxygen-rich phase (terminating phase) is tungsten trioxide (WO3) with a volume ratio W:WO3 of 1:3.3 (and so on). This process creates excess material and deposition of the metal layer 320 above the surface 321 can create a non-uniform surface 321 . Excess material may be removed, such as by CMP, as described herein.

[000283] 一般に、他の金属材料に関して、他の原子(例えば、酸素含有種等)を金属層320に取り込むことは、体積を増加させるプロセス中に行われ得る(即ち異なる種に対して異なる速度で且つ金属層320内の金属のタイプに応じて行われ得る)。 [000283] In general, for other metallic materials, incorporation of other atoms (eg, oxygen-containing species, etc.) into the metallic layer 320 may occur during the process of increasing volume (i.e., different rates for different species). and depending on the type of metal in metal layer 320).

[000284] 本プロセスは、レーザビーム332のフルエンスがアブレーション閾値を下回るサブアプリケーションレジームで実施され得る。従って、アブレーションによって引き起こされる材料除去の速度は、金属層320内に金属酸化物が形成される速度より小さいことが予想される。 [000284] The process may be performed in sub-application regimes where the fluence of the laser beam 332 is below the ablation threshold. Therefore, the rate of material removal caused by ablation is expected to be less than the rate of metal oxide formation within metal layer 320 .

[000285] レーザビーム332からのレーザパルスが金属層320内に温度スパイクを送達し得、それにより金属層320の最大10~100nmの厚さの領域で温度が上昇する。温度が上昇する層の厚さは、金属層320の熱伝導率、金属層320の熱容量、レーザビーム332のパルス継続時間及び金属層320内でのレーザビーム332の吸収深さの組み合わせで決まり得る)。金属層320内の空間温度分布は、時間とともに変化する可能性があり、また上述の要因に加えて幾つかの要因に依存する場合があり、例えば幾何学的検討要素(例えば、金属層320の厚さ)、材料特性(例えば、金属層320及び/又は基板310の他の任意の層の材料特性)、レーザビーム334の特性等に依存する場合があることが理解される。金属層320による熱の放散により、基板310のピーク温度は、金属層320の温度よりかなり(例えば、少なくとも最大10倍)低いことができ、従って、基板310は、レーザビーム332と金属層320との間の相互作用の影響を比較的受けないままであり得る。レーザビーム332によって引き起こされる高温状態の継続時間は、金属層320の熱伝導率に依存する。更に、金属層320が薄膜の場合、基板310の頭部伝導率が高温状態の継続時間に影響を及ぼし得る。液体中の金属層320に照射する場合、高温状態の継続時間は、液体の熱伝導率と液体の蒸発の潜熱の影響を受ける可能性がある。一例では、高温状態が存続し得る時間は、10ns未満である。しかしながら、高温状態の継続時間は、様々な要因に依存し得ることが理解される。 [000285] A laser pulse from laser beam 332 may deliver a temperature spike into metal layer 320, causing a temperature increase in a region of metal layer 320 up to 10-100 nm thick. The thickness of the layer whose temperature rises can be determined by a combination of the thermal conductivity of the metal layer 320, the heat capacity of the metal layer 320, the pulse duration of the laser beam 332, and the absorption depth of the laser beam 332 within the metal layer 320. ). The spatial temperature distribution within metal layer 320 may change over time and may depend on several factors in addition to those mentioned above, such as geometrical considerations (e.g., the temperature of metal layer 320). thickness), material properties (eg, material properties of metal layer 320 and/or any other layer of substrate 310), properties of laser beam 334, and the like. Due to heat dissipation by the metal layer 320 , the peak temperature of the substrate 310 can be significantly (eg, at least up to 10 times) lower than the temperature of the metal layer 320 so that the substrate 310 is exposed to the laser beam 332 and the metal layer 320 . can remain relatively immune to interactions between The duration of the high temperature condition caused by laser beam 332 depends on the thermal conductivity of metal layer 320 . Additionally, if the metal layer 320 is a thin film, the top conductivity of the substrate 310 can affect the duration of the hot state. When irradiating a metal layer 320 in a liquid, the duration of the hot state can be affected by the thermal conductivity of the liquid and the latent heat of vaporization of the liquid. In one example, the time that the hot condition can persist is less than 10 ns. However, it is understood that the duration of the hot condition may depend on various factors.

[000286] レーザビーム332からの1つのパルス中の酸素の拡散は、金属層320の厚さの少なくとも一部分(例えば、10~100nm等の範囲の部分)を修正するのに十分でない場合がある。そこで、金属層320内での十分な酸化物形成を達成するために、複数パルス照射が必要になる場合がある。酸化物形成を適度な時間で達成するために(且つ高スループットを可能にするために)、レーザビーム332の繰り返し率は、少なくとも1kHz、好ましくは少なくとも1MHzであり得る。パルス間の温度緩和を可能にするために(例えば、金属層320上の、レーザビーム332によって照明されたスポットを超えて熱が伝搬することを防ぐために)、レーザビーム332のデューティサイクルが1%よりかなり小さいことができる。金属層320内で十分な酸化物形成を達成しながら、金属層320内での熱の伝搬を制御又は制限するために、任意の適切なレーザパラメータ(例えば、パルス継続時間、繰り返し率、パルスエネルギ、フルエンス、デューティサイクル等)を変化させ得ることが理解される。 [000286] Diffusion of oxygen in one pulse from laser beam 332 may not be sufficient to modify at least a portion of the thickness of metal layer 320 (eg, a portion in the range of 10-100 nm, etc.). Thus, multiple pulse irradiation may be required to achieve sufficient oxide formation within metal layer 320 . In order to achieve oxide formation in a reasonable time (and to allow high throughput), the repetition rate of laser beam 332 may be at least 1 kHz, preferably at least 1 MHz. To allow for temperature relaxation between pulses (e.g., to prevent heat from propagating beyond the spot illuminated by laser beam 332 on metal layer 320), the duty cycle of laser beam 332 is 1%. can be considerably smaller than Any suitable laser parameters (e.g., pulse duration, repetition rate, pulse energy, etc.) may be used to control or limit heat propagation within metal layer 320 while achieving sufficient oxide formation within metal layer 320. , fluence, duty cycle, etc.) may be varied.

[000287] 一例では、レーザビーム332は、金属層320内に温度スパイクを送達するためにUV放射線を含み得る。UV放射線は、金属酸化物含有領域内での化学結合を、非UV放射線を使用して達成可能であると考えられる温度より高い温度に有効に対応し得る程度まで切断し得る。UV放射線は、金属酸化物の光誘起分離を引き起こして酸素原子を解放し得、酸素原子は、(例えば、より高温の環境において)UV放射線を含まないレーザビーム332を使用した場合に可能であろう拡散よりもはるかに迅速に金属層320内に拡散することが可能であり得る。 [000287] In one example, the laser beam 332 may include UV radiation to deliver a temperature spike into the metal layer 320. FIG. UV radiation can break chemical bonds within metal oxide-containing regions to the extent that it can effectively accommodate higher temperatures than would be considered achievable using non-UV radiation. UV radiation can cause photo-induced disassociation of metal oxides to liberate oxygen atoms, which is possible when using a laser beam 332 that does not contain UV radiation (eg, in hotter environments). It may be possible to diffuse into metal layer 320 much more rapidly than solder diffusion.

[000288] 一例では、金属層320は、液体環境内で照射され得る。液体環境は、反応媒体389を提供し得、金属層320からの酸化物の蒸発を更に防ぎ得る。特定の金属では、酸化物の蒸発温度は、金属の蒸発温度よりかなり低い場合がある(例えば、Wの沸騰温度は、5900℃であるのに対し、WOの沸騰温度は、1700℃である)。しかしながら、液体中での金属酸化物の分離は、避けられるべきである場合があり、例えば、本プロセスで形成される金属酸化物(例えば、WO等)が液体(例えば、水等)に溶けないような、例えば液体組成及び/又はpHを提供することによって避けられるべきである場合がある。 [000288] In one example, the metal layer 320 can be irradiated in a liquid environment. A liquid environment may provide a reaction medium 389 and may further prevent evaporation of oxides from metal layer 320 . For certain metals, the vaporization temperature of oxides can be much lower than that of metals (e.g., the boiling temperature of W is 5900°C, whereas that of WO3 is 1700°C). ). However, there are cases where separation of metal oxides in liquids should be avoided, e.g. the metal oxides formed in the process (e.g., WO3, etc.) are soluble in liquids (e.g., water, etc.). There are cases that should be avoided, for example by providing a liquid composition and/or pH that does not.

[000289] 任意選択で、レーザビーム332によるレーザ照射前に保護層(例えば、層325と同様のBARC層、レジスト層等)が堆積され得、これは、金属層320が、照射部分334を取り巻く作用物(例えば、酸素を含む反応媒体389等)と反応することを防ぐためである。保護層は、層堆積システム又は補助層堆積システム(ここでは図示していないが、上述の層堆積システム190と同様であり得る)を使用して堆積され得る。レーザビーム332の強度(及び/又は他のレーザビームパラメータ)は、保護層を剥がして、金属層320がレーザビーム332によって修正されることを可能にするように設定され得る。必要な厚さの金属層320が修正されたら、保護層の(例えば、CMP等による)除去、洗浄除去等が行われ得る。 [000289] Optionally, a protective layer (e.g., a BARC layer similar to layer 325, a resist layer, etc.) may be deposited prior to laser irradiation by laser beam 332, such that metal layer 320 surrounds irradiated portion 334. This is to prevent it from reacting with the agent (for example, reaction medium 389 containing oxygen, etc.). The protective layer can be deposited using a layer deposition system or an auxiliary layer deposition system (not shown here but can be similar to layer deposition system 190 described above). The intensity of laser beam 332 (and/or other laser beam parameters) may be set to peel off the protective layer and allow metal layer 320 to be modified by laser beam 332 . Once the required thickness of metal layer 320 has been modified, protective layer removal (eg, by CMP, etc.), cleaning off, etc. may be performed.

[000290] 上述の各例は、炭素層120又は金属層320を修正するための様々な装置、システム及び方法を参照している。以下の説明では、基板410の金属層420を修正するための装置、システム及び/又は方法を参照する。 [000290] The above examples refer to various apparatus, systems and methods for modifying carbon layer 120 or metal layer 320. FIG. In the following description, reference is made to apparatus, systems and/or methods for modifying metal layer 420 of substrate 410 .

[000291] 図14は、基板410の金属層420を修正するシステム400を示す。図12、13a~eのシステム300と比較して、関連する場合のシステム400の類似又は同様の特徴は、100を加えられた参照符号を含む。システム400は、エネルギ送達システム440を含み、エネルギ送達システム440は、この例では、金属層420の少なくとも1つの部分434を陽極酸化する陽極酸化システム441を含む。 [000291] FIG. 14 shows a system 400 for modifying a metal layer 420 of a substrate 410. As shown in FIG. Similar or similar features of system 400 where relevant, as compared to system 300 of FIGS. 12, 13a-e include reference numbers increased by 100. FIG. System 400 includes an energy delivery system 440 , which in this example includes an anodization system 441 that anodizes at least one portion 434 of metal layer 420 .

[000292] 図7の例の装置160及び図12の例の装置360と同様に、図14の例のシステム400は、基板410における少なくとも1つのフィーチャ(例えば、ターゲットアライメントマーク414)に関連する情報を測定するための装置460を含む。図12の装置360に存在する装置460の類似又は同様の特徴は、100を加えられた参照符号に関連付けられている。この例では、基板410のターゲットアライメントマーク414は、少なくとも部分的に金属層420によって覆い隠され、それにより、ターゲットアライメントマーク414に関連する情報を測定するために、基板アライメントシステム450の光学系452(例えば、アライメントセンサ等)から提供される光信号436は、最初に、ターゲットアライメントマーク414に到達することを妨げられる。装置460は、エネルギ送達システム440を含み、エネルギ送達システム440は、金属層420の少なくとも1つの部分434を修正して、その透明度を高める。 [000292] Similar to the example apparatus 160 of FIG. 7 and the example apparatus 360 of FIG. 12, the example system 400 of FIG. includes a device 460 for measuring the Similar or similar features of device 460 present in device 360 of FIG. 12 are associated with reference numerals increased by 100. FIG. In this example, target alignment mark 414 of substrate 410 is at least partially obscured by metal layer 420 such that optical system 452 of substrate alignment system 450 is used to measure information related to target alignment mark 414 . An optical signal 436 provided from (eg, an alignment sensor, etc.) is first blocked from reaching the target alignment mark 414 . Apparatus 460 includes an energy delivery system 440 that modifies at least one portion 434 of metal layer 420 to increase its transparency.

[000293] エネルギ送達システム440は、エネルギ源442を含み、エネルギ源442は、金属層420と、金属層420の上方に(例えば、吊り下げ、支持等によって)設けられた電極443との間に電界432を提供する。この例では、エネルギ源442は、金属層420がアノードを形成し、電極443がカソードを形成するような極性を有する、金属層420及び電極443に電気的に接続された電圧源の形態である。電界432が印加されると、アノード(例えば、金属層420)において、少なくとも1つの部分434が修正されて、金属層420の他の部分より透明度が高く、及び/又は低反射率である金属酸化物層が生成され得る。少なくとも1つの部分434は、光信号436の少なくとも一部が金属層420の少なくとも1つの部分434を通して伝搬することができるように電界432によって修正され得る。少なくとも1つの部分434の修正後、ターゲットアライメントマーク414の可視性が高まり、光学系452が、ターゲットアライメントマーク414に関連する情報(位置、方位等)を測定するためにターゲットアライメントマーク414からの戻り光信号454の特性を測定することが可能になる。 [000293] The energy delivery system 440 includes an energy source 442 that is between the metal layer 420 and an electrode 443 that is provided above (eg, suspended, supported, etc.) the metal layer 420. An electric field 432 is provided. In this example, energy source 442 is in the form of a voltage source electrically connected to metal layer 420 and electrode 443, with polarity such that metal layer 420 forms the anode and electrode 443 forms the cathode. . When an electric field 432 is applied, at least one portion 434 is modified at the anode (e.g., metal layer 420) to be more transparent and/or less reflective than other portions of metal layer 420. layers can be generated. At least one portion 434 may be modified by electric field 432 such that at least a portion of optical signal 436 may propagate through at least one portion 434 of metal layer 420 . After modification of at least one portion 434, the visibility of target alignment mark 414 is enhanced and optical system 452 is used to measure the information associated with target alignment mark 414 (position, orientation, etc.) from target alignment mark 414. Characteristics of the optical signal 454 can be measured.

[000294] 基板410は、チャンバ488内に設けられ、チャンバ488は、液体又はガス(例えば、酸素、酸素を豊富に含むガス等)のような反応媒体489を収容するように構成可能であり、反応媒体489は、金属層420の反応(例えば、酸化等)の発生等を可能にするように構成されている。少なくとも1つの部分434は、反応媒体489内において、少なくとも1つの部分434の化学組成が(例えば、酸化されること、酸素ベースの化合物を形成すること等によって)変化するように陽極酸化される。この例では、エネルギ源442は、チャンバ488の外に配置されており、電気的接点445がエネルギ源442からチャンバ488内に延びて、チャンバ488内の金属層420及び電極443に電気的に接続されている。 [000294] The substrate 410 is provided within a chamber 488, which can be configured to contain a reaction medium 489, such as a liquid or gas (e.g., oxygen, oxygen-rich gas, etc.), Reactive medium 489 is configured to allow reactions (eg, oxidation, etc.) of metal layer 420 to occur, and the like. At least one portion 434 is anodized such that the chemical composition of at least one portion 434 is changed (eg, by being oxidized, forming an oxygen-based compound, etc.) within reaction medium 489 . In this example, energy source 442 is positioned outside chamber 488 and electrical contacts 445 extend from energy source 442 into chamber 488 to electrically connect metal layer 420 and electrode 443 within chamber 488 . It is

[000295] 図15a~eは、基板410の金属層420を修正するためにシステム400を使用するプロセスの一例の各ステップを示す。図15aは、陽極酸化システム441による修正前の基板410を示す。図15bに示された基板410では、金属層420の表面421に電気絶縁/保護材料の保護層425aが堆積されており、ターゲットアライメントマーク414の上方で保護層425aのクリアアウト427が行われる。クリアアウト427は、リソエッチングステップ(ウェットエッチングが用いられ得、これは、比較的安価な作業であり得る)によって実施され、それにより保護層425aの、ターゲットアライメントマーク414の上方にクリアアウト427が作成される。ターゲットアライメントマーク414が2つ以上設けられる場合、複数のクリアアウト427がリソエッチング処理によって形成され得る。任意選択で、図15bに示されるように、基板410の側面411及び底面413を陽極酸化システム441から保護することが可能であり得、この保護は、(例えば、図15bに示されたステップ前又はそのステップ中に)絶縁層塗布器426を使用して、側面411及び底面413に(絶縁材料425aを含んでも含まなくてもよい)絶縁層425bを事前堆積することによって行われる。簡潔さのために、以降の図では層425bを表示していない。 [000295] FIGS. 15a-e illustrate steps in an example process of using system 400 to modify metal layer 420 of substrate 410. FIG. 15a shows the substrate 410 before modification by the anodization system 441. FIG. In the substrate 410 shown in FIG. 15b, a protective layer 425a of an electrically insulating/protective material is deposited on the surface 421 of the metal layer 420, and a clearout 427 of the protective layer 425a is performed above the target alignment marks 414. FIG. Clearout 427 is performed by a litho-etching step (wet etching may be used, which may be a relatively inexpensive operation), thereby leaving clearout 427 in protective layer 425a above target alignment mark 414. created. If more than one target alignment mark 414 is provided, multiple clearouts 427 may be formed by the litho-etching process. Optionally, as shown in FIG. 15b, it may be possible to protect the sides 411 and bottom 413 of the substrate 410 from the anodization system 441, which protection may be provided (e.g., before the step shown in FIG. 15b). or during that step) by pre-depositing an insulating layer 425b (which may or may not include insulating material 425a) on the side surfaces 411 and bottom surface 413 using an insulating layer applicator 426). For the sake of brevity, layer 425b is not shown in subsequent figures.

[000296] 図15cは、図14に示された陽極酸化システム441を使用して、電気化学的又は光電気化学的陽極酸化を実施して、クリアアウト427内にある金属層420の一部を修正して酸化物にする様子を示す。一例では、エネルギ源442は、結果として得られる金属酸化物(例えば、タングステンの場合にはWO)の酸化率及び多孔度を調整するために、バイアス電圧の振幅、電解質の組成及び/又はpH、DC又はパルス状のバイアス、DC又はパルス状の照明を使用し得る。図15dは、図15cとよく類似しており、電極443と少なくとも1つの部分434との間において、液体塗布システム428aによって導電性液体428が提供される様子を示す。導電性液体428は、少なくとも1つの部分434の陽極酸化に使用される反応媒体489を含み得る。図15dの導電性液体428の描写は、概略的であることが理解される。例えば、チャンバ488は、導電性液体428で部分的又は完全に満たされ得る。何れの場合にも、図15bに示された絶縁層425bは、基板を導電性液体428から保護され得る。代替又は追加として、基板410の少なくとも一部(例えば、底面413等)が液体428に接触しないように基板410を保持するために基板支持物482が設けられ得る(これは、例えば、チャンバ488が導電性液体428で完全に満たされている場合には他の方法で行われ得る)。 [000296] FIG. 15c illustrates electrochemical or photoelectrochemical anodization using the anodization system 441 shown in FIG. It shows how it is modified to be an oxide. In one example, the energy source 442 uses bias voltage amplitude, electrolyte composition and/or pH to adjust the oxidation rate and porosity of the resulting metal oxide (eg, WO 3 in the case of tungsten). , DC or pulsed bias, DC or pulsed illumination may be used. FIG. 15d is very similar to FIG. 15c and shows conductive liquid 428 provided between electrode 443 and at least one portion 434 by liquid application system 428a. Conductive liquid 428 may include reaction medium 489 used to anodize at least one portion 434 . It is understood that the depiction of conductive liquid 428 in Figure 15d is schematic. For example, chamber 488 may be partially or completely filled with conductive liquid 428 . In either case, the insulating layer 425b shown in FIG. 15b may protect the substrate from the conductive liquid 428. FIG. Alternatively or additionally, a substrate support 482 may be provided to hold the substrate 410 such that at least a portion of the substrate 410 (eg, bottom surface 413, etc.) is not in contact with the liquid 428 (eg, the chamber 488 is It can be done otherwise if it is completely filled with conductive liquid 428).

[000297] 図13cの例と同様に且つ図15eに示されるように、陽極酸化生成酸化物(例えば、WO等の酸化金属)は、表面421から外に、修正/変換された金属層420の厚さの最大1.5~3倍(等)にわたって延びる(例えば(例えば、金属層420の他の部分より密度が2~3倍低い)酸化物によって引き起こされる膨張による)突起/隆起423を形成する。 [000297] Similar to the example of FIG. 13c and as shown in FIG. (eg, due to expansion caused by an oxide (eg, 2-3 times less dense than the rest of the metal layer 420)) extending over up to 1.5-3 times (or the like) the thickness of the metal layer 420). Form.

[000298] 任意選択又は代替として、金属層420内の酸化物形成を促進するために、絶縁層425a中のクリアアウト427又は基板410のより大きい領域/全領域は、放射線源429からの例えばVIS/UV/DUV放射線等で照明され得る。 [000298] Optionally or alternatively, to promote oxide formation in the metal layer 420, the clearout 427 in the insulating layer 425a or a larger area/total area of the substrate 410 is exposed to radiation from the radiation source 429, such as VIS. /UV/DUV radiation or the like.

[000299] 図15fに示されるように、絶縁層425a(及び存在する場合には絶縁層425b)は、基板410から除去されている。例えば、CMP及び/又はウェットエッチングを用いて、金属層420の表面421を洗浄又は平坦化し、突起/隆起423から過剰な酸化物を除去し、及び/又は層425a、425bから電気絶縁材料を除去し得る。 [000299] As shown in Figure 15f, the insulating layer 425a (and insulating layer 425b, if present) has been removed from the substrate 410; For example, CMP and/or wet etching may be used to clean or planarize surface 421 of metal layer 420, remove excess oxide from protrusions/bumps 423, and/or remove electrically insulating material from layers 425a, 425b. can.

[000300] 図15fは、基板410上のあらゆる層の少なくとも一部を除去する層除去システム490も示す(但し、層除去システム490は、本プロセスの他のステップのために設けられ得る)。例えば、層除去システム490は、リソエッチングシステム、及び/又は化学機械研磨(CMP)装置(図15fに示されるように、CMP装置は、層除去システム490のボックス中の多方向矢印で示されるように任意の適切な方向に動かされ得る)、及び/又はレーザ等のアブレーションシステムを含み得る。層除去システム490は、基板410上の層の一部分をクリアアウトして(例えば、図15bの)クリアアウト427を形成するように構成され得る。この例では、層除去システム490は、突起/隆起423が除去されて平坦面421が形成されるように、保護層425aを除去して金属層420を平坦化するように構成され得る。層除去システム490は、基板410上の保護層又は電気絶縁層425a、425b、425c、基板410上のBARC及び/又はレジスト、金属層420の少なくとも一部及び/又は金属層420中の修正された金属の少なくとも1つを少なくとも部分的に除去するように構成され得ることが理解される。層除去システム490は、炭素又は金属を含む層以外の材料を基板から除去するように構成され得る。 [000300] Figure 15f also shows a layer removal system 490 that removes at least a portion of any layer on substrate 410 (although layer removal system 490 may be provided for other steps of the process). For example, layer removal system 490 may be a litho-etching system, and/or a chemical-mechanical polishing (CMP) apparatus (as shown in FIG. in any suitable direction), and/or an ablation system such as a laser. Layer removal system 490 may be configured to clear out a portion of a layer on substrate 410 to form clearout 427 (eg, of FIG. 15b). In this example, layer removal system 490 may be configured to remove protective layer 425 a to planarize metal layer 420 such that protrusions/bumps 423 are removed to form planar surface 421 . Layer removal system 490 removes protective or electrically insulating layers 425 a , 425 b , 425 c on substrate 410 , BARC and/or resist on substrate 410 , at least a portion of metal layer 420 and/or modified metal layers in metal layer 420 . It is understood that it can be configured to at least partially remove at least one of the metals. Layer removal system 490 may be configured to remove materials other than layers containing carbon or metal from the substrate.

[000301] 図15gは、基板410の、リソグラフィツールに送られる前の最終的な状態を示し、アライメントマーク414の可視性が向上している。任意選択で、修正された少なくとも1つの部分434の上方を含む金属層420にBARC及びレジスト層425cが堆積される。一例では、ハードマスク(例えば、炭素ハードマスク等)が薄い金属層(例えば、3Dクロスポイントデバイス等)に置き換えられ得る。 [000301] Figure 15g shows the final state of the substrate 410 before it is sent to the lithography tool, where the visibility of the alignment marks 414 is enhanced. Optionally, a BARC and resist layer 425c is deposited over metal layer 420, including over at least one portion 434 that has been modified. In one example, a hard mask (eg, carbon hard mask, etc.) can be replaced with a thin metal layer (eg, 3D cross-point device, etc.).

[000302] 幾つかの例では、WOの生成に陽極酸化を用いられている。2.6μm厚のWOが光電気化学的陽極酸化によって達成されており、これについては、参照によってその内容が本明細書に組み込まれるKim et al.,“Photoelectrochemical anodization for the preparation of a thick tungsten oxide film”, Electrochemistry Communications, Vol. 17 pp. 10-13 (2012)で報告されている。厚さが最大約2μmのメソ多孔性WO膜が形成されており、これについては、参照によってその内容が本明細書に組み込まれるYang et al.,“Thick porous tungsten trioxide films by anodization of tungsten in fluoride containing phosphoric acid electrolyte”, Electrochemistry Communications, Vol. 11. pp. 1908-1911 (2009)で報告されている。孔径が5~600nmであるナノ多孔性WO3-xが製造されており、これについては、参照によってその内容が本明細書に組み込まれるBauersfeld et al.,“Nanoporous Tungsten Trioxide Grown by Electrochemical Anodization of Tungsten for Gas Sensing Applications”, Procedia Engineering, Vol. 47, pp. 204-207 (2012)で報告されている。 [000302] In some examples, anodization is used to produce WO3 . 2.6 μm thick WO 3 has been achieved by photoelectrochemical anodization, see Kim et al., “Photoelectrochemical anodization for the preparation of a thick tungsten”, the contents of which are incorporated herein by reference. oxide film”, Electrochemistry Communications, Vol. 17 pp. 10-13 (2012). Mesoporous WO 3 films having a thickness of up to about 2 μm have been formed, see Yang et al., “Thick porous tungsten trioxide films by anodization of tungsten in tungsten,” the contents of which are incorporated herein by reference. fluoride containing phosphoric acid electrolyte”, Electrochemistry Communications, Vol. 11. pp. 1908-1911 (2009). Nanoporous WO 3-x with pore sizes of 5-600 nm have been produced and are described in Bauersfeld et al., "Nanoporous Tungsten Trioxide Grown by Electrochemical Anodization of Tungsten", the contents of which are incorporated herein by reference. for Gas Sensing Applications”, Procedia Engineering, Vol. 47, pp. 204-207 (2012).

[000303] 陽極酸化で生成される酸化物は、アモルファス及びナノ多孔性であり得ることが理解される。一例では、光学系452によって提供される(例えば、光信号436からの)光の強い散乱を防ぐために、孔は、1μm未満であり得、好ましくは100nm未満であり得る。金属酸化物の多孔度は、反応媒体389の組成、エネルギ源442の電流密度、バイアスのパルシング等によって調整可能である。 [000303] It is understood that the oxide produced in anodization can be amorphous and nanoporous. In one example, the pores may be less than 1 μm, preferably less than 100 nm, to prevent strong scattering of light (eg, from optical signal 436) provided by optics 452. FIG. The porosity of the metal oxide can be adjusted by the composition of the reaction medium 389, the current density of the energy source 442, the pulsing of the bias, and the like.

[000304] 酸化物が形成される、少なくとも1つの部分434のベース435に(即ち金属層420と基板410の下層との間の境界面に)少なくとも1つの薄い(例えば、厚さhが100nm未満、好ましくは30nm未満である)(例えば、タングステンの)層を設けることは、基板410の、金属層420より下にあるあらゆる層が陽極酸化プロセスの影響を受けないことが可能であることを確実にすることに役立ち得る。光学系452は、幾つかの例では、その薄い層を通過する十分な信号454を取得することにより、光学系452が、ターゲットアライメントマーク414及び/又はベース435を貫通する他のフィーチャに関連する情報を直接取得することを可能にすることが引き続き可能であり得ることが理解される。 [000304] At the base 435 of at least one portion 434 (i.e., at the interface between the metal layer 420 and the underlying layer of the substrate 410) where an oxide is formed, at least one thin (e.g., thickness h less than 100 nm) , preferably less than 30 nm) ensures that any layers of the substrate 410 below the metal layer 420 can be unaffected by the anodization process. can help to make Optical system 452 acquires sufficient signal 454 through the thin layer so that optical system 452 is associated with target alignment mark 414 and/or other features that penetrate base 435, in some examples. It is understood that it may still be possible to allow information to be obtained directly.

[000305] 以下では、金属層420を修正することに関連する更なる選択肢及び代替について説明する。これらの選択肢及び代替は、上述のシステム300、400の一方又は両方に適用可能であり得ることが理解される。更に、これらの選択肢及び代替は、本明細書に記載の何れの例にも適用可能であり得、例えば炭素層120の修正等に関連する何れの装置、方法及びシステムにも適用可能であり得る。 [000305] Further options and alternatives related to modifying the metal layer 420 are described below. It is understood that these options and alternatives may be applicable to one or both of the systems 300, 400 described above. Moreover, these options and alternatives may be applicable to any of the examples described herein, and may be applicable to any apparatus, methods and systems related to, for example, modification of carbon layer 120. .

[000306] 一例では、本開示の少なくとも1つの装置、方法及び/又はシステムが、(例えば、金属層320、420を修正する場合に)非酸化物ベースの材料に拡張され得る。上述の例で記載されているのは、金属層320、420を(例えば、部分的)酸化物に局所修正又は変換することであり、これは、消光係数(及び任意選択で、屈折係数)を減らす手段としての局所的な熱活性化、及び/又は光活性化、及び/又は電気化学活性化(陽極酸化)によって行われる。これらの方法は、必要に応じて金属層320、420の消光/屈折を減らすために、H、B、C、N、O、Cl、Br、F、I、S、Si、P等の中から選択される1つ以上の元素で金属層320、420を局所的に飽和させるようにも適合され得る。この例では、エネルギ送達システム340は、追加又は代替として、金属層320を他の原子、イオン又は分子(例えば、上述の元素等)で飽和させるイオンビームを提供して、少なくとも1つの部分334における金属層320の消光係数を低減するように構成され得る。金属層320、420の透明度を高めるために、それらの消光係数及び/又は屈折率を減らすために他の元素が使用され得ることが理解される。 [000306] In one example, at least one apparatus, method and/or system of the present disclosure can be extended to non-oxide based materials (eg, when modifying metal layers 320, 420). Described in the examples above is the local modification or conversion of the metal layers 320, 420 to (e.g., partial) oxides, which reduces the extinction coefficient (and optionally the refractive index) to Local thermal activation and/or photoactivation and/or electrochemical activation (anodization) as a means of reduction. These methods select from among H, B, C, N, O, Cl, Br, F, I, S, Si, P, etc. to reduce the extinction/refraction of the metal layers 320, 420 as needed. It can also be adapted to locally saturate the metal layer 320, 420 with one or more selected elements. In this example, energy delivery system 340 additionally or alternatively provides an ion beam that saturates metal layer 320 with other atoms, ions or molecules (such as the elements described above) to It can be configured to reduce the extinction coefficient of metal layer 320 . It is understood that other elements can be used to reduce their extinction coefficients and/or refractive indices in order to increase the transparency of the metal layers 320,420.

[000307] 一例では、H、B、C、N(等)の元素の1つ以上が使用され得、これは、それらのアッシング/エッチング生成物が(即ち製造プロセス中にハードマスクの材料を後で除去しなければならない場合に)化学的及び/又は環境的に安全であると見なされ得るためである。 [000307] In one example, one or more of the elements H, B, C, N (etc.) may be used because their ashing/etching products (i.e., after the hardmask material is removed during the manufacturing process). chemically and/or environmentally safe).

[000308] 陽極酸化プロセスに何れの元素が使用可能であるかを選択する際、幾らかの材料を少なくとも1つの部分334、434に保全することが必要となる場合、幾つかの検討事項が存在する場合があり、それは、例えば、以下のとおりである。
- 金属層320、420とそれらの元素との組み合わせから結果として得られる組成物の沸点は、比較的高くなければならない(例えば、500℃超でなければならない)場合があり、そうでないと、金属及び/又は金属酸化物が少なくとも1つの部分334、434の熱によりエッチング/蒸発で除去され、所望の組成変化が金属層320、420に広がらない可能性がある;
- 結果として得られる組成物の可溶性は、低いことができ(例えば、液体形態の反応媒体389、489内の照射が実施される場合)、そうでないと、金属層320、420(これは、金属及び/又は金属酸化物を含み得る)が少なくとも1つの部分334、434において洗浄除去され、所望の組成変化が金属層320、420に広がらない可能性がある;及び/又は、
- 結果として得られる組成物のバンドギャップが1eV、0.5eV等より大きいことができ、それにより、幾つかの例の光学系352、452で使用される光信号336、435(例えば、これは、それぞれ0.5~1μm、1~2μm等の範囲の波長を使用し得る)が少なくとも1つの部分334、434において(例えば、実質的には)吸収も反射もされない。
[000308] When selecting which elements can be used for the anodization process, there are several considerations if it is necessary to preserve some material in at least one portion 334,434. may be, for example:
- The boiling point of the composition resulting from the combination of the metal layers 320, 420 and their elements may have to be relatively high (e.g., above 500°C), otherwise the metal and/or the metal oxide may be thermally etched/vaporized away in at least one portion 334, 434 and the desired composition change may not propagate through the metal layer 320, 420;
- The solubility of the resulting composition can be low (e.g. when irradiation in liquid form of the reaction medium 389, 489 is carried out), otherwise the metal layer 320, 420 (which is the metal and/or metal oxides) may be washed away in at least one portion 334, 434 and the desired compositional change may not propagate to the metal layers 320, 420; and/or
- The bandgap of the resulting composition can be greater than 1 eV, 0.5 eV, etc., thereby providing optical signals 336, 435 used in some example optical systems 352, 452 (e.g., which , which may use wavelengths in the ranges of 0.5-1 μm, 1-2 μm, etc., respectively) is neither (eg, substantially) absorbed nor reflected in at least one portion 334 , 434 .

[000309] 上述の各例における元素は、それらの原子半径において最小であり、従って、これらの元素は、金属層320、420内で拡散係数が最大であり得ると予想される(即ち原子半径がより大きい元素と比較した場合)。代替又は追加として、金属層320、420内で金属(例えば、タングステン等)自体の拡散係数が高いことが必要とされる場合があることが理解される。例えば、金属層320、420の修正又は変換を高スループットにするために、金属臭化物、金属炭化物、金属窒化物又は他の組成物内での金属の拡散係数を高くすることが行われ得る。 [000309] The elements in each of the above examples are the smallest in their atomic radii, so it is expected that these elements may have the largest diffusion coefficients within the metal layer 320, 420 (i.e., atomic radii when compared to larger elements). It is understood that, alternatively or additionally, the metal (eg, tungsten, etc.) itself may require a high diffusion coefficient within the metal layer 320,420. For example, increasing the diffusion coefficient of metals in metal bromides, metal carbides, metal nitrides or other compositions may be done to provide high throughput modification or conversion of metal layers 320, 420.

[000310] 一例では、少なくとも1つの部分334を修正するレーザビーム332の追加又は代替として、粒子ビーム(例えば、電子、光子、イオン等)の形態のエネルギ源342が使用され得る。一例では、イオンエネルギが約1~100keVであれば、金属層320内に十分深く浸透し得る(例えば、少なくとも3Dクロスポイントデバイスの金属層のプロセスには十分である)。一例では、イオンビームを生成するエネルギ源342は、1入射イオン当たりのスパッタリング収量が最大0.1~1であり得る(例えば、(例えば、金属層320の)ターゲット材料原子の質量が入射イオンより大きいことができる場合)。そのような例では、修正又は変換された少なくとも1つの部分334の成長は、スパッタリングより高速で進行し、その結果として、金属層320中の修正された材料の幾らかは、少なくとも1つの部分334に(スパッタリングによって完全に除去されずに)とどまる可能性がある。 [000310] In one example, an energy source 342 in the form of a particle beam (eg, electrons, photons, ions, etc.) may be used in addition to or in place of laser beam 332 to modify at least one portion 334. FIG. In one example, an ion energy of about 1-100 keV may penetrate deep enough into the metal layer 320 (eg, sufficient for processing metal layers of at least 3D crosspoint devices). In one example, the energy source 342 that produces the ion beam may have a sputtering yield of up to 0.1-1 per incident ion (eg, the mass of the target material atoms (eg, of the metal layer 320) is greater than the mass of the incident ions). if it can be bigger). In such an example, growth of at least one modified or converted portion 334 proceeds faster than sputtering, such that some of the modified material in metal layer 320 is removed from at least one portion 334 . (without being completely removed by sputtering).

[000311] システム300及び関連する装置及び方法に関して説明された少なくとも1つのフィーチャは、システム400及び関連する装置及び方法に関して説明された少なくとも1つのフィーチャに適用可能であり得るか、置き換えられ得るか、又は組み合わされ得る(逆も同様である)ことが理解される。システム300、400及び関連する装置及び方法に関して説明された少なくとも1つのフィーチャは、本開示の他の任意の例、例えば図1~11を参照して説明された他の任意のシステム、装置及び方法に関して説明された少なくとも1つのフィーチャに適用可能であり得るか、置き換えられ得るか、組み合わされ得る(逆も同様である)ことが更に理解される。 [000311] at least one feature described with respect to system 300 and associated apparatus and methods may be applicable to or may be substituted for at least one feature described with respect to system 400 and associated apparatus and methods; or combined (and vice versa). At least one feature described with respect to systems 300, 400 and associated apparatus and methods may be used in any other example of the present disclosure, such as any other system, apparatus and method described with reference to FIGS. It is further understood that at least one feature described with respect to may be applicable, substituted or combined (and vice versa).

[000312] 少なくとも1つの部分134を修正するために、少なくとも1つのレーザビーム132を提供する任意の適切なエネルギ送達システム140が使用され得ることが理解される。例えば、エネルギ源142は、レーザ、少なくとも1つ又は一連のレーザパルスを放射するパルス状レーザ、連続波(CW)レーザ等の少なくとも1つを含み得る。代替又は追加として、エネルギ源142は、少なくとも1つの部分134をパルス状に加熱するための粒子を含むビームを放射するように構成され得る。例えば、エネルギ送達システム140は、電子ビーム、イオンビーム、中性ビーム、4~20nmの範囲の極端紫外線(EUV)ビーム及び20~100nmの範囲の波長を有する放射線を含むビームの1つ以上を放射するように構成され得る。エネルギ送達システム140は、放射線及び少なくとも1つの部分134を修正する粒子の一方又は両方を放射するように構成され得ることが理解される。 [000312] It is understood that any suitable energy delivery system 140 that provides at least one laser beam 132 to modify at least one portion 134 may be used. For example, energy source 142 may include at least one of a laser, a pulsed laser that emits at least one or a series of laser pulses, a continuous wave (CW) laser, or the like. Alternatively or additionally, energy source 142 may be configured to emit a beam containing particles to heat at least one portion 134 in a pulsed manner. For example, energy delivery system 140 emits one or more of beams including electron beams, ion beams, neutral beams, extreme ultraviolet (EUV) beams in the range of 4-20 nm, and radiation having wavelengths in the range of 20-100 nm. can be configured to It is understood that energy delivery system 140 may be configured to emit one or both of radiation and particles that modify at least one portion 134 .

[000313] 本装置は、層の帯電を防ぐために、層に接続されて電圧/電流又は接地の接続を提供するように構成された電気的接続を含み得る。図14は、金属層420に電気的に接続された電圧源の形態のエネルギ源442を示す。図14に示された電気的接続及び/又はエネルギ源442は、炭素又は金属を含む層の帯電を防ぐために、本明細書に記載の何れの例においても使用されるように使用、修正又は適合され得ることが理解される。 [000313] The apparatus may include an electrical connection configured to connect to the layer and provide a voltage/current or ground connection to prevent charging of the layer. FIG. 14 shows an energy source 442 in the form of a voltage source electrically connected to metal layer 420 . The electrical connections and/or energy source 442 shown in FIG. 14 may be used, modified or adapted as used in any of the examples described herein to prevent charging of the carbon or metal containing layer. It is understood that

[000314] 図5及び6は、エネルギ送達システム140と基板アライメントシステム150とを別個のツールとして示す。図7に示された装置160は、エネルギ送達システム140及び基板アライメントシステム150の両方にある機能を含む。エネルギ送達システム140は、第1のステップにおいて、炭素層120を修正することに使用され得、基板アライメントシステム150は、第2のステップにおいて、ターゲットアライメントマーク114に関連する情報を測定することに使用され得ることが理解される。この第1のステップ及び第2のステップは、同じツール内で実施され得、別個のツール内で実施され得る。例えば、基板110は、ステップとステップとの間で異なるツール間を移動し得る。代替として、基板110は、ターゲットアライメントマーク114に関連する情報を測定する処理中に原位置にとどまり得る。装置160は、1つ以上のツールを含み得、それらのツールは、互いに別個であるか又は一体であり得る。 [000314] Figures 5 and 6 show the energy delivery system 140 and the substrate alignment system 150 as separate tools. Apparatus 160 shown in FIG. 7 includes features found in both energy delivery system 140 and substrate alignment system 150 . Energy delivery system 140 may be used to modify carbon layer 120 in a first step, and substrate alignment system 150 may be used to measure information related to target alignment mark 114 in a second step. It is understood that The first and second steps may be performed within the same tool or may be performed within separate tools. For example, substrate 110 may be moved between different tools between steps. Alternatively, substrate 110 may remain in situ during the process of measuring information related to target alignment marks 114 . Apparatus 160 may include one or more tools, which may be separate from each other or integral with each other.

[000315] 本明細書に記載の各例は、炭素層120の修正に言及しているが、他の層が修正され得ることが理解される。例えば、エネルギ堆積システム140は、適切な元素、化合物又は組成物を含む任意の層の一部分134を修正するように動作可能であり得る。層は、純粋炭素を含み得、ドープ炭素を含み得る。例えば、層は、タングステン、ホウ素、窒素等のようなドーパントを含み得る。任意の適切なドーパント又は不純物が炭素とともに堆積され得ることが理解される。本開示は、炭素を含む層に言及しているが、本開示では、炭素を含まない層の修正も想定され得ることが理解される。層は、ハードマスクを設けるように機能し得、この機能性を実現するために任意の適切な材料が使用され得る。本方法及び装置は、炭素若しくは炭素を含む層又はその一部分の局所的相変化を促進するように説明されているが、試薬及び任意選択の冷却が提供されていれば、金属若しくは金属を含む層又はその一部分の局所的変化にも適用可能であり得る。 [000315] Although the examples described herein refer to modification of the carbon layer 120, it is understood that other layers may be modified. For example, energy deposition system 140 may be operable to modify portion 134 of any layer comprising a suitable element, compound, or composition. Layers can include pure carbon and can include doped carbon. For example, layers may include dopants such as tungsten, boron, nitrogen, and the like. It is understood that any suitable dopant or impurity can be deposited with carbon. Although this disclosure refers to layers containing carbon, it is understood that modifications of layers that do not contain carbon may also be envisioned in this disclosure. The layer may function to provide a hardmask and any suitable material may be used to achieve this functionality. Although the present methods and apparatus have been described to promote localized phase changes in carbon or carbon-containing layers or portions thereof, metal or metal-containing layers can be used if reagents and optional cooling are provided. Or it may be applicable to a local change of a portion thereof.

[000316] 本明細書では、リソグラフィ装置をICの製造で使用することが具体的に参照されているが、本明細書に記載のリソグラフィ装置は、他の用途を有し得ることが理解されるべきである。可能な他の用途として、一体型光学系、磁区メモリのガイダンスパターン及び検出パターン、平面パネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッド等の製造がある。 [000316] Although specific reference is made herein to the use of the lithographic apparatus in the manufacture of ICs, it is understood that the lithographic apparatus described herein may have other applications. should. Other possible applications include the manufacture of integrated optics, magnetic domain memory guidance and detection patterns, flat panel displays, liquid crystal displays (LCDs), thin film magnetic heads, and the like.

[000317] 一例では、本開示は、メトロロジ装置の一部をなし得る。メトロロジ装置は、基板上に既に存在するパターンに対して相対的である、基板上のレジストに形成される投影パターンのアライメントを測定することに使用され得る。この相対的なアライメントの測定は、オーバーレイと呼ばれることがある。メトロロジ装置は、例えば、リソグラフィ装置に直接隣接配置され得、基板(及びレジスト)がプロセスされる前にオーバーレイを測定することに使用され得る。 [000317] In one example, the present disclosure may form part of a metrology device. Metrology equipment can be used to measure the alignment of a projected pattern formed in a resist on a substrate relative to patterns already present on the substrate. This relative alignment measurement is sometimes called overlay. The metrology apparatus may, for example, be placed directly adjacent to the lithographic apparatus and used to measure overlay before the substrate (and resist) is processed.

[000318] 本明細書では、本開示の例をリソグラフィ装置に関連して具体的に参照している場合があるが、本開示の例は、他の装置で使用され得る。本開示の例は、マスク検査装置、メトロロジ装置、リソグラフィスキャナ、リソグラフィトラッキングシステム、基板又はウェーハのトラックツール、堆積ツール又はウェーハ(又は他の基板)又はマスク(又は他のパターニングデバイス)等の物体を測定又はプロセスする任意の装置の一部をなし得る。これらの装置は、まとめてリソグラフィツールと呼ばれ得る。そのようなリソグラフィツールは、真空条件又は周囲(非真空)条件を用い得る。 [000318] Although specific reference may be made herein to examples of the disclosure in the context of a lithographic apparatus, examples of the disclosure may be used in other apparatus. Examples of this disclosure include mask inspection equipment, metrology equipment, lithography scanners, lithography tracking systems, substrate or wafer track tools, deposition tools or objects such as wafers (or other substrates) or masks (or other patterning devices). It can form part of any device that measures or processes. Collectively, these apparatuses may be referred to as lithography tools. Such lithography tools may use vacuum conditions or ambient (non-vacuum) conditions.

[000319] 本開示の例を光リソグラフィに関連して使用することをここまで具体的に参照してきたが、本開示は、文脈が許す限り、光リソグラフィに限定されず、他の用途で使用され得、例えばインプリントリソグラフィで使用され得ることが理解される。 [000319] Although specific reference has been made thus far to the use of examples of the disclosure in the context of optical lithography, the disclosure is not limited to optical lithography and may be used in other applications as the context permits. It is understood that it can be used in, for example, imprint lithography.

[000320] コンピュータプログラムは、上述の方法の何れかを実現するように構成され得る。コンピュータプログラムは、コンピュータ可読媒体上で実現され得る。コンピュータプログラムは、コンピュータプログラム製品であり得る。コンピュータプログラム製品は、コンピュータで使用可能な非一時的記憶媒体を含み得る。コンピュータプログラム製品は、媒体に実装され、本方法を実施するように構成されたコンピュータ可読プログラムコードを有し得る。コンピュータプログラム製品は、本方法の一部又は全てを少なくとも1つのプロセッサに実施させるように構成され得る。 [000320] A computer program may be configured to implement any of the methods described above. A computer program can be embodied on a computer readable medium. A computer program can be a computer program product. A computer program product may include a computer-usable non-transitory storage medium. A computer program product may have computer readable program code embodied on a medium and configured to perform the method. A computer program product may be configured to cause at least one processor to perform part or all of the method.

[000321] 本明細書では、コンピュータに実装される方法、装置(システム及び/又はデバイス)及び/又はコンピュータプログラム製品のブロック図又はフローチャート図を参照して様々な方法及び装置について説明している。ブロック図及び/又はフローチャート図のブロック及びブロック図及び/又はフローチャート図のブロックの組み合わせは、1つ以上のコンピュータ回路によって実行されるコンピュータプログラム命令によって実施され得ることが理解される。これらのコンピュータプログラム命令は、汎用コンピュータ回路、専用コンピュータ回路及び/又はマシンを生成する他のプログラム可能データ処理回路のプロセッサ回路に提供され得、それにより、それらの命令は、コンピュータ及び/又は他のプログラム可能データ処理装置で実行され、トランジスタ、メモリロケーションに記憶された値及びそのような回路内にある他のハードウェアコンポーネントを変換及び制御することにより、ブロック図及び/又はフローチャートの1つ以上のブロックで指定された機能/動作を実施し、それにより、ブロック図及び/又はフローチャートの1つ以上のブロックで指定された機能/動作を実施する手段(機能性)及び/又は構造を作成する。 [000321] Various methods and apparatus are described herein with reference to block or flowchart illustrations of computer-implemented methods, apparatus (systems and/or devices) and/or computer program products. It will be understood that the blocks in the block diagrams and/or flowchart illustrations, and combinations of blocks in the block diagrams and/or flowchart illustrations, can be implemented by computer program instructions being executed by one or more computer circuits. These computer program instructions may be provided to processor circuitry of general-purpose computer circuitry, special-purpose computer circuitry and/or other programmable data processing circuitry producing machines, whereby those instructions may be used by computers and/or other One or more of the block diagrams and/or flow charts may be implemented by executing on a programmable data processing device to transform and control the transistors, values stored in memory locations and other hardware components within such circuits. Performs the functions/acts specified in the blocks, thereby creating means (functionality) and/or structure for performing the functions/acts specified in one or more of the blocks in the block diagrams and/or flowchart illustrations.

[000322] 以下の番号を付けられた実施形態のリストにおいて、本発明の更なる実施形態を開示する。
1.半導体デバイス基板における少なくとも1つのフィーチャに関連する情報を測定するための装置であって、少なくとも1つのフィーチャは、炭素を含む層によって少なくとも部分的に覆い隠され、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号は、フィーチャに到達することを妨げられ、装置は、
エネルギ送達システムであって、層の少なくとも1つの部分を修正するための放射線及び/又は粒子を放射して、放射線及び/又は粒子が少なくとも1つの部分に入射したとき又は入射後に少なくとも1つの部分の透明度を高めるように構成されており、それにより、光信号の少なくとも一部は、少なくとも1つのフィーチャに関連する情報を測定するために層の少なくとも1つの部分を通して伝搬することができる、エネルギ送達システム
を含む、装置。
2.エネルギ送達システムは、層の少なくとも1つの部分において炭素の消光係数を減らすことにより、層の少なくとも1つの部分を修正するためのビームを放射するように構成されている、実施形態1に記載の装置。
3.エネルギ送達システムは、炭素内に相変化を引き起こし、及び/又は層の少なくとも1つの部分において(例えば、四価/sp3配位)炭素原子の濃度の上昇を引き起こすことにより、層の少なくとも1つの部分を修正するためのビームを放射するように構成されている、実施形態1又は2に記載の装置。
4.相変化は、炭素がダイヤモンド及びダイヤモンド状炭素の少なくとも一方を形成するように層の少なくとも1つの部分において炭素を修正する、実施形態3に記載の装置。
5.エネルギ送達システムは、放射線を放射する少なくとも1つのレーザ及び/又はエネルギ粒子のフォーカスされたビームの少なくとも1つの源の少なくとも一方を含む、何れかの先行する実施形態に記載の装置。
6.少なくとも1つのレーザは、一連のレーザパルスを放射するように構成されたパルス状レーザ源を含む、実施形態5に記載の装置。
7.少なくとも1つのレーザは、4nm~3μmの範囲の少なくとも1つの波長を有する放射線を放射するように構成されている、実施形態5又は6に記載の装置。
8.少なくとも1つのレーザは、
5fs~500psの範囲のパルス継続時間を有する可視及び/又は赤外レーザパルス、
1ps~500nsの範囲のパルス継続時間を有する紫外レーザパルス、及び
1fs~100nsの範囲のパルス継続時間を有する軟X線~DUVレーザパルス
の1つ以上を放射するように構成されている、実施形態5~7の何れかに記載の装置。
9.少なくとも1つのレーザは、第1のパルス継続時間の少なくとも1つのレーザパルスを含む最初のパルストレインを放射するように構成されており、且つ
より短い第2のパルス継続時間の少なくとも1つのレーザパルスを含む次のパルストレインを放射するように更に構成されている、実施形態5~8の何れかに記載の装置。
10.少なくとも1つのレーザは、層のアブレーション閾値を下回るピーク放射フルエンス又は強度を有する放射線を放射するように構成されている、実施形態5~9の何れかに記載の装置。
11.少なくとも1つのレーザは、直線偏光放射線、非直線偏光放射線、楕円偏光放射線及びらせん偏光放射線の少なくとも1つを放射するように構成されている、実施形態5~10の何れかに記載の装置。
12.少なくとも1つのレーザは、各レーザパルスが直線偏光、円形偏光、楕円偏光及びらせん偏光の1つを有するレーザパルスのシーケンス及び/又はトレイン内の幾つかのパルスがトレイン内の他のパルスと異なる偏光を有するレーザパルスのシーケンスを放射するように構成されている、実施形態11に記載の装置。
13.エネルギ送達システムは、層の少なくとも1つの部分のパルス状加熱のための放射線及び/又は粒子を放射するように構成されている、何れかの先行する実施形態に記載の装置。
14.エネルギ送達システムは、電子ビーム、イオンビーム、中性ビーム、5~20nmの範囲の極端紫外線(EUV)ビーム及び20~100nmの範囲の波長を有する放射線を含むビームの1つ以上を放射するように構成されている、実施形態13に記載の装置。
15.層の少なくとも1つの部分の1つ以上のパラメータを測定し、且つ1つ以上のパラメータに基づいてエネルギ送達システムを制御するように構成されたフィードバック制御システムを更に含む、何れかの先行する実施形態に記載の装置。
16.フィードバック制御システムは、層の少なくとも1つの部分から放射線を受けるように構成された放射線センサを含み、
フィードバック制御システムは、受けられた放射線に基づいて層の少なくとも1つの部分の1つ以上のパラメータを測定するように構成されている、実施形態15に記載の装置。
17.受けられた放射線は、
層の少なくとも1つの部分から反射又は散乱した、エネルギ送達システムからの放射線、
層の少なくとも1つの部分を通して伝搬した放射線であって、半導体デバイス基板を背面から照らすように構成された放射線源から放射された放射線、
エネルギ送達システムからの放射線及び/又は粒子により、層の部分において励起された放射線、及び
エネルギ送達システムによって修正された層の部分と実質的に重なり合っているスポットに誘導され、スポットから反射及び/又は散乱した、補助光源からの放射線
の1つ以上を含む、実施形態16に記載の装置。
18.エネルギ送達システムは、層の少なくとも1つの部分の透明度を層の全体厚さ未満の深さまで修正するための放射線及び/又は粒子を放射するように構成されている、何れかの先行する実施形態に記載の装置。
19.層を基板上に堆積させる層堆積システムを含む、何れかの先行する実施形態に記載の装置。
20.層堆積システムは、層の第1の副層を基板上に堆積させるように構成されており、エネルギ送達システムは、第1の副層の少なくとも1つの部分を修正するように動作可能である、実施形態19に記載の装置。
21.層堆積システムは、第1の副層の少なくとも1つの部分の修正後、層の第2の副層を第1の副層上に堆積させるように構成されている、実施形態20に記載の装置。
22.層堆積システムは、層中に少なくとも1つのシード副層を作成するために堆積条件を変化させるように動作可能であり、シード副層は、ナノダイヤモンド核生成及び/又はダイヤモンド状炭素(DLC)のためのシードとして動作するためにsp3配位炭素を含む、実施形態19~21の何れかに記載の装置。
23.層堆積システムは、層の上面において少なくとも1つのシード副層を堆積させるように構成されている、実施形態22に記載の装置。
24.修正中に生成されたデブリ粒子を層の表面から除去するためのデブリ除去システムを含む、何れかの先行する実施形態に記載の装置。
25.デブリ除去システムは、層の少なくとも1つの部分の修正中にアブレーションプルーム内に形成されたデブリ粒子に照射する放射線を放射するレーザ等の放射線源を含み、それにより、アブレーションプルーム内のデブリ粒子のサイズ及び/又はデブリ粒子の数が低減される、実施形態24に記載の装置。
26.デブリ除去システムは、層の少なくとも1つの部分の修正中、層の少なくとも1つの部分の上方にプラズマを発生させる放電器を含み、プラズマは、帯電したデブリ粒子を捕捉する、実施形態24又は25に記載の装置。
27.デブリ除去システムは、半導体デバイス基板を傾斜させるように構成されており、それにより、デブリ粒子が重力下で層から離される、実施形態24~26の何れかに記載の装置。
28.デブリ除去システムは、層の表面に除去可能層を塗布するように構成されており、デブリ粒子は、除去可能層上に収集され、デブリ除去システムは、層の少なくとも1つの部分の修正後に除去可能層を除去するように更に構成されている、実施形態24~27の何れかに記載の装置。
29.デブリ除去システムは、エネルギ送達システムが放射線及び/又は粒子を放射する前に層の少なくとも1つの部分の位置において除去可能層を除去するように構成されている、実施形態28に記載の装置。
30.デブリ除去システムは、層の少なくとも1つの部分の近傍に反応媒体を設けるように構成されており、それによりアブレーションプルーム内の材料の反応の生成物のみが実質的に揮発性又は可溶性である、実施形態24~29の何れかに記載の装置。
31.液体を保持するように構成されたチャンバを更に含み、半導体デバイス基板は、少なくともエネルギ送達システムによる放射線及び/又は粒子の放射中に液体に少なくとも部分的に浸漬される、何れかの先行する実施形態に記載の装置。
32.エネルギ送達システムが放射線及び/又は粒子を放射する前に層の表面に液膜を塗布するように構成された液膜塗布器を更に含む、何れかの先行する実施形態に記載の装置。
33.少なくとも1つのフィーチャに関連する情報を測定するために、光信号を送出して層の少なくとも1つの部分を透過させるように構成された光学系を含む、何れかの先行する実施形態に記載の装置。
34.層の少なくとも1つの部分を通して受けられた戻り光信号に基づいて、少なくとも1つのフィーチャに関連する情報を測定する基板アライメントシステムを含む、何れかの先行する実施形態に記載の装置。
35.基板アライメントシステムは、基板がアライメントされているかどうかを判定するために、少なくとも1つのフィーチャの存在、位置及び方位の少なくとも1つを測定するように構成されている、実施形態34に記載の装置。
36.基板アライメントシステムは、基板とリソグラフィ装置又はリソグラフィツールとの間の相対的な位置付けを制御して、それらの中での基板のアライメントを行うように構成されている、実施形態35に記載の装置。
37.フィーチャは、アライメントマーク又はオーバーレイマークを含む、何れかの先行する実施形態に記載の装置。
38.修正された層は、少なくとも20%の炭素を含み、好ましくは少なくとも50%の炭素を含む、何れかの先行する実施形態に記載の装置。
39.実施形態1~38の何れかに記載の装置を含むリソグラフィ装置。
40.実施形態1~38の何れかに記載の装置を含むリソグラフィツール。
41.半導体デバイス基板における少なくとも1つのフィーチャに関連する情報を測定するための方法であって、少なくとも1つのフィーチャは、炭素を含む層によって少なくとも部分的に覆い隠され、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号は、フィーチャに到達することを妨げられ、方法は、
エネルギ送達システムにより、層の少なくとも1つの部分を修正するための放射線及び/又は粒子を放射して、放射線及び/又は粒子が少なくとも1つの部分に入射したとき又は入射後に少なくとも1つの部分の透明度を高めることであって、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号の少なくとも一部は、少なくとも1つのフィーチャに関連する情報を測定するために層の少なくとも1つの部分を通して伝搬することができる、高めること
を含む、方法。
42.少なくとも1つのプロセッサで実行されたとき、実施形態41による方法を実施するように装置を制御することを少なくとも1つのプロセッサに行わせる命令を含むコンピュータプログラム。
43.実施形態42に記載のコンピュータプログラムを含むキャリアであって、電子信号、光信号、無線信号又は非一時的コンピュータ可読記憶媒体である、キャリア。
44.半導体デバイス基板における少なくとも1つのフィーチャに関連する情報を測定するための装置であって、少なくとも1つのフィーチャは、炭素又は金属を含む層によって少なくとも部分的に覆い隠され、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号は、フィーチャに到達することを妨げられ、装置は、
エネルギ送達システムであって、層の少なくとも1つの部分を修正して、少なくとも1つの部分の透明度を高めるように構成されており、それにより、光信号の少なくとも一部は、少なくとも1つのフィーチャに関連する情報を測定するために層の少なくとも1つの部分を通して伝搬することができる、エネルギ送達システム
を含む、装置。
45.エネルギ送達システムは、層の少なくとも1つの部分において炭素又は金属の消光係数及び/又は屈折係数を減らすことにより、層の少なくとも1つの部分を修正するためのビームを放射するように構成されている、実施形態44に記載の装置。
46.エネルギ送達システムは、炭素内に相変化を引き起こし、及び/又は層の少なくとも1つの部分において四価(sp3配位)炭素原子の濃度の上昇を引き起こすことにより、炭素を含む層の少なくとも1つの部分を修正するためのビームを放射するように構成されている、実施形態44又は45に記載の装置。
47.相変化は、炭素がダイヤモンド及びダイヤモンド状炭素の少なくとも一方を形成するように層の少なくとも1つの部分において炭素を修正する、実施形態46に記載の装置。
48.エネルギ送達システムは、放射線を放射する少なくとも1つのレーザ及び/又はエネルギ粒子のフォーカスされたビームの少なくとも1つの源の少なくとも一方を含む、何れかの先行する実施形態に記載の装置。
49.少なくとも1つのレーザは、一連のレーザパルスを放射するように構成されたパルス状レーザ源を含む、実施形態48に記載の装置。
50.少なくとも1つのレーザは、4nm~3μmの範囲の少なくとも1つの波長を有する放射線を放射するように構成されている、実施形態48又は49に記載の装置。
51.少なくとも1つのレーザは、
5fs~500psの範囲のパルス継続時間を有する可視及び/又は赤外レーザパルス、
1ps~500nsの範囲のパルス継続時間を有する紫外レーザパルス、及び
1fs~100nsの範囲のパルス継続時間を有する軟X線~DUVレーザパルス
の1つ以上を放射するように構成されている、実施形態48~50の何れかに記載の装置。
52.少なくとも1つのレーザは、第1のパルス継続時間の少なくとも1つのレーザパルスを含む最初のパルストレインを放射するように構成されており、且つ
より短い第2のパルス継続時間の少なくとも1つのレーザパルスを含む次のパルストレインを放射するように更に構成されている、実施形態48~51の何れかに記載の装置。
53.少なくとも1つのレーザは、層のアブレーション閾値を下回るピーク放射フルエンス又は強度を有する放射線を放射するように構成されている、実施形態48~52の何れかに記載の装置。
54.少なくとも1つのレーザは、直線偏光放射線、非直線偏光放射線、楕円偏光放射線及びらせん偏光放射線の少なくとも1つを放射するように構成されている、実施形態48~53の何れかに記載の装置。
55.少なくとも1つのレーザは、各レーザパルスが直線偏光、円形偏光、楕円偏光及びらせん偏光の1つを有するレーザパルスのシーケンス及び/又はトレイン内の幾つかのパルスがトレイン内の他のパルスと異なる偏光を有するレーザパルスのシーケンスを放射するように構成されている、実施形態54に記載の装置。
56.エネルギ送達システムは、層の少なくとも1つの部分のパルス状加熱のための放射線及び/又は粒子を放射するように構成されている、何れかの先行する実施形態に記載の装置。
57.エネルギ送達システムは、電子ビーム、イオンビーム、中性ビーム、5~20nmの範囲の極端紫外線(EUV)ビーム及び20~100nmの範囲の波長を有する放射線を含むビームの1つ以上を放射するように構成されている、実施形態56に記載の装置。
58.エネルギ送達システムは、金属を含む層の少なくとも1つの部分を修正するための放射線及び/又は粒子を放射するように構成されている、何れかの先行する実施形態に記載の装置。
59.エネルギ送達システムは、層の少なくとも1つの部分を化学的に変換して、少なくとも1つの部分の化学組成を変化させるために、反応媒体の存在下において、金属を含む層の少なくとも1つの部分を修正するように構成されている、実施形態58に記載の装置。
60.エネルギ送達システムは、100ns未満、任意選択で10ns未満、任意選択で10fs超の継続時間を有するパルスを放射するように構成されたレーザを含む、実施形態58又は59に記載の装置。
61.レーザは、複数のパルスを送達するように構成されており、任意選択で、パルスの繰り返し率は、少なくとも1kHzであり、任意選択で、パルスの繰り返し率は、少なくとも1MHzであり、及び/又は任意選択で、パルスのデューティサイクルは、1%未満である、実施形態60に記載の装置。
62.レーザは、0.01~1J/cmの範囲のフルエンスを有する放射線を放射するように構成されている、実施形態60又は61に記載の装置。
63.エネルギ送達システムは、金属を含む層の少なくとも1つの部分の透明度を高めるために、金属を含む層を他の原子、イオン又は分子で飽和させるためのイオンビームを提供するように構成されている、実施形態58又は62に記載の装置。
64.イオンビームエネルギは、1eVより大きく、任意選択で100eVより大きい、実施形態63に記載の装置。
65.イオンは、Cイオン及び/又はB、N、O、Ga、He、Ne、Ar、Kr、Xe等の少なくとも1つを含む、実施形態63又は64に記載の装置。
66.層を追加ドーパントがないままにするために、1つ以上の希ガスイオンを使用してガス放出を促進するように構成されている、実施形態65に記載の装置。
67.層の帯電を防ぐために、層に接続されて電圧/電流又は接地の接続を提供するように構成された電気的接続を含む、何れかの先行する実施形態に記載の装置。
68.エネルギ送達システムは、電界ポテンシャルを、金属を含む層と、電界を発生させる電極との間に提供するように構成された陽極酸化システムを含み、装置は、層の少なくとも1つの部分を化学的に変換して、少なくとも1つの部分の化学組成を変化させるための反応媒体を提供するように構成されている、何れかの先行する実施形態に記載の装置。
69.装置は、層の少なくとも1つの部分の周囲の層上に保護層及び/又はクリアアウト保護層を堆積させるように構成されている、実施形態68に記載の装置。
70.金属を含む層の少なくとも1つの部分と電極との間に導電性の液体を提供するように構成された液体塗布システムを含む、実施形態68又は69に記載の装置。
71.基板の少なくとも一部が導電性液体に接触しないように基板を支持するように構成された基板支持物を含む、実施形態70に記載の装置。
72.基板の一部と導電性液体との間の接触を防ぐために、基板の少なくとも一部に絶縁層を塗布するように構成された絶縁層塗布器を含む、実施形態70又は71に記載の装置。
73.陽極酸化システムは、金属層と電極との間に電界を発生させるために、金属層及び電極に接続されたエネルギ源を含み、任意選択で、エネルギ源は、連続的及び/又はパルス状の電圧及び/又は電流を提供するように構成されている、実施形態68~72の何れかに記載の装置。
74.エネルギ源は、金属層がアノードを形成し、電極がカソードを形成するような極性を有する、金属層及び電極に電気的に接続された電圧源を含む、実施形態73に記載の装置。
75.陽極酸化システムは、金属を含む層の少なくとも1つの部分を修正するための電気化学的及び/又は光電気化学的陽極酸化を実施するように構成されている、実施形態68~74の何れかに記載の装置。
76.少なくとも1つの部分は、金属を含む層の上に提供又は形成された保護層の開口部を介した先行リソエッチングプロセスにおいて画定される、実施形態68~75の何れかに記載の装置。
77.少なくとも1つの部分は、エネルギ送達システムのフォーカスされたビームによって画定される、実施形態68~76の何れかに記載の装置。
78.エネルギ送達システムは、層の少なくとも1つの部分を化学的、電気化学的及び/又は光電気化学的に変換して、少なくとも1つの部分の化学組成を変化させるために、反応媒体の存在下において、金属を含む層の少なくとも1つの部分を修正するように構成されている、実施形態44~77の何れかに記載の装置。
79.反応媒体を収容するチャンバを含む、実施形態78に記載の装置。
80.チャンバは、放射線及び/又は粒子が、金属を含む層と相互作用することを可能にするように構成されており、任意選択で、チャンバは、放射線及び/又は粒子がチャンバに入ることを可能にする透明セクションを含み、及び/又は任意選択で、エネルギ送達システムは、チャンバ内に設けられる、実施形態79に記載の装置。
81.反応媒体は、ガス及び/又は液体を含む、実施形態78、79又は80に記載の装置。
82.反応媒体は、酸素(O)、酸化物、水素(H)、ホウ素(B)、ホウ化物、炭素(C)、炭化物、窒素(N)、窒化物、塩素(Cl)、塩化物、臭素(Br)、臭化物、フッ素(F)、フッ化物、ヨウ素(I)、ヨウ化物、ケイ素(Si)、ケイ化物、リン(P)、リン化物の少なくとも1つの原子、イオン又は分子を含む、実施形態78~81の何れかに記載の装置。
83.金属は、タングステンを含む、実施形態78~82の何れかに記載の装置。
84.エネルギ送達システムは、反応媒体中の少なくとも1つの原子、イオン又は分子が金属と反応して、少なくとも1つの部分内に新たな化学化合物を形成するように、金属を含む層の化学組成を変化させるように構成されている、実施形態78~83の何れかに記載の装置。
85.エネルギ送達システムは、反応媒体中の化学結合を切断するためにUV、DUV及び/又はEUV放射線を送達するように更に構成されている、実施形態78~84の何れかに記載の装置。
86.修正中に生成されたデブリを層の表面から除去するためのデブリ除去システムを含み、任意選択で、デブリ除去システムは、放電、ガス及び液体の流れ並びにデブリを除去するための反応媒体の少なくとも1つを含む、何れかの先行する実施形態に記載の装置。
87.基板にガス及び/又は液体を接触させて、基板から熱を除去するための冷却システムを含み、任意選択で、冷却システムは、エネルギ送達システムによって修正された層の少なくとも一部にガス及び/又は液体を送達するように構成されている、何れかの先行する実施形態に記載の装置。
88.基板上に層を堆積させる補助層堆積システムを含み、任意選択で、補助層堆積システムは、炭素又は金属を含む基板及び/又は層、及び/又は基板の他の部分に保護層、電気的絶縁層、BARC及び/又はレジストを堆積させるように構成されている、何れかの先行する実施形態に記載の装置。
89.不透明層の少なくとも1つの部分は、任意選択のBARC及びレジスト層の堆積及びリソツール内での基板のパターニング前に修正される、何れかの先行する実施形態に記載の装置。
90.層堆積システムを含み、層堆積システムは、層内の少なくとも1つのシード副層の作成のために堆積条件を変化させるように動作可能であり、任意選択で、シード副層は、ナノダイヤモンド核生成及び/又はダイヤモンド状炭素(DLC)のためのシード副層として動作するsp3配位炭素を含み、任意選択で、シード副層内のsp3配位炭素原子の濃度は、他の副層より高い、何れかの先行する実施形態に記載の装置。
91.層堆積システムは、不透明な炭素層に対して四価炭素原子の濃度が相対的に上昇しており、厚さが層より薄い追加層がシード副層として設けられるように構成されている、実施形態90に記載の装置。
92.層堆積システムは、炭素層を含む層の構造修正を使用して、第1の堆積プロセスで堆積された層の下部部分の透明度が高められる一方、第2の堆積プロセスによる修正後に層の上部部分が提供されるように構成されている、実施形態90又は91に記載の装置。
93.基板から材料を除去するための層除去システムを含み、任意選択で、層除去システムは、基板から除去される材料が少なくとも1つのフィーチャの位置及びサイズに対応するように構成されたリソエッチングシステムを含み、及び/又は任意選択で、層除去システムは、化学機械研磨(CMP)装置を含み、及び/又は任意選択で、層除去システムは、アブレーションシステムを含む、何れかの先行する実施形態に記載の装置。
94.層除去システムは、基板上の保護層、基板上の電気的絶縁層、基板上のBARC及び/又はレジスト、炭素若しくは金属を含む層及び/又は炭素若しくは金属を含む層にある修正された炭素又は金属の少なくとも1つを少なくとも部分的に除去及び/又は平坦化するように構成されている、実施形態93に記載の装置。
95.層の少なくとも1つの部分の1つ以上のパラメータを測定し、且つ1つ以上のパラメータに基づいてエネルギ送達システムを制御するように構成されたフィードバック制御システムを更に含む、何れかの先行する実施形態に記載の装置。
96.フィードバック制御システムは、放射線センサを含み、任意選択で、放射線センサは、層の少なくとも1つの部分から放射線を受けるように構成されており、及び/又は任意選択で、フィードバック制御システムによって受けられた放射線は、エネルギ送達システムによって生成された反射及び/又は散乱された放射線を含む、実施形態95に記載の装置。
97.半導体デバイス基板における少なくとも1つのフィーチャに関連する情報を測定するための方法であって、少なくとも1つのフィーチャは、炭素又は金属を含む層によって少なくとも部分的に覆い隠され、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号は、フィーチャに到達することを妨げられ、方法は、
エネルギ送達システムにより、層の少なくとも1つの部分を修正して、少なくとも1つの部分の透明度を高めることであって、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号の少なくとも一部は、少なくとも1つのフィーチャに関連する情報を測定するために層の少なくとも1つの部分を通して伝搬することができる、高めること
を含む、方法。
98.半導体デバイス基板における少なくとも1つのフィーチャに関連する情報を測定するための装置であって、少なくとも1つのフィーチャは、炭素を含む層によって少なくとも部分的に覆い隠され、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号は、フィーチャに到達することを妨げられ、装置は、
エネルギ送達システムであって、層の少なくとも1つの部分を修正して、少なくとも1つの部分の透明度を高めるように構成されており、それにより、光信号の少なくとも一部は、少なくとも1つのフィーチャに関連する情報を測定するために層の少なくとも1つの部分を通して伝搬することができる、エネルギ送達システム
を含み、エネルギ送達システムは、炭素内に相変化を引き起こし、及び/又は層の少なくとも1つの部分において四価(sp3配位)炭素原子の濃度の上昇を引き起こすことにより、層の少なくとも1つの部分を修正するためのビームを放射するように構成されている、装置。
99.相変化は、炭素がダイヤモンド及びダイヤモンド状炭素の少なくとも一方を形成するように層の少なくとも1つの部分において炭素を修正する、実施形態98に記載の装置。
100.エネルギ送達システムは、放射線を放射する少なくとも1つのパルス状レーザ源及び/又はエネルギ粒子のフォーカスされたビームの少なくとも1つの源の少なくとも一方を含む、実施形態98に記載の装置。
101.少なくとも1つのレーザは、
5fs~500psの範囲のパルス継続時間を有する可視及び/又は赤外レーザパルス、
1ps~500nsの範囲のパルス継続時間を有する紫外レーザパルス、及び
1fs~100nsの範囲のパルス継続時間を有する軟X線~DUVレーザパルス
の1つ以上を放射するように構成されている、実施形態100に記載の装置。
102.少なくとも1つのレーザは、第1のパルス継続時間の少なくとも1つのレーザパルスを含む最初のパルストレインを放射するように構成されており、且つ
より短い第2のパルス継続時間の少なくとも1つのレーザパルスを含む次のパルストレインを放射するように更に構成されている、実施形態100に記載の装置。
103.少なくとも1つのパルス状レーザ源は、層のアブレーション閾値を下回るピーク放射フルエンス又は強度を有する放射線を放射するように構成されている、実施形態100に記載の装置。
104.エネルギ送達システムは、層の少なくとも1つの部分のパルス状加熱のための放射線及び/又は粒子を放射するように構成されている、実施形態98に記載の装置。
105.エネルギ送達システムは、電子ビーム、イオンビーム、中性ビーム、5~20nmの範囲の極端紫外線(EUV)ビーム及び20~100nmの範囲の波長を有する放射線を含むビームの1つ以上を放射するように構成されている、実施形態104に記載の装置。
106.半導体デバイス基板における少なくとも1つのフィーチャに関連する情報を測定するための装置であって、少なくとも1つのフィーチャは、金属を含む層によって少なくとも部分的に覆い隠され、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号は、フィーチャに到達することを妨げられ、装置は、
エネルギ送達システムであって、層の少なくとも1つの部分を修正して、少なくとも1つの部分の透明度を高めるように構成されており、それにより、光信号の少なくとも一部は、少なくとも1つのフィーチャに関連する情報を測定するために層の少なくとも1つの部分を通して伝搬することができる、エネルギ送達システム
を含む、装置。
107.エネルギ送達システムは、金属を含む層の少なくとも1つの部分を修正するための放射線及び/又は粒子を放射するように構成されている、実施形態106に記載の装置。
108.エネルギ送達システムは、層の少なくとも1つの部分を化学的に変換して、少なくとも1つの部分の化学組成を変化させるために、反応媒体の存在下において、金属を含む層の少なくとも1つの部分を修正するように構成されている、実施形態106に記載の装置。
109.エネルギ送達システムは、金属を含む層の少なくとも1つの部分の透明度を高めるために、金属を含む層を他の原子、イオン又は分子で飽和させるためのイオンビームを提供するように構成されている、実施形態107に記載の装置。
110.エネルギ送達システムは、電界ポテンシャルを、金属を含む層と、電界を発生させる電極との間に提供するように構成された陽極酸化システムを含み、装置は、層の少なくとも1つの部分を化学的に変換して、少なくとも1つの部分の化学組成を変化させるための反応媒体を提供するように構成されている、実施形態107に記載の装置。
111.半導体デバイス基板における少なくとも1つのフィーチャに関連する情報を測定することを可能にするための方法であって、少なくとも1つのフィーチャは、炭素を含む層によって少なくとも部分的に覆い隠され、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号は、フィーチャに到達することを妨げられ、方法は、
エネルギ送達システムにより、炭素内に相変化を引き起こし、及び/又は層の少なくとも1つの部分において四価(sp3配位)炭素原子の濃度の上昇を引き起こすビームを放射することにより、層の少なくとも1つの部分を修正して、少なくとも1つの部分の透明度を高めること
を含む、方法。
112.半導体デバイス基板における少なくとも1つのフィーチャに関連する情報を測定することを可能にするための方法であって、少なくとも1つのフィーチャは、金属を含む層によって少なくとも部分的に覆い隠され、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号は、フィーチャに到達することを妨げられ、方法は、
エネルギ送達システムにより、金属を含む層の少なくとも1つの部分を修正して、少なくとも1つの部分の透明度を高めることであって、それにより、少なくとも1つのフィーチャに関連する情報を測定するための光信号の少なくとも一部は、金属を含む層の少なくとも1つの部分を通して伝搬することができる、高めること
を含む、方法。
[000322] Further embodiments of the present invention are disclosed in the following numbered list of embodiments.
1. An apparatus for measuring information related to at least one feature in a semiconductor device substrate, the at least one feature being at least partially obscured by a layer comprising carbon, thereby measuring information related to the at least one feature. An optical signal for measuring information to be transmitted is blocked from reaching the feature, and the device
An energy delivery system for emitting radiation and/or particles for modifying at least one portion of a layer such that the radiation and/or particles impinge on the at least one portion when or after the impingement on the at least one portion. An energy delivery system configured to enhance transparency so that at least a portion of an optical signal can propagate through at least one portion of a layer to measure information related to the at least one feature. apparatus, including
2. 2. An apparatus as recited in embodiment 1, wherein the energy delivery system is configured to emit a beam to modify at least one portion of the layer by reducing the extinction coefficient of carbon in at least one portion of the layer. .
3. The energy delivery system causes a phase change in the carbon and/or causes an increase in the concentration of (e.g., tetravalent/sp3 coordinated) carbon atoms in at least one portion of the layer, thereby 3. Apparatus according to embodiment 1 or 2, configured to emit a beam for modifying the.
4. 4. The apparatus of embodiment 3, wherein the phase change modifies the carbon in at least one portion of the layer such that the carbon forms at least one of diamond and diamond-like carbon.
5. An apparatus according to any preceding embodiment, wherein the energy delivery system comprises at least one of at least one laser that emits radiation and/or at least one source of a focused beam of energetic particles.
6. 6. The apparatus of embodiment 5, wherein at least one laser comprises a pulsed laser source configured to emit a series of laser pulses.
7. 7. Apparatus according to embodiment 5 or 6, wherein the at least one laser is configured to emit radiation having at least one wavelength in the range of 4 nm to 3 μm.
8. at least one laser
visible and/or infrared laser pulses with pulse durations ranging from 5 fs to 500 ps;
Embodiments configured to emit one or more of: ultraviolet laser pulses with pulse durations in the range of 1 ps to 500 ns; and soft X-ray to DUV laser pulses with pulse durations in the range of 1 fs to 100 ns. 8. The device according to any one of 5-7.
9. The at least one laser is configured to emit an initial pulse train including at least one laser pulse of a first pulse duration, and at least one laser pulse of a second shorter pulse duration. 9. The apparatus of any of embodiments 5-8, further configured to emit a train of pulses comprising:
10. 10. The apparatus of any of embodiments 5-9, wherein at least one laser is configured to emit radiation having a peak radiation fluence or intensity below the ablation threshold of the layer.
11. 11. The apparatus of any of embodiments 5-10, wherein the at least one laser is configured to emit at least one of linearly polarized radiation, non-linearly polarized radiation, elliptically polarized radiation and helically polarized radiation.
12. The at least one laser has a sequence of laser pulses in which each laser pulse has one of linear, circular, elliptical and helical polarization and/or some pulses in the train are polarized differently than other pulses in the train. 12. The apparatus of embodiment 11, configured to emit a sequence of laser pulses having a .
13. An apparatus according to any preceding embodiment, wherein the energy delivery system is configured to emit radiation and/or particles for pulsed heating of at least one portion of the layer.
14. The energy delivery system emits one or more beams comprising electron beams, ion beams, neutral beams, extreme ultraviolet (EUV) beams in the range of 5-20 nm, and radiation having wavelengths in the range of 20-100 nm. 14. The apparatus of embodiment 13, configured.
15. Any preceding embodiment further comprising a feedback control system configured to measure one or more parameters of at least one portion of the layer and control the energy delivery system based on the one or more parameters. The apparatus described in .
16. the feedback control system includes a radiation sensor configured to receive radiation from at least one portion of the layer;
16. The apparatus of embodiment 15, wherein the feedback control system is configured to measure one or more parameters of at least one portion of the layer based on received radiation.
17. The radiation received
radiation from the energy delivery system reflected or scattered from at least one portion of the layer;
radiation propagated through at least one portion of the layer, the radiation emitted from a radiation source configured to back-illuminate the semiconductor device substrate;
radiation excited in the portion of the layer by radiation and/or particles from the energy delivery system; and directed to a spot substantially overlapping the portion of the layer modified by the energy delivery system and reflected and/or reflected from the spot. 17. The apparatus of embodiment 16, comprising one or more of the radiation from the auxiliary light source being scattered.
18. Any preceding embodiment, wherein the energy delivery system is configured to emit radiation and/or particles for modifying the transparency of at least one portion of the layer to a depth less than the entire thickness of the layer. Apparatus as described.
19. An apparatus according to any preceding embodiment, comprising a layer deposition system for depositing a layer onto a substrate.
20. The layer deposition system is configured to deposit a first sublayer of the layer onto the substrate, and the energy delivery system is operable to modify at least one portion of the first sublayer. 20. An apparatus according to embodiment 19.
21. 21. The apparatus of embodiment 20, wherein the layer deposition system is configured to deposit a second sublayer of the layer onto the first sublayer after modification of at least one portion of the first sublayer. .
22. The layer deposition system is operable to vary the deposition conditions to create at least one seed sublayer in the layer, the seed sublayer comprising nanodiamond nucleation and/or diamond-like carbon (DLC). 22. The device of any of embodiments 19-21, comprising sp3-coordinated carbons to act as seeds for.
23. 23. The apparatus of embodiment 22, wherein the layer deposition system is configured to deposit at least one seed sublayer on top of the layer.
24. An apparatus according to any preceding embodiment, including a debris removal system for removing debris particles generated during modification from the surface of the layer.
25. The debris removal system includes a radiation source, such as a laser, that emits radiation to irradiate debris particles formed within the ablation plume during modification of at least one portion of the layer, thereby reducing the size of the debris particles within the ablation plume. and/or the number of debris particles is reduced.
26. 26. According to embodiment 24 or 25, the debris removal system includes a discharger that generates a plasma above the at least one portion of the layer during modification of the at least one portion of the layer, the plasma trapping charged debris particles. Apparatus as described.
27. 27. The apparatus of any of embodiments 24-26, wherein the debris removal system is configured to tilt the semiconductor device substrate such that debris particles are separated from the layer under gravity.
28. The debris removal system is configured to apply a removable layer to a surface of the layer, debris particles are collected on the removable layer, and the debris removal system is removable after modification of at least one portion of the layer. 28. The apparatus of any of embodiments 24-27, further configured to remove a layer.
29. 29. An apparatus according to embodiment 28, wherein the debris removal system is configured to remove the removable layer at the location of at least one portion of the layer before the energy delivery system emits radiation and/or particles.
30. The debris removal system is configured to provide a reaction medium proximate at least one portion of the layer whereby only products of reaction of materials within the ablation plume are substantially volatile or soluble. A device according to any of aspects 24-29.
31. Any preceding embodiment further comprising a chamber configured to hold a liquid, wherein the semiconductor device substrate is at least partially immersed in the liquid during radiation and/or particle emission by the energy delivery system. The apparatus described in .
32. An apparatus according to any preceding embodiment, further comprising a liquid film applicator configured to apply a liquid film to the surface of the layer before the energy delivery system emits radiation and/or particles.
33. An apparatus as in any preceding embodiment, comprising an optical system configured to transmit an optical signal through at least one portion of the layer to measure information related to the at least one feature. .
34. An apparatus as in any preceding embodiment, including a substrate alignment system that measures information related to at least one feature based on a return optical signal received through at least one portion of the layer.
35. 35. The apparatus of embodiment 34, wherein the substrate alignment system is configured to measure at least one of presence, position and orientation of at least one feature to determine whether the substrate is aligned.
36. 36. The apparatus according to embodiment 35, wherein the substrate alignment system is configured to control the relative positioning between the substrate and the lithographic apparatus or tool to align the substrate therein.
37. An apparatus according to any preceding embodiment, wherein the features comprise alignment marks or overlay marks.
38. A device according to any preceding embodiment, wherein the modified layer comprises at least 20% carbon, preferably at least 50% carbon.
39. A lithographic apparatus comprising an apparatus according to any one of embodiments 1-38.
40. A lithography tool comprising the apparatus of any of embodiments 1-38.
41. 1. A method for measuring information relating to at least one feature in a semiconductor device substrate, wherein the at least one feature is at least partially obscured by a layer comprising carbon, thereby relating to the at least one feature. An optical signal for measuring information to be blocked from reaching a feature, the method comprising:
The energy delivery system emits radiation and/or particles for modifying at least one portion of the layer to render the at least one portion transparent when or after the radiation and/or particles impinge on the at least one portion. enhancing, whereby at least a portion of the optical signal for measuring information relating to the at least one feature passes through at least one portion of the layer for measuring information relating to the at least one feature; A method capable of propagating, including enhancing.
42. 42. A computer program product comprising instructions that, when run on at least one processor, cause the at least one processor to control an apparatus to perform the method according to embodiment 41.
43. 43. A carrier comprising the computer program of embodiment 42, wherein the carrier is an electronic signal, optical signal, radio signal or non-transitory computer readable storage medium.
44. Apparatus for measuring information associated with at least one feature in a semiconductor device substrate, the at least one feature being at least partially obscured by a layer comprising carbon or metal, whereby the at least one feature An optical signal for measuring information related to is blocked from reaching the feature, and the device
An energy delivery system configured to modify at least one portion of the layer to increase the transparency of at least one portion such that at least a portion of the optical signal is associated with the at least one feature. An apparatus comprising an energy delivery system capable of propagating through at least one portion of a layer to measure information on the layer.
45. The energy delivery system is configured to emit a beam for modifying at least one portion of the layer by reducing the extinction coefficient and/or refractive index of the carbon or metal in at least one portion of the layer. 45. The apparatus of embodiment 44.
46. The energy delivery system causes at least one portion of the layer comprising carbon by causing a phase change in the carbon and/or causing an increase in the concentration of tetravalent (sp3 coordinated) carbon atoms in at least one portion of the layer. 46. Apparatus according to embodiment 44 or 45, configured to emit a beam for modifying the .
47. 47. The apparatus of embodiment 46, wherein the phase change modifies the carbon in at least one portion of the layer such that the carbon forms at least one of diamond and diamond-like carbon.
48. An apparatus according to any preceding embodiment, wherein the energy delivery system comprises at least one of at least one laser that emits radiation and/or at least one source of a focused beam of energetic particles.
49. 49. The apparatus of embodiment 48, wherein the at least one laser comprises a pulsed laser source configured to emit a series of laser pulses.
50. 50. Apparatus according to embodiment 48 or 49, wherein the at least one laser is configured to emit radiation having at least one wavelength in the range of 4 nm to 3 μm.
51. at least one laser
visible and/or infrared laser pulses with pulse durations ranging from 5 fs to 500 ps;
Embodiments configured to emit one or more of: ultraviolet laser pulses with pulse durations in the range of 1 ps to 500 ns; and soft X-ray to DUV laser pulses with pulse durations in the range of 1 fs to 100 ns. 51. Apparatus according to any of 48-50.
52. The at least one laser is configured to emit an initial pulse train including at least one laser pulse of a first pulse duration, and at least one laser pulse of a second shorter pulse duration. 52. The apparatus according to any of embodiments 48-51, further configured to emit a train of pulses comprising:
53. 53. The apparatus of any of embodiments 48-52, wherein at least one laser is configured to emit radiation having a peak radiation fluence or intensity below the ablation threshold of the layer.
54. 54. The apparatus according to any of embodiments 48-53, wherein the at least one laser is configured to emit at least one of linearly polarized radiation, non-linearly polarized radiation, elliptically polarized radiation and helically polarized radiation.
55. The at least one laser has a sequence of laser pulses in which each laser pulse has one of linear, circular, elliptical and helical polarization and/or some pulses in the train are polarized differently than other pulses in the train. 55. An apparatus according to embodiment 54, configured to emit a sequence of laser pulses having
56. An apparatus according to any preceding embodiment, wherein the energy delivery system is configured to emit radiation and/or particles for pulsed heating of at least one portion of the layer.
57. The energy delivery system emits one or more beams comprising electron beams, ion beams, neutral beams, extreme ultraviolet (EUV) beams in the range of 5-20 nm, and radiation having wavelengths in the range of 20-100 nm. 57. The apparatus of embodiment 56, wherein:
58. An apparatus according to any preceding embodiment, wherein the energy delivery system is configured to emit radiation and/or particles for modifying at least one portion of the layer comprising metal.
59. The energy delivery system modifies at least one portion of the metal-containing layer in the presence of the reaction medium to chemically transform at least one portion of the layer to change the chemical composition of the at least one portion. 59. An apparatus according to embodiment 58, configured to.
60. 60. The apparatus according to embodiment 58 or 59, wherein the energy delivery system comprises a laser configured to emit pulses having a duration of less than 100 ns, optionally less than 10 ns, optionally greater than 10 fs.
61. The laser is configured to deliver a plurality of pulses, optionally a pulse repetition rate of at least 1 kHz, optionally a pulse repetition rate of at least 1 MHz, and/or optionally 61. The apparatus of embodiment 60, wherein optionally the pulse duty cycle is less than 1%.
62. 62. Apparatus according to embodiment 60 or 61, wherein the laser is configured to emit radiation having a fluence in the range of 0.01-1 J/cm 2 .
63. The energy delivery system is configured to provide an ion beam to saturate the metal-comprising layer with other atoms, ions or molecules to increase the transparency of at least one portion of the metal-comprising layer. 63. The apparatus according to embodiment 58 or 62.
64. 64. The apparatus of embodiment 63, wherein the ion beam energy is greater than 1 eV, optionally greater than 100 eV.
65. 65. An apparatus according to embodiment 63 or 64, wherein the ions comprise C ions and/or at least one of B, N, O, Ga, He, Ne, Ar, Kr, Xe, and the like.
66. 66. The apparatus of embodiment 65, configured to facilitate outgassing using one or more noble gas ions to leave the layer free of additional dopants.
67. An apparatus as in any preceding embodiment, comprising an electrical connection connected to the layer and configured to provide a voltage/current or ground connection to prevent charging of the layer.
68. The energy delivery system includes an anodization system configured to provide an electric field potential between a layer comprising a metal and an electrode that generates an electric field, the apparatus chemically oxidizing at least one portion of the layer An apparatus according to any preceding embodiment, configured to provide a reaction medium for transforming to change the chemical composition of at least one portion.
69. 69. The apparatus of embodiment 68, wherein the apparatus is configured to deposit a protective layer and/or a clearout protective layer on the layer around at least one portion of the layer.
70. 70. The apparatus according to embodiment 68 or 69, comprising a liquid application system configured to provide a conductive liquid between at least one portion of the layer comprising metal and the electrode.
71. 71. The apparatus of embodiment 70, comprising a substrate support configured to support the substrate such that at least a portion of the substrate does not come into contact with the conductive liquid.
72. 72. The apparatus of embodiment 70 or 71, comprising an insulating layer applicator configured to apply an insulating layer to at least a portion of the substrate to prevent contact between the portion of the substrate and the conductive liquid.
73. The anodization system includes an energy source connected to the metal layer and the electrode to generate an electric field between the metal layer and the electrode, optionally the energy source is a continuous and/or pulsed voltage. 73. The apparatus according to any of embodiments 68-72, configured to provide and/or an electric current.
74. 74. The apparatus of embodiment 73, wherein the energy source comprises a voltage source electrically connected to the metal layer and the electrode having a polarity such that the metal layer forms the anode and the electrode forms the cathode.
75. 75. Any of embodiments 68-74, wherein the anodization system is configured to perform electrochemical and/or photoelectrochemical anodization to modify at least one portion of the layer comprising the metal. Apparatus as described.
76. 76. The apparatus according to any of embodiments 68-75, wherein at least one portion is defined in a preceding lithographic etching process through openings in a protective layer provided or formed over the metal-comprising layer.
77. 77. The apparatus according to any of embodiments 68-76, wherein at least one portion is defined by a focused beam of the energy delivery system.
78. The energy delivery system, in the presence of a reaction medium, chemically, electrochemically and/or photoelectrochemically converts at least one portion of the layer to change the chemical composition of the at least one portion; 78. The apparatus of any of embodiments 44-77, configured to modify at least one portion of a layer comprising metal.
79. 79. The apparatus according to embodiment 78, comprising a chamber containing a reaction medium.
80. The chamber is configured to allow radiation and/or particles to interact with the metal-comprising layer, and optionally the chamber allows radiation and/or particles to enter the chamber. 80. The apparatus according to embodiment 79, comprising a transparent section that allows the energy delivery system to pass through and/or optionally, the energy delivery system is provided within the chamber.
81. 81. An apparatus according to embodiment 78, 79 or 80, wherein the reaction medium comprises gas and/or liquid.
82. The reaction medium includes oxygen (O), oxides, hydrogen (H), boron (B), borides, carbon (C), carbides, nitrogen (N), nitrides, chlorine (Cl), chlorides, bromine ( Br), Bromide, Fluorine (F), Fluoride, Iodine (I), Iodide, Silicon (Si), Silicide, Phosphorus (P), Phosphide, at least one atom, ion or molecule. 78-81.
83. 83. The apparatus according to any of embodiments 78-82, wherein the metal comprises tungsten.
84. The energy delivery system changes the chemical composition of the metal-containing layer such that at least one atom, ion or molecule in the reaction medium reacts with the metal to form a new chemical compound within the at least one portion. 84. The apparatus according to any of embodiments 78-83, configured to:
85. 85. The apparatus according to any of embodiments 78-84, wherein the energy delivery system is further configured to deliver UV, DUV and/or EUV radiation to break chemical bonds in the reaction medium.
86. a debris removal system for removing debris generated during modification from the surface of the layer; An apparatus as in any preceding embodiment, comprising:
87. a cooling system for contacting the substrate with gas and/or liquid to remove heat from the substrate; A device according to any preceding embodiment, configured to deliver a liquid.
88. An auxiliary layer deposition system for depositing a layer on the substrate, optionally the auxiliary layer deposition system applies a protective layer, electrically insulating An apparatus as in any preceding embodiment, configured to deposit a layer, BARC and/or resist.
89. 12. An apparatus according to any preceding embodiment, wherein at least one portion of the opaque layer is modified prior to deposition of the optional BARC and resist layers and patterning of the substrate in the litho-tool.
90. comprising a layer deposition system, the layer deposition system operable to vary deposition conditions for the creation of at least one seed sublayer within the layer; and/or sp3-coordinated carbon acting as a seed sublayer for diamond-like carbon (DLC), optionally wherein the concentration of sp3-coordinated carbon atoms in the seed sublayer is higher than in other sublayers; An apparatus as in any preceding embodiment.
91. The layer deposition system is configured such that an additional layer having a relatively elevated concentration of tetravalent carbon atoms with respect to the opaque carbon layer and having a thickness less than the layer is provided as a seed sublayer. 91. Apparatus according to aspect 90.
92. The layer deposition system uses structural modification of the layer, including the carbon layer, to enhance the transparency of the lower portion of the layer deposited in the first deposition process, while the upper portion of the layer after modification by the second deposition process. 92. Apparatus according to embodiment 90 or 91, wherein the apparatus is configured to provide a
93. a layer removal system for removing material from the substrate, optionally the layer removal system comprising a litho-etching system configured such that the material removed from the substrate corresponds to the location and size of the at least one feature. Any preceding embodiment comprising and/or optionally wherein the layer removal system comprises a chemical mechanical polishing (CMP) apparatus and/or optionally wherein the layer removal system comprises an ablation system equipment.
94. The layer removal system removes a protective layer on the substrate, an electrically insulating layer on the substrate, a BARC and/or a resist on the substrate, a carbon or metal containing layer and/or a modified carbon in the carbon or metal containing layer, or 94. The apparatus of embodiment 93, configured to at least partially remove and/or planarize at least one of the metals.
95. Any preceding embodiment further comprising a feedback control system configured to measure one or more parameters of at least one portion of the layer and control the energy delivery system based on the one or more parameters. The apparatus described in .
96. The feedback control system comprises a radiation sensor, optionally the radiation sensor configured to receive radiation from at least one portion of the layer and/or optionally the radiation received by the feedback control system 96. The apparatus of embodiment 95, wherein <RTI ID=0.0>includes</RTI> reflected and/or scattered radiation generated by the energy delivery system.
97. A method for measuring information associated with at least one feature in a semiconductor device substrate, wherein the at least one feature is at least partially obscured by a layer comprising carbon or metal, whereby the at least one feature an optical signal for measuring information related to is blocked from reaching the feature, the method comprising:
modifying at least one portion of the layer with an energy delivery system to increase the transparency of at least one portion, thereby providing at least one optical signal for measuring information related to at least one feature; The method comprising enhancing, the portion being capable of propagating through at least one portion of the layer to measure information related to the at least one feature.
98. An apparatus for measuring information related to at least one feature in a semiconductor device substrate, the at least one feature being at least partially obscured by a layer comprising carbon, thereby measuring information related to the at least one feature. An optical signal for measuring information to be transmitted is blocked from reaching the feature, and the device
An energy delivery system configured to modify at least one portion of the layer to increase the transparency of at least one portion such that at least a portion of the optical signal is associated with the at least one feature. an energy delivery system capable of propagating through at least one portion of the layer to measure information on the energy delivery system, the energy delivery system causing a phase change in the carbon and/or four phases in the at least one portion of the layer; An apparatus configured to emit a beam for modifying at least one portion of a layer by causing an increase in the concentration of valent (sp3-coordinated) carbon atoms.
99. 99. The apparatus of embodiment 98, wherein the phase change modifies the carbon in at least one portion of the layer such that the carbon forms at least one of diamond and diamond-like carbon.
100. 99. The apparatus according to embodiment 98, wherein the energy delivery system comprises at least one of at least one pulsed laser source emitting radiation and/or at least one source of a focused beam of energetic particles.
101. at least one laser
visible and/or infrared laser pulses with pulse durations ranging from 5 fs to 500 ps;
Embodiments configured to emit one or more of: ultraviolet laser pulses with pulse durations in the range of 1 ps to 500 ns; and soft X-ray to DUV laser pulses with pulse durations in the range of 1 fs to 100 ns. 100. The apparatus according to 100.
102. The at least one laser is configured to emit an initial pulse train including at least one laser pulse of a first pulse duration, and at least one laser pulse of a second shorter pulse duration. 101. The apparatus of embodiment 100, further configured to emit a train of pulses comprising:
103. 101. The apparatus of embodiment 100, wherein the at least one pulsed laser source is configured to emit radiation having a peak radiation fluence or intensity below the ablation threshold of the layer.
104. 99. The apparatus according to embodiment 98, wherein the energy delivery system is configured to emit radiation and/or particles for pulsed heating of at least one portion of the layer.
105. The energy delivery system emits one or more beams comprising electron beams, ion beams, neutral beams, extreme ultraviolet (EUV) beams in the range of 5-20 nm, and radiation having wavelengths in the range of 20-100 nm. 105. The apparatus of embodiment 104, configured.
106. An apparatus for measuring information related to at least one feature in a semiconductor device substrate, the at least one feature being at least partially obscured by a layer comprising metal, thereby measuring information related to the at least one feature. An optical signal for measuring information to be transmitted is blocked from reaching the feature, and the device
An energy delivery system configured to modify at least one portion of the layer to increase the transparency of at least one portion such that at least a portion of the optical signal is associated with the at least one feature. An apparatus comprising an energy delivery system capable of propagating through at least one portion of a layer to measure information on the layer.
107. 107. An apparatus according to embodiment 106, wherein the energy delivery system is configured to emit radiation and/or particles for modifying at least one portion of the layer comprising metal.
108. The energy delivery system modifies at least one portion of the metal-containing layer in the presence of the reaction medium to chemically transform at least one portion of the layer to change the chemical composition of the at least one portion. 107. An apparatus according to embodiment 106, configured to.
109. The energy delivery system is configured to provide an ion beam to saturate the metal-comprising layer with other atoms, ions or molecules to increase the transparency of at least one portion of the metal-comprising layer. 108. The apparatus according to embodiment 107.
110. The energy delivery system includes an anodization system configured to provide an electric field potential between a layer comprising a metal and an electrode that generates an electric field, the apparatus chemically oxidizing at least one portion of the layer 108. An apparatus according to embodiment 107, configured to provide a reaction medium for transforming to change the chemical composition of at least one portion.
111. A method for enabling measuring information related to at least one feature in a semiconductor device substrate, wherein the at least one feature is at least partially obscured by a layer comprising carbon, thereby at least An optical signal for measuring information associated with one feature is blocked from reaching the feature, the method comprising:
at least one of the layers by emitting a beam that causes a phase change in the carbon and/or an increased concentration of tetravalent (sp3-coordinated) carbon atoms in at least one portion of the layer by an energy delivery system; A method comprising modifying a portion to increase the transparency of at least one portion.
112. A method for enabling measuring information related to at least one feature in a semiconductor device substrate, wherein the at least one feature is at least partially obscured by a layer comprising a metal, whereby at least An optical signal for measuring information associated with one feature is blocked from reaching the feature, the method comprising:
modifying at least one portion of a metal-comprising layer with an energy delivery system to increase the transparency of at least one portion, whereby an optical signal for measuring information associated with at least one feature. at least a portion of is capable of propagating through at least one portion of the metal-containing layer.

[000323] コンピュータプログラム命令は、コンピュータ可読媒体に記憶され得、コンピュータ又は他のプログラム可能データ処理装置を特定の様式で機能させ得、それにより、コンピュータ可読媒体に記憶された命令により、ブロック図及び/又はフローチャートの1つ又は複数のブロックに明記された機能/動作を実施する命令を含む製造物が製造される。 [000323] Computer program instructions may be stored on a computer-readable medium to cause a computer or other programmable data processing apparatus to function in a specific fashion such that the instructions stored on the computer-readable medium cause the block diagrams and /or an article of manufacture is manufactured that includes instructions for performing the functions/acts specified in one or more blocks of the flowcharts.

[000324] 有形の非一時的コンピュータ可読媒体として、電子式、磁気式、光学式、電磁式又は半導体式のデータ記憶システム、装置又はデバイスがあり得る。コンピュータ可読媒体のより具体的な例として、ポータブルコンピュータディスケット、ランダムアクセスメモリ(RAM)回路、読み出し専用メモリ(ROM)回路、消去可能プログラム可能読み出し専用メモリ(EPROM又はフラッシュメモリ)回路、ポータブルコンパクトディスク読み出し専用メモリ(CD-ROM)及びポータブルデジタルビデオディスク読み出し専用メモリ(DVD/Blu-ray)等がある。 [000324] The tangible non-transitory computer-readable medium can be an electronic, magnetic, optical, electromagnetic or semiconductor data storage system, apparatus or device. More specific examples of computer-readable media include portable computer diskettes, random access memory (RAM) circuits, read-only memory (ROM) circuits, erasable programmable read-only memory (EPROM or flash memory) circuits, and portable compact disc readers. These include dedicated memory (CD-ROM) and portable digital video disk read-only memory (DVD/Blu-ray).

[000325] コンピュータプログラム命令は、コンピュータ及び/又は他のプログラム可能データ処理装置にロードされて、コンピュータ及び/又は他のプログラム可能装置上で一連の動作ステップを実施させて、コンピュータで実施されるプロセスを実現することも可能であり、それにより、コンピュータ又は他のプログラム可能装置上で実行される命令は、ブロック図及び/又はフローチャートの1つ又は複数のブロックに明記された機能/動作を実施するためのステップを提供する。 [000325] Computer program instructions are loaded into a computer and/or other programmable data processing apparatus to cause a sequence of operational steps to be performed on the computer and/or other programmable apparatus to perform a computer-implemented process. may be implemented such that instructions executing on a computer or other programmable device perform the functions/acts specified in one or more blocks of the block diagrams and/or flowchart illustrations. provide steps for

[000326] 従って、本発明は、ハードウェア及び/又はプロセッサ上で動作するソフトウェア(ファームウェア、常駐ソフトウェア、マイクロコード等を含む)の形態で実施され得、これらは、まとめて「回路」、「モジュール」又はこれらに類する用語で呼ばれ得る。 [000326] Accordingly, the present invention may be embodied in the form of hardware and/or software (including firmware, resident software, microcode, etc.) running on a processor, collectively referred to as "circuits," "modules." ” or similar terms.

[000327] 幾つかの代替実装形態では、各ブロックに記された機能/動作は、フローチャートに記載の順序から外れて実施され得ることにも留意されたい。例えば、連続して示されている2つのブロックは、実際にはほぼ同時に実行され得るか、又はそれらのブロックは、ときに逆の順序で実行され得、こうしたことは、必要な機能性/動作に依存し得る。更に、フローチャート及び/又はブロック図の所与のブロックの機能性が複数のブロックに分割され得、及び/又はフローチャート及び/又はブロック図の2つ以上のブロックの機能性が少なくとも部分的に統合され得る。最後に、図示されているブロック間に他のブロックが追加/挿入され得る。 [000327] It should also be noted that, in some alternative implementations, the functions/acts noted in the blocks may occur out of the order noted in the flowcharts. For example, two blocks shown in succession may in fact be executed substantially concurrently, or the blocks may sometimes be executed in reverse order, such that the required functionality/operations can depend on Additionally, the functionality of a given block of the flowcharts and/or block diagrams may be divided into multiple blocks, and/or the functionality of two or more blocks of the flowcharts and/or block diagrams may be at least partially combined. obtain. Finally, other blocks may be added/inserted between the illustrated blocks.

[000328] ここまで本開示の特定の例について説明してきたが、本開示は、説明された以外の方法で実施され得ることが理解される。上述の説明は、限定的ではなく、例示的であるものとする。従って、当業者であれば明らかなように、以下で示される請求項の範囲から逸脱しない限り、記載された本開示に対する変更形態がなされ得る。 [000328] While specific examples of the disclosure have been described above, it will be appreciated that the disclosure may be practiced otherwise than as described. The descriptions above are intended to be illustrative, not limiting. Accordingly, as will be apparent to one skilled in the art, modifications can be made to the disclosure as set forth without departing from the scope of the claims set forth below.

Claims (15)

半導体デバイス基板における少なくとも1つのフィーチャに関連する情報を測定するための装置であって、前記少なくとも1つのフィーチャは、アモルファス炭素を含む炭素層によって少なくとも部分的に覆い隠され、それにより、前記少なくとも1つのフィーチャに関連する前記情報を測定するための光信号は、前記フィーチャに到達することを妨げられ、前記装置は、
エネルギ送達システムであって、前記炭素層の少なくとも1つの部分を修正して、前記少なくとも1つの部分の透明度を高めるように構成されており、それにより、前記光信号の少なくとも一部は、前記少なくとも1つのフィーチャに関連する前記情報を測定するために前記炭素層の前記少なくとも1つの部分を通して伝搬することができる、エネルギ送達システム
を含み、前記エネルギ送達システムは、前記炭素層の前記少なくとも1つの部分において四価(sp3配位)炭素原子の濃度の上昇を引き起こすことにより、前記炭素層の前記少なくとも1つの部分を修正するためのビームを放射するように構成されている、装置。
An apparatus for measuring information related to at least one feature in a semiconductor device substrate, said at least one feature being at least partially obscured by a carbon layer comprising amorphous carbon, whereby said at least one an optical signal for measuring said information associated with one feature is blocked from reaching said feature, said apparatus comprising:
An energy delivery system configured to modify at least one portion of the carbon layer to make the at least one portion more transparent, whereby at least a portion of the optical signal is transmitted from the at least an energy delivery system capable of propagating through the at least one portion of the carbon layer to measure the information related to a feature, the energy delivery system being capable of propagating through the at least one portion of the carbon layer; An apparatus configured to emit a beam to modify said at least one portion of said carbon layer by causing an increase in the concentration of tetravalent (sp3 coordinated) carbon atoms in the portion.
前記エネルギ送達システムは、放射線を放射するための少なくとも1つのパルス状レーザ源及び/又はエネルギ粒子のフォーカスされたビームの少なくとも1つの源の少なくとも一方を含む、請求項1に記載の装置。 2. The apparatus of claim 1, wherein the energy delivery system comprises at least one of at least one pulsed laser source for emitting radiation and/or at least one source of a focused beam of energetic particles. 前記少なくとも1つのレーザは、
5fs~500psの範囲のパルス継続時間を有する可視及び/又は赤外レーザパルス、
1ps~500nsの範囲のパルス継続時間を有する紫外レーザパルス、及び
1fs~100nsの範囲のパルス継続時間を有する軟X線~DUVレーザパルス
の1つ以上を放射するように構成されている、請求項に記載の装置。
The at least one laser is
visible and/or infrared laser pulses with pulse durations ranging from 5 fs to 500 ps;
configured to emit one or more of: an ultraviolet laser pulse having a pulse duration ranging from 1 ps to 500 ns; and a soft X-ray to DUV laser pulse having a pulse duration ranging from 1 fs to 100 ns. 2. The device according to 2 .
前記少なくとも1つのレーザは、第1のパルス継続時間の少なくとも1つのレーザパルスを含む最初のパルストレインを放射するように構成されており、且つ
より短い第2のパルス継続時間の少なくとも1つのレーザパルスを含む次のパルストレインを放射するように更に構成されている、請求項に記載の装置。
The at least one laser is configured to emit an initial pulse train comprising at least one laser pulse of a first pulse duration, and at least one laser pulse of a second shorter pulse duration. 3. The apparatus of claim 2 , further configured to emit a subsequent pulse train comprising:
前記少なくとも1つのパルス状レーザ源は、前記炭素層のアブレーション閾値を下回るピーク放射フルエンス又は強度を有する放射線を放射するように構成されている、請求項に記載の装置。 3. The apparatus of claim 2 , wherein the at least one pulsed laser source is configured to emit radiation having a peak emission fluence or intensity below the ablation threshold of the carbon layer. 前記エネルギ送達システムは、前記炭素層の前記少なくとも1つの部分のパルス状加熱のための放射線及び/又は粒子を放射するように構成されている、請求項1に記載の装置。 2. The apparatus of claim 1, wherein the energy delivery system is configured to emit radiation and/or particles for pulsed heating of the at least one portion of the carbon layer. 前記エネルギ送達システムは、電子ビーム、イオンビーム、中性ビーム、5~20nmの範囲の極端紫外線(EUV)ビーム及び20~100nmの範囲の波長を有する放射線を含むビームの1つ以上を放射するように構成されている、請求項に記載の装置。 The energy delivery system emits one or more of a beam comprising an electron beam, an ion beam, a neutral beam, an extreme ultraviolet (EUV) beam in the range of 5-20 nm, and radiation having a wavelength in the range of 20-100 nm. 7. The device of claim 6 , wherein the device is configured to: 前記炭素層の前記少なくとも1つの部分の1つ以上のパラメータを測定し、且つ前記1つ以上のパラメータに基づいて前記エネルギ送達システムを制御するように構成されたフィードバック制御システムを更に含む、請求項1に記載の装置。 13. Further comprising a feedback control system configured to measure one or more parameters of said at least one portion of said carbon layer and control said energy delivery system based on said one or more parameters. 1. The device according to claim 1. 前記エネルギ送達システムは、前記炭素層の前記少なくとも1つの部分の前記透明度を前記炭素層の全体厚さ未満の深さまで修正するための放射線及び/又は粒子を放射するように構成されている、請求項1に記載の装置。 The energy delivery system is configured to emit radiation and/or particles to modify the transparency of the at least one portion of the carbon layer to a depth less than the entire thickness of the carbon layer. Item 1. The device according to item 1. 前記炭素層を前記基板上に堆積させるための層堆積システムを更に含む、請求項1に記載の装置。 2. The apparatus of claim 1, further comprising a layer deposition system for depositing said carbon layer on said substrate. 前記修正中に生成されたデブリ粒子を前記炭素層の表面から除去するためのデブリ除去システムを更に含む、請求項1に記載の装置。 2. The apparatus of claim 1, further comprising a debris removal system for removing debris particles generated during said modification from the surface of said carbon layer. 冷却液を保持するように構成されたチャンバを更に含み、前記半導体デバイス基板は、少なくとも前記エネルギ送達システムによる前記ビームの放射中に前記冷却液に少なくとも部分的に浸漬される、請求項1に記載の装置。 2. The method of claim 1, further comprising a chamber configured to hold a cooling liquid, wherein the semiconductor device substrate is at least partially immersed in the cooling liquid during irradiation of the beam by the energy delivery system. device. 半導体デバイス基板における少なくとも1つのフィーチャに関連する情報を測定することを可能にするための方法であって、前記少なくとも1つのフィーチャは、アモルファス炭素を含む炭素層によって少なくとも部分的に覆い隠され、それにより、前記少なくとも1つのフィーチャに関連する情報を測定するための光信号は、前記フィーチャに到達することを妨げられ、前記方法は、
エネルギ送達システムにより、前記炭素層の少なくとも1つの部分において四価(sp3配位)炭素原子の濃度の上昇を引き起こすビームを放射することにより、前記炭素層の前記少なくとも1つの部分を修正して、それにより、前記光信号の少なくとも一部は、前記少なくとも1つのフィーチャに関連する前記情報を測定するために前記炭素層の前記少なくとも1つの部分を通して伝搬することができる程度に、前記少なくとも1つの部分の透明度を高めること
を含む、方法。
A method for enabling measuring information related to at least one feature in a semiconductor device substrate, said at least one feature being at least partially obscured by a carbon layer comprising amorphous carbon, said optical signals for measuring information related to the at least one feature are prevented from reaching the feature, the method comprising:
modifying said at least one portion of said carbon layer by radiating a beam that causes an increase in the concentration of tetravalent (sp3 coordinated) carbon atoms in at least one portion of said carbon layer with an energy delivery system; , whereby at least a portion of the optical signal can propagate through the at least one portion of the carbon layer to determine the information associated with the at least one feature. A method comprising increasing the transparency of a portion.
少なくとも1つのプロセッサで実行されたとき、請求項13に記載の方法を実施するように装置を制御することを前記少なくとも1つのプロセッサに行わせる命令を含むコンピュータプログラム。 14. A computer program product comprising instructions which, when run on at least one processor, cause said at least one processor to control an apparatus to perform the method of claim 13 . 請求項14に記載のコンピュータプログラムを含むコンピュータ読み取り可能な記憶媒体。 15. A computer readable storage medium containing a computer program according to claim 14 .
JP2019571321A 2017-07-17 2018-06-18 Apparatus and method for measuring information Active JP7265493B2 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP17181716.6 2017-07-17
EP17181716.6A EP3432071A1 (en) 2017-07-17 2017-07-17 Information determining apparatus and method
EP17205177 2017-12-04
EP17205177.3 2017-12-04
PCT/EP2018/066106 WO2019015899A1 (en) 2017-07-17 2018-06-18 Information determining apparatus and method

Publications (2)

Publication Number Publication Date
JP2020527742A JP2020527742A (en) 2020-09-10
JP7265493B2 true JP7265493B2 (en) 2023-04-26

Family

ID=62597530

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019571321A Active JP7265493B2 (en) 2017-07-17 2018-06-18 Apparatus and method for measuring information

Country Status (6)

Country Link
US (1) US10948837B2 (en)
JP (1) JP7265493B2 (en)
KR (1) KR20200015775A (en)
CN (1) CN111095108A (en)
TW (1) TW201908715A (en)
WO (1) WO2019015899A1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7110044B2 (en) * 2018-09-14 2022-08-01 キオクシア株式会社 Repair pattern generation apparatus, pattern defect repair system, repair pattern generation method, and semiconductor device manufacturing method
TWI730799B (en) * 2020-06-04 2021-06-11 力晶積成電子製造股份有限公司 Method of manufacturing image sensor and alignment mark structure
US11621175B1 (en) * 2021-12-03 2023-04-04 PulseForge Inc. Method and apparatus for removing particles from the surface of a semiconductor wafer

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001203146A (en) 2000-01-20 2001-07-27 Toshiba Corp Method for forming pattern
US6303459B1 (en) 1999-11-15 2001-10-16 Taiwan Semiconductor Manufacturing Company Integration process for Al pad
JP2006114897A (en) 2004-10-11 2006-04-27 Samsung Electronics Co Ltd Alignment measuring method of semiconductor manufacturing step
JP2007273971A (en) 2006-03-08 2007-10-18 Asml Netherlands Bv Improved alignment method for lithography and its system
JP2016170350A (en) 2015-03-13 2016-09-23 株式会社東芝 Alignment mark formation method and semiconductor device

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56126921A (en) * 1980-03-12 1981-10-05 Fujitsu Ltd Automatic positioning method
JPS639933A (en) * 1986-07-01 1988-01-16 Oki Electric Ind Co Ltd Formation of pattern
JPH01140625A (en) * 1987-11-26 1989-06-01 Tokyo Electron Ltd Positional alignment method for semiconductor wafer
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
DE59105735D1 (en) 1990-05-02 1995-07-20 Fraunhofer Ges Forschung EXPOSURE DEVICE.
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
JPH08315416A (en) * 1995-05-11 1996-11-29 Asahi Chem Ind Co Ltd Optical information medium
JPH1167660A (en) * 1997-06-09 1999-03-09 Nikon Corp Exposure apparatus, its manufacture, and manufacture of device
AU2747999A (en) 1998-03-26 1999-10-18 Nikon Corporation Projection exposure method and system
JP4088742B2 (en) * 2000-12-26 2008-05-21 信越化学工業株式会社 Photomask blank, photomask, and method for manufacturing photomask blank
DE60319462T2 (en) 2002-06-11 2009-03-12 Asml Netherlands B.V. Lithographic apparatus and method for making an article
JP3977324B2 (en) 2002-11-12 2007-09-19 エーエスエムエル ネザーランズ ビー.ブイ. Lithographic apparatus
US20050186753A1 (en) * 2004-02-25 2005-08-25 Ping-Hsu Chen FIB exposure of alignment marks in MIM technology
KR100610010B1 (en) 2004-07-20 2006-08-08 삼성전자주식회사 Apparatus for
CN101268417A (en) * 2005-09-21 2008-09-17 大日本印刷株式会社 Photomask having gray scale and method of manufacturing the same
US7239371B2 (en) 2005-10-18 2007-07-03 International Business Machines Corporation Density-aware dynamic leveling in scanning exposure systems
NL1036351A1 (en) 2007-12-31 2009-07-01 Asml Netherlands Bv Alignment system and alignment marks for use therewith cross-reference to related applications.
WO2010145951A2 (en) 2009-06-17 2010-12-23 Asml Netherlands B.V. Method of overlay measurement, lithographic apparatus, inspection apparatus, processing apparatus and lithographic processing cell
KR101841378B1 (en) 2009-12-15 2018-03-22 램 리써치 코포레이션 Adjusting substrate temperature to improve cd uniformity
US9177219B2 (en) 2010-07-09 2015-11-03 Asml Netherlands B.V. Method of calibrating a lithographic apparatus, device manufacturing method and associated data processing apparatus and computer program product
US20130260289A1 (en) * 2012-04-02 2013-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a lithography mask
WO2015101458A1 (en) 2013-12-30 2015-07-09 Asml Netherlands B.V. Method and apparatus for design of a metrology target
NL2013677A (en) 2014-01-24 2015-07-29 Asml Netherlands Bv Method of determining a measurement subset of metrology points on a substrate, associated apparatus and computer program.
US10249495B2 (en) * 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
EP3309617A1 (en) 2016-10-14 2018-04-18 ASML Netherlands B.V. Selecting a set of locations associated with a measurement or feature on a substrate

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6303459B1 (en) 1999-11-15 2001-10-16 Taiwan Semiconductor Manufacturing Company Integration process for Al pad
JP2001203146A (en) 2000-01-20 2001-07-27 Toshiba Corp Method for forming pattern
JP2006114897A (en) 2004-10-11 2006-04-27 Samsung Electronics Co Ltd Alignment measuring method of semiconductor manufacturing step
JP2007273971A (en) 2006-03-08 2007-10-18 Asml Netherlands Bv Improved alignment method for lithography and its system
JP2016170350A (en) 2015-03-13 2016-09-23 株式会社東芝 Alignment mark formation method and semiconductor device

Also Published As

Publication number Publication date
KR20200015775A (en) 2020-02-12
CN111095108A (en) 2020-05-01
JP2020527742A (en) 2020-09-10
US10948837B2 (en) 2021-03-16
US20200159134A1 (en) 2020-05-21
WO2019015899A1 (en) 2019-01-24
TW201908715A (en) 2019-03-01

Similar Documents

Publication Publication Date Title
KR101771873B1 (en) Method and apparatus for processing a substrate with a focussed particle beam
JP3267977B2 (en) Selective removal of substances by irradiation
JP7265493B2 (en) Apparatus and method for measuring information
JP4742105B2 (en) Method for repairing alternating phase shift mask
JP5449642B2 (en) Chemical vapor deposition chamber with dual frequency bias and photomask manufacturing method using the same
JP5152751B2 (en) Patterning of solid condensate gas layers by energy-induced local removal and solid state chemical reactions occurring in such layers
JP2004537758A (en) Electron beam processing
CZ378297A3 (en) Removal of material by polarized radiation and due to the action of radiation on the bottom side
JP2007041599A (en) Cluster tool and method for process integration in manufacturing photomask
JPH0817166B2 (en) Ultra thin film SOI substrate manufacturing method and manufacturing apparatus
US20210079519A1 (en) Method and apparatus for forming a patterned layer of material
US11061316B2 (en) Mask blank, transfer mask, method of manufacturing a transfer mask, and method of manufacturing a semiconductor device
EP3432071A1 (en) Information determining apparatus and method
US5338393A (en) Method for the local removal of UV-transparent insulation layers on a semiconductor substrate
US20230185180A1 (en) Method and apparatus for etching a lithography mask
Peck Laser-enhanced plasma etching of semiconductor materials
US8698106B2 (en) Apparatus for detecting film delamination and a method thereof
Lee et al. Effect of UV/O3 treatment on mask surface to reducing sulfuric residue ions
WO2022201138A1 (en) Method for generating a local surface modification of an optical element used in a lithographic system
TW202316197A (en) Endpointing by induced desorption of gases and analysis of the re-covering
Hilleringmann Silicon Semiconductor Technology
Ernst UV and electron-assited oxidation of Al and Ru
Mitchell Femtosecond laser direct writing of silicon nanowires
Weilnboeck Plasma interactions with masking materials for nanofabrication
Stillahn The role of plasma-surface interactions in process chemistry: Mechanistic studies of a-carbon nitride deposition and sulfur fluoride/oxygen etching of silicon

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200218

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200218

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201211

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210308

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20210623

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211020

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20211020

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20211104

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20211105

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20211210

C211 Notice of termination of reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C211

Effective date: 20211214

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20220613

C13 Notice of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: C13

Effective date: 20221025

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230120

C23 Notice of termination of proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C23

Effective date: 20230228

C03 Trial/appeal decision taken

Free format text: JAPANESE INTERMEDIATE CODE: C03

Effective date: 20230324

C30A Notification sent

Free format text: JAPANESE INTERMEDIATE CODE: C3012

Effective date: 20230324

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230414

R150 Certificate of patent or registration of utility model

Ref document number: 7265493

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150