JP2007514293A - System and method for forming a multi-component dielectric film - Google Patents

System and method for forming a multi-component dielectric film Download PDF

Info

Publication number
JP2007514293A
JP2007514293A JP2006532444A JP2006532444A JP2007514293A JP 2007514293 A JP2007514293 A JP 2007514293A JP 2006532444 A JP2006532444 A JP 2006532444A JP 2006532444 A JP2006532444 A JP 2006532444A JP 2007514293 A JP2007514293 A JP 2007514293A
Authority
JP
Japan
Prior art keywords
processing chamber
film
deposition
precursors
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006532444A
Other languages
Japanese (ja)
Inventor
佳秀 千崎
スン ジー パク
Original Assignee
アヴィザ テクノロジー インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アヴィザ テクノロジー インコーポレイテッド filed Critical アヴィザ テクノロジー インコーポレイテッド
Publication of JP2007514293A publication Critical patent/JP2007514293A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/029Graded interfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • H01L21/3142Deposition using atomic layer deposition techniques [ALD] of nano-laminates, e.g. alternating layers of Al203-Hf02
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Nanotechnology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

【課題】半導体用途における誘電体膜を形成するためのシステム及び方法、特に、混合気化前駆体を用いて基板上に多成分誘電体膜を作製するためのシステム及び方法を提供する。
【解決手段】本発明は、気化した前駆体の混合物が、原子層堆積(ALD)処理における単一パルス段階中にチャンバ内に一緒に存在して多成分膜を形成するような気化前駆体の混合をもたらすためのシステム及び方法を提供する。気化前駆体は、少なくとも1つの異なる化学成分から成り、そのような異なる成分が単層を形成して多成分膜を生成することになる。本発明の更に別の態様では、組成勾配を有する誘電体膜が提供される。
【選択図】図1
A system and method for forming a dielectric film in a semiconductor application, and more particularly, a system and method for forming a multi-component dielectric film on a substrate using a mixed vaporized precursor.
The present invention provides a vaporized precursor mixture in which a mixture of vaporized precursors is present together in a chamber during a single pulse stage in an atomic layer deposition (ALD) process to form a multi-component film. Systems and methods for providing mixing are provided. The vaporized precursor consists of at least one different chemical component, and such different components will form a monolayer to form a multi-component film. In yet another aspect of the invention, a dielectric film having a composition gradient is provided.
[Selection] Figure 1

Description

関連出願への相互参照
本出願は、2003年4月21日出願の「多成分膜の製造方法」という名称の米国特許仮出願出願番号第60/464,458号、2003年11月17日出願の「制御された厚み及び組成勾配を有するHfSiONのALD」という名称の米国特許仮出願出願番号第60/520,964号、及び2004年4月9日出願の「金属又は混合金属薄膜の原子層堆積」という名称の米国特許仮出願出願番号第60/560,952号の各々の恩典及びそれに対する優先権を請求するものであり、これらの特許の各々の全開示内容は、本明細書において引用により組み込まれている。
総括的には、本発明は、半導体用途における誘電体膜を形成するためのシステム及び方法に関する。より詳細には、本発明は、混合気化前駆体を用いて基板上に多成分誘電体膜を作製するためのシステム及び方法に関する。
CROSS-REFERENCE TO RELATED APPLICATIONS This application 2003 April 21 application entitled "method for producing a multi-component membrane" U.S. Provisional Patent Application Serial No. 60 / 464,458, November 17, 2003 filed US Provisional Application No. 60 / 520,964 entitled “ALD of HfSiON with Controlled Thickness and Composition Gradient” and “Atomic Layers of Metal or Mixed Metal Thin Films” filed Apr. 9, 2004 No. 60 / 560,952 of the provisional application entitled “Deposition” and claims the priority thereto, the entire disclosure of each of these patents being incorporated herein by reference. It is incorporated by.
In general, the present invention relates to systems and methods for forming dielectric films in semiconductor applications. More particularly, the present invention relates to a system and method for making a multi-component dielectric film on a substrate using a mixed vapor precursor.

マイクロ電子機器の小型化に向かう高度化及び気運の高まりに伴い、集積回路当りのトランジスタの数は指数関数的に増大しており、より早く、より小さく、より強力な電子機器システムに対する要求を満足させるために更に増大することが確実である。しかし、従来的なシリコンベースのトランジスタ幾何学構成が、二酸化シリコンゲート誘電体が僅かに数個の原子層の厚みになる臨界点に達するので、漏れ電流及び電力損の増加をもたらす電子のトンネル現象がより優勢になることになる。従って、二酸化シリコンよりも大きい誘電率又は誘電係数を有し、電流トンネル現象又は漏れを防止することができる代替の誘電体が非常に望ましいであろう。二酸化シリコンに取って代わる最も期待される誘電体候補には、酸化ハフニウム、酸化ジルコニウム、及び酸化タンタルがある。   With the increasing sophistication and increasing momentum toward miniaturization of microelectronics, the number of transistors per integrated circuit is increasing exponentially, meeting the demand for faster, smaller and more powerful electronic systems. It is certain that it will increase even further. However, conventional silicon-based transistor geometries reach a critical point where the silicon dioxide gate dielectric is only a few atomic layers thick, leading to electron tunneling resulting in increased leakage current and power dissipation Will become more dominant. Therefore, an alternative dielectric that has a higher dielectric constant or dielectric constant than silicon dioxide and that can prevent current tunneling or leakage would be highly desirable. The most promising dielectric candidates to replace silicon dioxide include hafnium oxide, zirconium oxide, and tantalum oxide.

不運にも、これらの材料は、シリコン上で化学的及び熱的に不安定であり、二酸化シリコンとは違って金属誘電体とシリコン基板の間の境界面での欠陥及び電荷トラップを形成する。電荷トラップ及び欠陥は、ゲートに印加される電圧を吸収し、トランジスタの性能及び信頼性を乱すものである。境界面の電荷トラップ及び欠陥の生成を制限するために、二酸化シリコンの境界層が誘電体とシリコン基板の間に堆積される。二酸化シリコン境界面は、誘電体からの緩衝をシリコン基板にもたらすが、二酸化シリコン境界面は、誘電体の表面特性に適合しない場合がある。従って、極薄型高k誘電体を作製するために、誘電体及びシリコン基板の表面特性及び化学的性質を改善することができ、同時に同等な物理的酸化物厚みを最小化する境界面が必要である。   Unfortunately, these materials are chemically and thermally unstable on silicon and, unlike silicon dioxide, form defects and charge traps at the interface between the metal dielectric and the silicon substrate. Charge traps and defects absorb the voltage applied to the gate and disrupt transistor performance and reliability. In order to limit the generation of interface charge traps and defects, a boundary layer of silicon dioxide is deposited between the dielectric and the silicon substrate. Although the silicon dioxide interface provides buffering from the dielectric to the silicon substrate, the silicon dioxide interface may not match the surface characteristics of the dielectric. Therefore, in order to fabricate ultra-thin high-k dielectrics, it is possible to improve the surface properties and chemical properties of the dielectric and silicon substrate, while at the same time requiring an interface that minimizes the equivalent physical oxide thickness. is there.

化学気相堆積(CVD)のような膜を作製する従来技術の堆積技術は、高度な薄膜の要件に徐々に対処できなくなっている。CVD処理は、向上した段差被覆を有する整合膜をもたらすように調整することができるが、CVD処理は、高い処理温度を必要とする場合が多い。例えば、高kゲート誘電体作製の障害の1つは、CVD処理中の境界面酸化シリコン層の形成である。CVDにおける気相反応は、粒子生成の原因となる。別の障害は、シリコン基板上の高kゲート誘電体のための超薄型膜の堆積における従来技術CVD処理の限界である。   Prior art deposition techniques for producing films such as chemical vapor deposition (CVD) have gradually been unable to cope with the requirements of advanced thin films. Although the CVD process can be tailored to provide a matching film with improved step coverage, the CVD process often requires high processing temperatures. For example, one of the obstacles to high-k gate dielectric fabrication is the formation of a boundary silicon oxide layer during the CVD process. A gas phase reaction in CVD causes particle generation. Another obstacle is the limitations of prior art CVD processes in the deposition of ultra thin films for high k gate dielectrics on silicon substrates.

非常に薄い膜を堆積させる従来的なCVD処理に対する代替方法は、原子層堆積法(ALD)である。ALDは、従来的CVDを超えるいくつかの利点を有する。ALDは、より低い温度に向かう業界の傾向に適合する比較的低温度で実行することができ、整合する薄膜層を形成することができるものである。ALD処理を用いて、HfxSiyO2(x+y=1)膜のような多成分膜を堆積する既存の方法は、連続的蒸着法を用いてHfO2及びSiO2膜の積層体膜を堆積させるものである。すなわち、前駆体化学物質は混合されず、その代わりに、Hf含有前駆体及びSi含有前駆体は、独立かつ連続的にチャンバ内にパルス駆動で導入され、それぞれHfO及びSiO2の積層体層を形成する。実際に、前駆体のあらゆる混合が制限され、1つの前駆体は、第2の前駆体がパルス導入される前にチャンバからパージされる。積層体膜が望ましい厚みに形成された状態で、膜は、膜全体に亘るより連続した組成に到達させるためにアニールされる。異なる積層体膜の層を蓄積させるこの手法は、複数の境界面のために膜内に多くの電子トラップをもたらし、これは、トラップを固定するのに高温の熱アニールを必要とする。高温の熱アニール段階の追加は、半導体を製造するコスト及び時間を増大させ、更に、ウェーハ上の先に形成された層からの元素の望ましくない外部移動をもたらす可能性がある。それに加えて、積層体方法において多成分膜の化学量論的組成を制御することが困難である。HfSiOx膜の誘電定数(k)、結晶化温度、及び屈折率は、従来的な1化学的連続前駆体パルス法(積層体法など)によっては容易に制御できないものである。更に、従来型の一度に1化学的前駆体の連続パルス及びパージを用いて望ましい厚みの膜を形成するのに要するサイクル時間は非実用的であり、将来的なIC製造のためには過大な時間を要する。 An alternative to conventional CVD processes that deposit very thin films is atomic layer deposition (ALD). ALD has several advantages over conventional CVD. ALD can be performed at relatively low temperatures to match industry trends towards lower temperatures and can form matching thin film layers. An existing method of depositing a multi-component film such as an HfxSiyO 2 (x + y = 1) film using ALD processing is to deposit a multilayer film of HfO 2 and SiO 2 films using a continuous vapor deposition method. is there. That is, the precursor chemicals are not mixed; instead, the Hf-containing precursor and the Si-containing precursor are independently and continuously introduced into the chamber in a pulsed manner to form a stack of HfO and SiO 2 layers, respectively. Form. In fact, any mixing of the precursors is limited and one precursor is purged from the chamber before the second precursor is pulsed. With the laminate film formed to the desired thickness, the film is annealed to reach a more continuous composition throughout the film. This approach of accumulating layers of different stack films results in many electron traps in the film due to multiple interfaces, which requires high temperature thermal annealing to fix the traps. The addition of a high temperature thermal annealing step can increase the cost and time of manufacturing the semiconductor, and can also lead to undesirable external migration of elements from previously formed layers on the wafer. In addition, it is difficult to control the stoichiometric composition of the multi-component film in the laminate method. The dielectric constant (k), crystallization temperature, and refractive index of the HfSiOx film cannot be easily controlled by a conventional one-chemical continuous precursor pulse method (such as a laminate method). Furthermore, the cycle time required to form a film of the desired thickness using a conventional continuous pulse and purge of one chemical precursor at a time is impractical and is excessive for future IC manufacturing. It takes time.

混合前駆体を用いて多成分膜を作製する試みは、従来的CVD法に限定されてきた。例えば、共にSenzaki他に付与された米国特許第6,537,613号及び第6,238,734号(特許’613及び’734)は、直接液体注入によって金属及びメタロイド化合物を含む組成勾配を生成するためのシステム及び方法を総括的に開示している。直接液体注入(DLI)においては、金属及びメタロイド前駆体は一緒に混合され、堆積システム内への混合物の注入よりも前に無溶媒液体混合物が形成される。   Attempts to make multi-component films using mixed precursors have been limited to conventional CVD methods. For example, US Pat. Nos. 6,537,613 and 6,238,734 (patents '613 and' 734), both granted to Senzaki et al., Generate compositional gradients containing metal and metalloid compounds by direct liquid injection. A system and method for doing so are generally disclosed. In direct liquid injection (DLI), the metal and metalloid precursors are mixed together to form a solvent-free liquid mixture prior to injection of the mixture into the deposition system.

しかし、特許’613及び’734に説明した方法には、いくつかの欠点が付随している。具体的には、注入されるのが液体混合物である。従って、液体混合物が完全には混合されない時、基板上には不均一な組成及び勾配を有する膜が形成することになる。それに加えて、適正な容積のサンプルが供給された場合でも、各前駆体が固有の沸点、蒸気圧、及び揮発度を有するので、混合物が均等に気化することになるという保証はない。更に、前駆体間の沸点の差異が大きい時は、1つの前駆体が第2のものの沸点で分解して微粒子又は汚染物質を形成する場合がある。一般的に、前駆体が適度に混合されずに不均一な膜組成をもたらすか、又は2つの蒸気の混合が気相での先行反応を発生させて、ウェーハ上に堆積する粒子又は汚染物質の形成をもたらすかのいずれかである。
従って、多成分膜の作製方法の更なる発展の必要性が存在する。特に、ALD処理を用いて多成分膜を作製する方法に対する必要性が存在する。更に、方法が多成分膜の化学量論的組成又は勾配の容易な制御を提供することが望ましい。
However, the methods described in patents '613 and' 734 have some drawbacks associated with them. Specifically, it is the liquid mixture that is injected. Therefore, when the liquid mixture is not completely mixed, a film having a non-uniform composition and gradient is formed on the substrate. In addition, even when the correct volume of sample is supplied, there is no guarantee that the mixture will vaporize evenly because each precursor has a unique boiling point, vapor pressure, and volatility. Furthermore, when the difference in boiling point between the precursors is large, one precursor may decompose at the boiling point of the second to form fine particles or contaminants. In general, the precursors are not properly mixed resulting in a non-uniform film composition, or the mixing of the two vapors causes a pre-reaction in the gas phase to cause particles or contaminants to deposit on the wafer. Either resulting in formation.
Accordingly, there is a need for further development of a method for producing multi-component films. In particular, there is a need for a method of making a multi-component film using ALD processing. It is further desirable that the method provide easy control of the stoichiometric composition or gradient of the multi-component film.

米国特許仮出願出願番号第60/464,458号U.S. Provisional Application No. 60 / 464,458 米国特許仮出願出願番号第60/520,964号US Provisional Patent Application No. 60 / 520,964 米国特許仮出願出願番号第60/560,952号US Provisional Patent Application No. 60 / 560,952 米国特許第6,537,613号US Pat. No. 6,537,613 米国特許第6,238,734号US Pat. No. 6,238,734 PCT特許出願出願番号PCT/US03/21575PCT patent application number PCT / US03 / 21575 PCT特許出願出願番号PCT/US03/22236PCT patent application number PCT / US03 / 22236

総括的には、本発明人は、気化した前駆体の混合物が、原子層堆積(ALD)処理における単一パルス段階中にチャンバ内に一緒に存在して多成分膜を形成するような気化前駆体の混合をもたらす方法を発見した。気化前駆体は、少なくとも1つの異なる化学成分から成り、そのような異なる成分が単層を形成して多成分膜を生成することになる。本発明人は、この方法を「共注入ALD」と称している。そのような方法は、気化前駆体がALD処理においてチャンバ内に別々にパルス導入されて成分の1つのみを含有する別々の単層を形成する従来技術から離脱したものである。   In general, the inventor believes that a vaporized precursor mixture is present together in a chamber during a single pulse stage in an atomic layer deposition (ALD) process to form a multi-component film. I found a way to bring about the mixing of the body. The vaporized precursor consists of at least one different chemical component, and such different components will form a monolayer to form a multi-component film. The inventors refer to this method as “co-injection ALD”. Such a method is a departure from the prior art where vaporized precursors are pulsed separately into the chamber in an ALD process to form separate monolayers containing only one of the components.

本発明の1つの態様は、気化前駆体を一緒に混合し、次に前駆体の混合物がALDチャンバ内に存在するように気化前駆体を注入又は共注入することにより、多成分誘電体膜を作製するためのシステム及び方法を提供する。本明細書で用いられる時の用語「多成分」膜は、2以上の金属又はメタロイド元素を含有する膜を意味する。以下に限定はしないが、金属、合金、混合金属酸化物、ケイ酸塩、窒化物、酸窒化物、及びそれらの混合物を含む様々な多成分膜を本発明によって形成することができる。   One aspect of the present invention provides a multi-component dielectric film by mixing vaporized precursors together and then injecting or co-injecting vaporized precursors such that the precursor mixture is present in the ALD chamber. Systems and methods for making are provided. The term “multicomponent” film as used herein means a film containing two or more metals or metalloid elements. Various multi-component films can be formed by the present invention including, but not limited to, metals, alloys, mixed metal oxides, silicates, nitrides, oxynitrides, and mixtures thereof.

本発明の一実施形態では、各々が少なくとも1つの異なる化学成分を含有する2以上の気化前駆体が処理チャンバに一緒に搬送されて基板の表面上に単層を形成し、この単層が別々の化学成分の各々を含有することを特徴とする、原子層堆積によって基板の表面上に薄膜を形成する方法が提供される。一般的に、共注入という用語は、少なくとも1つの異なる化学成分を有する2以上の前駆体が、複数の成分を有する膜が生成されるようにチャンバ内に存在することを意味するために用いられる。これは、前駆体を蒸気状態又は液体状態(エーロゾル)の何れかで処理チャンバ内に一緒に注入又は搬送することにより、又は前駆体を処理チャンバ内で混合することにより達成することができる。処理チャンバ内に導入する前の前駆体の混合は、好ましいが必要というわけではない。   In one embodiment of the invention, two or more vaporized precursors, each containing at least one different chemical component, are transported together into a processing chamber to form a monolayer on the surface of the substrate, the monolayer being separated. There is provided a method of forming a thin film on the surface of a substrate by atomic layer deposition, characterized by containing each of the chemical components of: In general, the term co-implantation is used to mean that two or more precursors having at least one different chemical component are present in the chamber such that a film having a plurality of components is produced. . This can be accomplished by injecting or transporting the precursors together in the processing chamber either in the vapor state or in the liquid state (aerosol), or by mixing the precursors in the processing chamber. Mixing precursors prior to introduction into the processing chamber is preferred but not necessary.

別の態様において、本発明は、多成分膜を形成するためのシステムを提供する。一実施形態では、システムは、一般的に、各々がマニフォルドに連結された1以上の気化器を含む。マニフォルドは、気化器によって生成された気化前駆体を混合するように構成される。マニフォルドはまた、反応又は堆積チャンバへの入口に連結され、混合した前駆体は、入口を通じてチャンバ内に注入される。一実施形態では、入口は、シャワーヘッド・インジェクタのようなインジェクタから成る。前駆体をマニフォルドではなくインジェクタで混合することができるということも可能である。   In another aspect, the present invention provides a system for forming a multi-component film. In one embodiment, the system generally includes one or more vaporizers, each coupled to a manifold. The manifold is configured to mix the vaporized precursor produced by the vaporizer. The manifold is also connected to the inlet to the reaction or deposition chamber, and the mixed precursor is injected into the chamber through the inlet. In one embodiment, the inlet comprises an injector such as a showerhead injector. It is also possible that the precursor can be mixed with an injector instead of a manifold.

本発明の更に別の態様においては、組成勾配を有する多成分膜を形成するためのシステム及び方法が提供される。一実施形態では、各々が少なくとも1つの異なる化学成分を含有する2以上の気化前駆体が、処理チャンバ内に一緒に注入されて基板の表面上に単層を形成し、チャンバ内に注入された気化前駆体の各々の気体流量は、1以上の異なる化学成分の望ましい組成勾配が膜に形成されるように選択的に制御されることを特徴とする、多成分膜を形成する方法が提供される。   In yet another aspect of the invention, systems and methods are provided for forming multicomponent films having compositional gradients. In one embodiment, two or more vaporized precursors, each containing at least one different chemical component, are injected together into the processing chamber to form a monolayer on the surface of the substrate and injected into the chamber. A method of forming a multi-component film is provided, wherein the gas flow rate of each of the vaporization precursors is selectively controlled such that a desired composition gradient of one or more different chemical components is formed in the film. The

本発明の更に別の態様においては、シリコンリッチな下層、窒素リッチな上層、及びこの上層と下層の間の少なくとも1つのハフニウムリッチな層を含む、組成勾配を有する誘電体膜が提供される。一実施形態では、ホウ素の拡散を阻止するために、窒素がシリコン基板−誘電体境界面の近く又はその上方に選択的に堆積される。更に別の実施形態では、誘電体の同等の物理的酸化物厚みとシリコン及び窒化物誘電体間の境界面の品質とに負担を掛けずにホウ素拡散を阻止し、例えばより高いトラップ密度をもたらすためのシステム及び方法を提供することが望ましい。一実施形態では、組成勾配は、誘電体と基板を「緩衝」するために使用することができる。例えば、基板がシリコンである時、第1の層は、シリコンリッチで誘電体を構成する第2の堆積金属がより少ない量で堆積される。誘電体を構成する堆積金属を主として含む第2の層は、第1の層の上に、実質的により少ない量のシリコンに加えて堆積される。一部の実施形態では、隣接層の表面特性及び化学的性質を調合するために、付加的な層を追加することができる。様々な実施形態では、各層は、酸化、還元、窒化、及びその組合せを原位置で行うことができる。   In yet another aspect of the invention, a dielectric film having a composition gradient is provided that includes a silicon-rich lower layer, a nitrogen-rich upper layer, and at least one hafnium-rich layer between the upper and lower layers. In one embodiment, nitrogen is selectively deposited near or above the silicon substrate-dielectric interface to prevent boron diffusion. In yet another embodiment, boron diffusion is blocked without burdening the equivalent physical oxide thickness of the dielectric and the quality of the interface between the silicon and nitride dielectric, resulting in, for example, a higher trap density. It would be desirable to provide a system and method for this. In one embodiment, the composition gradient can be used to “buffer” the dielectric and the substrate. For example, when the substrate is silicon, the first layer is deposited with a smaller amount of the second deposited metal that is silicon rich and constitutes the dielectric. A second layer comprising primarily the deposited metal that constitutes the dielectric is deposited on top of the first layer in addition to a substantially smaller amount of silicon. In some embodiments, additional layers can be added to blend the surface properties and chemistry of adjacent layers. In various embodiments, each layer can be oxidized, reduced, nitrided, and combinations thereof in situ.

更に、本発明は、多成分酸窒化物膜を作製するためのシステム及び方法を提供し、多成分膜は、上述の方法によって形成され、次に、膜は、オゾン、酸素、過酸化物、水、空気、亜酸化窒素、一酸化窒素、N−オキシド、又はそれらの混合物から成る群から選択される酸化反応物を用いて高温で酸化される。特に有利なことには、酸化段階を原位置で実行することができる。酸化に引続き、励起された窒素源は、連続的に処理チャンバに運ばれ、高温で酸化層と反応させられて酸窒化物を形成する。この場合もまた、この段階は原位置で実行される。   Furthermore, the present invention provides a system and method for making a multi-component oxynitride film, wherein the multi-component film is formed by the method described above, and then the film comprises ozone, oxygen, peroxide, Oxidized at an elevated temperature using an oxidation reactant selected from the group consisting of water, air, nitrous oxide, nitric oxide, N-oxide, or mixtures thereof. Particularly advantageously, the oxidation step can be performed in situ. Following oxidation, the excited nitrogen source is continuously carried into the processing chamber and reacted with the oxide layer at an elevated temperature to form oxynitrides. Again, this step is performed in situ.

好ましい実施形態では、本発明は、窒化反応物を含有する前駆体をチャンバ内に混合し、ALD処理を比較的低温で実行することにより多成分酸窒化物膜を作製するためのシステム及び方法を提供する。適切な窒化剤は、アンモニア、重水素置換アンモニア、15N−アンモニア、アミン又はアミド、ヒドラジン、アルキルヒドラジン、窒素ガス、一酸化窒素、亜酸化窒素、窒素ラジカル、N−オキシド、又はそれらの混合物から成る群から選択することができる。
本発明の他の態様、実施形態、及び利点は、以下の本発明の詳細説明及び特許請求の範囲を読み、図面を参照することによって明らかになるであろう。
In a preferred embodiment, the present invention provides a system and method for making a multi-component oxynitride film by mixing a precursor containing a nitriding reactant into a chamber and performing an ALD process at a relatively low temperature. provide. Suitable nitriding agents are ammonia, deuterium substituted ammonia, 15 N-ammonia, amine or amide, hydrazine, alkyl hydrazine, nitrogen gas, nitric oxide, nitrous oxide, nitrogen radicals, N-oxide, or mixtures thereof. It can be selected from the group consisting of:
Other aspects, embodiments and advantages of the present invention will become apparent upon reading the following detailed description of the invention and the claims and referring to the drawings.

総括的には、本発明人は、基板の表面上に複数の化合物を有する単層を形成する原子層堆積(ALD)処理における単一パルス段階中に気化前駆体の混合物がチャンバ内に存在するような、気化前駆体の混合をもたらす方法を発見した。気化前駆体は、異なる化学成分から成り、そのような成分は多成分膜を形成することになる。本発明人は、本方法を「共注入ALD」と称する。そのような方法は、ALD処理において気化前駆体がチャンバに別々に搬送されるか又は別々にパルス導入される従来技術から離脱したものである。以下に限定はしないが、金属、合金、混合金属酸化物、ケイ酸塩、窒化物、酸窒化物、及びそれらの混合物を含む様々な多成分膜を本発明によって形成することができる。   Overall, the inventor presents a mixture of vaporized precursors in the chamber during a single pulse phase in an atomic layer deposition (ALD) process that forms a monolayer with multiple compounds on the surface of the substrate. We have discovered a method that results in mixing vaporized precursors. Vaporization precursors are composed of different chemical components, and such components will form a multi-component film. The inventors refer to this method as “co-injection ALD”. Such a method is a departure from the prior art where vaporized precursors are separately transported into the chamber or pulsed separately in the ALD process. Various multi-component films can be formed by the present invention including, but not limited to, metals, alloys, mixed metal oxides, silicates, nitrides, oxynitrides, and mixtures thereof.

1つの態様において、本発明は、多成分膜の化学量論的組成を再現可能かつ実質的に均等に制御するためのシステム及び方法を提供する。
一連の実施形態では、本発明は、二酸化シリコンよりも高い誘電率又は誘電定数を有し、かつ電流のトンネル現象又は漏れを防止することができる誘電体を作製するためのシステム及び方法を提供する。他の態様において、本発明は、誘電体及びシリコン基板の表面特性及び化学的性質を改善することができ、一方で同等物理的酸化物厚みを最小化する境界面を作製するためのシステム及び方法を提供する。
In one aspect, the present invention provides a system and method for reproducibly and substantially equally controlling the stoichiometric composition of a multi-component film.
In a series of embodiments, the present invention provides systems and methods for making dielectrics that have a higher dielectric constant or dielectric constant than silicon dioxide and that can prevent current tunneling or leakage. . In another aspect, the present invention is a system and method for creating an interface that can improve the surface properties and chemistry of dielectric and silicon substrates while minimizing equivalent physical oxide thickness. I will provide a.

従って、本発明の一部の実施形態及び態様において、本発明は、ホウ素の拡散を阻止して高k層の結晶化温度を高めるために、シリコン基板−誘電体境界面の近く又はその上方に窒素を選択的に堆積させるシステム及び方法を提供する。更に別の実施形態では、誘電体の同等物理的酸化物厚みとシリコン及び窒化物誘電体間の境界面の品質とに負担を掛けずにホウ素の拡散を阻止し、例えばより高いトラップ密度をもたらすためのシステム及び方法を提供することが望ましい。   Thus, in some embodiments and aspects of the present invention, the present invention is near or above the silicon substrate-dielectric interface to prevent boron diffusion and increase the crystallization temperature of the high-k layer. Systems and methods for selectively depositing nitrogen are provided. In yet another embodiment, boron diffusion is prevented without burdening the equivalent physical oxide thickness of the dielectric and the quality of the interface between the silicon and nitride dielectric, resulting in, for example, a higher trap density. It would be desirable to provide a system and method for this.

本発明の典型的な実施形態では、膜の低温窒化を行うシステム及び方法を提供することが望ましく、本発明の別の態様では、本発明は、原位置で連続的に窒素反応物を供給するためのシステム及び方法を提供し、外部プラズマ供給源の必要性が排除され、より少ない処理段階及びより短い処理時間による恩典が得られる。
別の態様において、本発明は、多成分膜を形成するためのシステムを提供する。一実施形態では、システムは、一般的に、各々がマニフォルドに連結された1以上の気化器を含む。マニフォルドは、反応又は堆積チャンバへの入口に連結され、この入口は、シャワーヘッド・インジェクタなどのようなインジェクタから成っている。
In an exemplary embodiment of the present invention, it is desirable to provide a system and method for performing low temperature nitridation of a film, and in another aspect of the invention, the invention provides a continuous nitrogen reactant in situ. Systems and methods are provided, eliminating the need for an external plasma source, and benefiting from fewer processing steps and shorter processing times.
In another aspect, the present invention provides a system for forming a multi-component film. In one embodiment, the system generally includes one or more vaporizers, each coupled to a manifold. The manifold is connected to an inlet to the reaction or deposition chamber, which consists of an injector such as a showerhead injector.

各気化器は、少なくとも1つの堆積金属を含む単一の堆積前駆体を収容する。各気化器は、質量流コントローラ及び温度制御ユニットに接続される。質量流コントローラ及び温度ユニットは、処理チャンバ内に存在する堆積前駆体の濃度を加減するように選択的に制御することができる。一実施形態では、各質量流コントローラは、システムを通過する搬送ガスの流量を加減し、その結果、搬送ガスは、堆積前駆体を希釈してマニフォルド又は処理チャンバ内に輸送する。
一部の一連の実施形態では、気化器は、少なくとも1つの堆積金属を含む単一の堆積前駆体を気化させるバブラーである。搬送ガスを含む加圧ガスは、堆積前駆体内に気泡導入される。加圧ガスの流量は、処理チャンバ内に存在する堆積前駆体の濃度を調節するように選択的に制御することができる。
Each vaporizer contains a single deposition precursor that includes at least one deposited metal. Each vaporizer is connected to a mass flow controller and a temperature control unit. The mass flow controller and temperature unit can be selectively controlled to increase or decrease the concentration of deposition precursor present in the processing chamber. In one embodiment, each mass flow controller modifies the flow rate of the carrier gas through the system so that the carrier gas dilutes and transports the deposition precursor into the manifold or processing chamber.
In some series of embodiments, the vaporizer is a bubbler that vaporizes a single deposition precursor comprising at least one deposited metal. A pressurized gas containing a carrier gas is bubbled into the deposition precursor. The flow rate of the pressurized gas can be selectively controlled to adjust the concentration of deposition precursor present in the processing chamber.

一実施形態では、処理チャンバ内への供給の前の堆積前駆体の混合をマニフォルドが促進する。一部の実施形態では、マニフォルドは、T接合空洞を含み、これは、処理チャンバ内への供給の前の堆積前駆体を収容して混合する。マニフォルドは、マニフォルド内での凝縮を防止するために加熱することができ、処理チャンバ内への堆積前駆体の流れが促進される。
堆積前駆体は、一般的にガス入口を通過して供給され、堆積前駆体の単層が、基板の表面上に化学的及び/又は物理的に取り込まれる。基板は、シリコン、金属、合金、ガラス、又は、ポリマー、プラスチック、有機又は無機加工製品とすることができる。ガス入口は、様々な形態をとることができる。一例において、ガス入口は、シャワーヘッド・インジェクタという1つのインジェクタから成る。代替的に、堆積前駆体は、複数のインジェクタによって基板表面に供給される。
In one embodiment, the manifold facilitates mixing of deposition precursors prior to delivery into the processing chamber. In some embodiments, the manifold includes a T-junction cavity that contains and mixes the deposition precursor prior to delivery into the processing chamber. The manifold can be heated to prevent condensation within the manifold, facilitating the flow of deposition precursor into the processing chamber.
The deposition precursor is typically supplied through a gas inlet, and a monolayer of deposition precursor is chemically and / or physically incorporated on the surface of the substrate. The substrate can be silicon, metal, alloy, glass, or a polymer, plastic, organic or inorganic processed product. The gas inlet can take a variety of forms. In one example, the gas inlet consists of one injector, a showerhead injector. Alternatively, the deposition precursor is supplied to the substrate surface by a plurality of injectors.

一般的に、単一ウェーハチャンバが使用される時、基板は、堆積中に静電チャック又は真空チャックというウェーハ担持装置上に支持される。一実施形態では、チャックは、伝導、対流、放射、又は非放射処理、又はそれらの共用により、基板を冷却又は加熱することができる。代替的に、ウェーハ担持装置は、バッチ処理のために複数の基板を支持するボート又はカセットとすることができる。
入口ポートは、単層又は基板の表面の連続的な酸化、還元、又は窒化を容易にするために、処理チャンバ内に原位置で酸化、還元、又は窒化反応物を切替可能に供給する。
In general, when a single wafer chamber is used, the substrate is supported on a wafer carrier, called an electrostatic chuck or vacuum chuck, during deposition. In one embodiment, the chuck can cool or heat the substrate by conduction, convection, radiation, or non-radiation treatment, or a combination thereof. Alternatively, the wafer carrier can be a boat or cassette that supports multiple substrates for batch processing.
The inlet port switchably supplies an in-situ oxidation, reduction, or nitridation reactant into the processing chamber to facilitate continuous oxidation, reduction, or nitridation of the monolayer or substrate surface.

本発明の別の態様において、シリコンリッチな下層、窒素リッチな上層、及びこの上層と下層の間の少なくとも1つのハフニウムリッチな層を含む、組成勾配を有する誘電体膜が提供される。一実施形態では、ホウ素拡散を阻止するために、窒素がシリコン基板−誘電体境界面の近く又はその上方に選択的に堆積される。更に別の実施形態では、誘電体の同等物理的酸化物厚みとシリコン及び窒化物誘電体間の境界面の品質とに負担を掛けることなくホウ素の拡散を阻止し、例えばより高いトラップ密度をもたらすためのシステム及び方法を提供することが望ましい。   In another aspect of the invention, a dielectric film having a composition gradient is provided that includes a silicon-rich lower layer, a nitrogen-rich upper layer, and at least one hafnium-rich layer between the upper and lower layers. In one embodiment, nitrogen is selectively deposited near or above the silicon substrate-dielectric interface to prevent boron diffusion. In yet another embodiment, boron diffusion is prevented without burdening the equivalent physical oxide thickness of the dielectric and the quality of the interface between the silicon and nitride dielectric, resulting in, for example, a higher trap density. It would be desirable to provide a system and method for this.

更に、本発明は、多成分酸窒化物膜を作製するためのシステム及び方法を提供し、多成分膜が上述の方法によって形成され、次に、この膜は、オゾン、酸素、過酸化物、水、空気、亜酸化窒素、一酸化窒素、H22、N−オキシド、又はそれらの混合物から成る群から選択される酸化反応物を用い高温で酸化される。特に有利なことには、酸化は、原位置で実行することができる。酸化に引続き、励起された窒素源が連続的に処理チャンバに送られ、酸化層と高温で反応することができ、酸窒化物が形成される。この場合もまた、この段階は原位置で実行される。 Furthermore, the present invention provides a system and method for making a multi-component oxynitride film, wherein the multi-component film is formed by the method described above, and then the film comprises ozone, oxygen, peroxide, water, air, nitrous oxide, nitric oxide, H 2 O 2, N-oxides, or oxidized at high temperatures with an oxidizing reactant selected from the group consisting of mixtures thereof. Particularly advantageously, the oxidation can be carried out in situ. Following oxidation, an excited nitrogen source is continuously sent to the processing chamber where it can react with the oxide layer at high temperatures to form oxynitrides. Again, this step is performed in situ.

本発明は、窒化反応物を含有する前駆体をチャンバ内に混合し、ALD処理を比較的低温で実行することにより、多成分酸窒化物膜を作製するためのシステム及び方法を提供する。適切な窒化剤は、アンモニア、重水素置換アンモニア、15N−アンモニア、アミン又はアミド、ヒドラジン、アルキルヒドラジン、窒素ガス、一酸化窒素、亜酸化窒素、窒素ラジカル、N−オキシド、原子状窒素、又はそれらの混合物から成る群から選択することができる。 The present invention provides a system and method for making a multi-component oxynitride film by mixing a precursor containing a nitriding reactant into a chamber and performing an ALD process at a relatively low temperature. Suitable nitriding agents are ammonia, deuterium substituted ammonia, 15 N-ammonia, amine or amide, hydrazine, alkyl hydrazine, nitrogen gas, nitric oxide, nitrous oxide, nitrogen radicals, N-oxide, atomic nitrogen, or It can be selected from the group consisting of mixtures thereof.

特に有利なことには、本発明の多成分膜は、組成勾配を有して形成される。組成勾配は、誘電体及び基板を「緩衝」するために使用することができる。例えば、基板がシリコンである時、第1の層は、シリコンリッチで誘電体を構成する第2の堆積金属がより少ない量で堆積される。誘電体を構成する堆積金属を主に含む第2の層は、第1の層の上に、実質的により少ない量のシリコンに加えて堆積される。一部の実施形態では、隣接層の表面特性及び化学的性質を調合するために、付加的な層を追加することができる。様々な実施形態では、各層に酸化、還元、窒化、及びその組合せを原位置で行うことができる。組成勾配は、膜内の屈折率勾配もまた提供し、これは、膜の独特な光学特性をもたらすものである。   Particularly advantageously, the multi-component film of the present invention is formed with a composition gradient. The composition gradient can be used to “buffer” the dielectric and the substrate. For example, when the substrate is silicon, the first layer is deposited with a smaller amount of the second deposited metal that is silicon rich and constitutes the dielectric. A second layer mainly comprising the deposited metal that constitutes the dielectric is deposited on top of the first layer in addition to a substantially smaller amount of silicon. In some embodiments, additional layers can be added to blend the surface properties and chemistry of adjacent layers. In various embodiments, each layer can be oxidized, reduced, nitrided, and combinations thereof in situ. The composition gradient also provides a refractive index gradient within the film, which provides the unique optical properties of the film.

図1は、本発明の一実施形態に従って多成分膜を作製するためのシステムの一実施形態を表す簡略化した概念図である。図1を参照すると、一般的に、システム100は処理チャンバ102を含み、これは、基板のウェーハ112を支持するウェーハサポート110を格納する。チャンバ102内に堆積前駆体及び他のガス103(例えば、酸化ガスなどのような反応物ガス、又は希釈ガス)を供給して基板の表面上に様々な層又は膜を形成するために、ガス入口114が備えられる。例示的な実施形態では、ガスマニフォルド104は、1以上の気化器107及び109を処理チャンバ102に相互接続させる。この例示的な実施形態は、2つの気化器を示しているが、あらゆる数の気化器を使用することができる。各気化器は、堆積前駆体又は堆積前駆体の混合物124及び126をそれぞれ保持するリザーバ116及び118及び気化器要素120及び122を含み、リザーバ116及び118内の内容物の気化を助けるようにこの要素を通過してガスが流される。気化器内への搬送ガスの流れは、質量流コントローラ(図示せず)を用いて制御することができ、気化した堆積前駆体の流量及び濃度が制御される。任意的に、各気化器は、加熱要素(図示せず)を含むことができ、レザーバ116及び118に保持された堆積前駆体124及び126の気化が容易にされる。堆積前駆体124及び126の物理特性により、リザーバ116及び118内の堆積前駆体を気化させるために搬送ガス及び加熱の組合せを必要とする場合がある。   FIG. 1 is a simplified conceptual diagram illustrating one embodiment of a system for making a multi-component film in accordance with one embodiment of the present invention. Referring generally to FIG. 1, the system 100 generally includes a processing chamber 102 that stores a wafer support 110 that supports a wafer 112 of a substrate. In order to supply deposition precursors and other gases 103 (e.g., a reactant gas such as an oxidizing gas or a diluent gas) into the chamber 102 to form various layers or films on the surface of the substrate. An inlet 114 is provided. In the exemplary embodiment, gas manifold 104 interconnects one or more vaporizers 107 and 109 to processing chamber 102. Although this exemplary embodiment shows two vaporizers, any number of vaporizers can be used. Each vaporizer includes a reservoir 116 and 118 and a vaporizer element 120 and 122 that hold a deposition precursor or a mixture of deposition precursors 124 and 126, respectively, to help vaporize the contents in the reservoirs 116 and 118. Gas flows through the element. The carrier gas flow into the vaporizer can be controlled using a mass flow controller (not shown) to control the flow rate and concentration of the vaporized deposition precursor. Optionally, each vaporizer can include a heating element (not shown) to facilitate vaporization of deposition precursors 124 and 126 held in reservoirs 116 and 118. Depending on the physical properties of the deposition precursors 124 and 126, a combination of carrier gas and heating may be required to vaporize the deposition precursors in the reservoirs 116 and 118.

本発明の一実施形態では、少なくとも1つの堆積金属を含む堆積前駆体が使用され、前駆体は、化学式:M(L)Xを有し、ここで、Mは、Ti、Zr、Hf、Ta、W、Mo、Ni、Si、Cr、Y、La、C、Nb、Zn、Fe、Cu、Al、Sn、Ce、Pr、Sm、Eu、Tb、Dy、Ho、Er、Tm、Yb、Lu、Ga、In、Ru、Mn、Sr、Ba、Ca、V、Co、Os、Rh、Ir、Pd、Pt、Bi、Sn、Pb、Tl、Ge、又はそれらの混合物から成る群から選択された金属であり、Lは、アミン、アミド、アルコキシド、ハロゲン、水素化物、アルキル、アジド、硝酸塩、亜硝酸塩、シクロペンタジニエル、カルボニル、カルボキシレート、ジケトネート、アルケン、アルキン、又はそれらの置換類縁体、及びその組合せから成る群から選択された配位子であり、xは、Mに対する原子価数に等しいか又はそれ以下の整数である。 In one embodiment of the present invention, a deposition precursor comprising at least one deposited metal is used, where the precursor has the chemical formula: M (L) X , where M is Ti, Zr, Hf, Ta W, Mo, Ni, Si, Cr, Y, La, C, Nb, Zn, Fe, Cu, Al, Sn, Ce, Pr, Sm, Eu, Tb, Dy, Ho, Er, Tm, Yb, Lu , Ga, In, Ru, Mn, Sr, Ba, Ca, V, Co, Os, Rh, Ir, Pd, Pt, Bi, Sn, Pb, Tl, Ge, or mixtures thereof A metal, L is an amine, amide, alkoxide, halogen, hydride, alkyl, azide, nitrate, nitrite, cyclopentaziniel, carbonyl, carboxylate, diketonate, alkene, alkyne, or substituted analogs thereof; as well as Of a ligand selected from the group consisting of the combination, x is a less than or equal integer valency against M.

配位子(L)を堆積前駆体の各々において同じであるように選択し、前駆体の各々が蒸気状形態で混合した時に、配位子交換が起こるのを回避することは有利である。配位子交換は、微粒子の生成をもたらす可能性があり、それは、堆積膜の品質に悪影響を及ぼす可能性がある。蒸気状形態において配位子交換を受けない配位子もまた適切である。
1つの好ましい実施形態では、Mがハフニウムである第1の堆積前駆体とMがシリコンである第2の堆積前駆体の2つの堆積前駆体が選択される。第1及び第2の堆積前駆体の両方は、同じ配位子(L)を有し、第1及び第2の堆積前駆体が混合される時に配位子交換が起こるのを回避する。適切な配位子には、以下に限定はしないが、ジメチルアミン、ジエチルアミン、ジエチルメチルアミン、又は第3級ブトキシドがある。
It is advantageous to select the ligands (L) to be the same in each of the deposition precursors, and to avoid ligand exchange when each of the precursors is mixed in vapor form. Ligand exchange can result in the production of particulates, which can adversely affect the quality of the deposited film. Also suitable are ligands that do not undergo ligand exchange in vapor form.
In one preferred embodiment, two deposition precursors are selected, a first deposition precursor where M is hafnium and a second deposition precursor where M is silicon. Both the first and second deposition precursors have the same ligand (L) to avoid ligand exchange when the first and second deposition precursors are mixed. Suitable ligands include, but are not limited to, dimethylamine, diethylamine, diethylmethylamine, or tertiary butoxide.

ハフニウム供給源は、ハフニウム・ジアルキルアミド、ハフニウム・アルコキシド、ハフニウム・ジケトネート、塩化ハフニウム(HfCl4)、及びテトラキス(エチルメチルアミノ)ハフニウム(TEMA−Hf)などの何れかの1つ又はその組合せを含むことができる。シリコン供給源は、アミノシラン、シリコンアルコキシド、シリコンジアルキルアミド、シラン、塩化シリコン、テトラメチルジシロキサン(TMDSO)、及びテトラキス(エチルメチルアミノ)シリコン(TEMA−Si)などの少なくとも1つ又はその組合せを含むことができる。1つの好ましい実施形態では、液体前駆体124及び126は、それぞれTEMA−Hf及びTEMASiから成る。   The hafnium source includes any one or combination of hafnium dialkylamide, hafnium alkoxide, hafnium diketonate, hafnium chloride (HfCl4), and tetrakis (ethylmethylamino) hafnium (TEMA-Hf). Can do. The silicon source includes at least one or a combination thereof such as aminosilane, silicon alkoxide, silicon dialkylamide, silane, silicon chloride, tetramethyldisiloxane (TMDSO), and tetrakis (ethylmethylamino) silicon (TEMA-Si). be able to. In one preferred embodiment, the liquid precursors 124 and 126 comprise TEMA-Hf and TEMASi, respectively.

堆積前駆体は、一般的に、気化器を用いて気化される。各気化器は、単一の堆積前駆体だけ収容する。各気化器は、質量流コントローラ及び加熱機構に接続される。本発明の一実施形態に従って、上述のように堆積膜内の化学成分のうちの1以上の組成勾配が提供される。一例において、組成の選択的制御は、気化する前駆体の量の制御によって行われる。気化する前駆体の量は、一般的に、ガス流コントローラ及び/又は選択した前駆体の望ましい濃度を気化するために気化器を加熱する温度ユニットを調節することによって制御される。それに加えて又は代替的に、希釈ガスをインジェクタ114又はマニフォルド104内に搬送することができ(図示せず)、希釈ガスの流量は、チャンバ102に送られる堆積前駆体の量を希釈するように選択的に制御することができる。   The deposition precursor is generally vaporized using a vaporizer. Each vaporizer contains only a single deposition precursor. Each vaporizer is connected to a mass flow controller and a heating mechanism. In accordance with one embodiment of the present invention, a composition gradient of one or more of the chemical components in the deposited film is provided as described above. In one example, selective control of composition is achieved by control of the amount of precursor vaporized. The amount of precursor vaporized is generally controlled by adjusting the gas flow controller and / or the temperature unit that heats the vaporizer to vaporize the desired concentration of the selected precursor. In addition or alternatively, a dilution gas can be conveyed into the injector 114 or manifold 104 (not shown) such that the flow rate of the dilution gas dilutes the amount of deposition precursor delivered to the chamber 102. It can be selectively controlled.

気化器は、少なくとも1つの堆積金属を含む堆積前駆体を気化させるバブラーから成ることができる。気化器がバブラーである時、搬送ガスのような加圧ガスは、堆積前駆体リザーバ116及び118に気泡導入される。有用な搬送ガスには、窒素、アルゴン、又はヘリウムガスがある。加圧ガスは、堆積前駆体を希釈してそのそれぞれの堆積前駆体導管106及び108に送り込み、堆積前駆体の混合を容易にする。任意的に、膜内の組成勾配を提供するために、1以上の堆積前駆体の濃度は、バブラーの温度を変化させることによって制御することができ、気化する堆積前駆体の量が選択的に増加又は低減される。温度制御は、独立して行うことができ、又は、質量流コントローラの制御及び/又は搬送ガスの流量と協同して行うことができる。従って、様々な制御機構の各々は、独立して使用することができ、又は様々な組合せで使用することもできる。
他の実施形態では、堆積前駆体の性質のために、堆積前駆体は、光分解又は酵素的又は化学的触媒作用により、リザーバ107及び109内で気化することができる。
The vaporizer can comprise a bubbler that vaporizes a deposition precursor that includes at least one deposited metal. When the vaporizer is a bubbler, a pressurized gas, such as a carrier gas, is bubbled into the deposition precursor reservoirs 116 and 118. Useful carrier gases include nitrogen, argon, or helium gas. The pressurized gas dilutes the deposition precursor and feeds it into its respective deposition precursor conduits 106 and 108 to facilitate mixing of the deposition precursor. Optionally, to provide a compositional gradient in the film, the concentration of one or more deposition precursors can be controlled by changing the temperature of the bubbler, and the amount of deposition precursor vaporized selectively. Increased or decreased. Temperature control can be performed independently, or can be performed in conjunction with control of the mass flow controller and / or the flow rate of the carrier gas. Thus, each of the various control mechanisms can be used independently or in various combinations.
In other embodiments, due to the nature of the deposition precursor, the deposition precursor can be vaporized in the reservoirs 107 and 109 by photolysis or enzymatic or chemical catalysis.

図1を再び参照すると、堆積前駆体124及び126が気化した後、堆積前駆体124及び126は、堆積前駆体導管106及び108を通過してマニフォルド104に送り込まれる。堆積前駆体導管106及び108は、どのような形状、寸法、及び長さでもよい。導管106及び108は、金属、プラスチック、ポリマー、又は合金で作製することができる。一般的に、導管は、マニフォルド104と同じ材料で作られる。マニフォルド104と同様に、導管106及び108は、気化を容易にするために断熱又は加熱することができる。任意的に、導管106及び108及びマニフォルド104は、分光分析又は分光測定によって蒸気濃度及び蒸気組成を測定するためのサンプリング領域を含む。   Referring again to FIG. 1, after the deposition precursors 124 and 126 are vaporized, the deposition precursors 124 and 126 are fed through the deposition precursor conduits 106 and 108 into the manifold 104. The deposition precursor conduits 106 and 108 may be any shape, size, and length. The conduits 106 and 108 can be made of metal, plastic, polymer, or alloy. In general, the conduit is made of the same material as the manifold 104. As with manifold 104, conduits 106 and 108 can be insulated or heated to facilitate vaporization. Optionally, conduits 106 and 108 and manifold 104 include a sampling region for measuring vapor concentration and vapor composition by spectroscopic analysis or spectrometry.

前駆体の混合は、重力又は加圧ガスによって促進することができる。混合はまた、前駆体124及び126を導管106及び108を通じてマニフォルド104に強制注入するプランジャーという物理手段によっても達成することができ、前駆体124及び126は、混合して均一な堆積前駆体になることができる。一部の実施形態では、導管124及び126は、合流してマニフォルド104内のT接合部130で終端し、前駆体124及び126は、処理チャンバ102内への供給の前に混合される。
代替的に、導管106及び108は、チャンバ102に近い混合領域又は空洞部、又はチャンバへの入口に合流することができ、それぞれの前駆体を直接搬送することができる。一部の実施形態では、フィルタをマニフォルド104に挿入するか又は取付けすることができ、望ましくないものを除き、特定の不純物又はガスを隔離する。
Mixing of the precursors can be facilitated by gravity or pressurized gas. Mixing can also be achieved by physical means of a plunger that forces the precursors 124 and 126 through the conduits 106 and 108 into the manifold 104, where the precursors 124 and 126 are mixed into a uniform deposition precursor. Can be. In some embodiments, conduits 124 and 126 merge and terminate at a T-junction 130 in manifold 104, and precursors 124 and 126 are mixed prior to delivery into processing chamber 102.
Alternatively, the conduits 106 and 108 can merge into a mixing region or cavity near the chamber 102, or an inlet to the chamber, and can carry their respective precursors directly. In some embodiments, a filter can be inserted or attached to the manifold 104 to isolate certain impurities or gases except those that are not desired.

再度マニフォルド104及び導管106及び108を参照すると、任意的に、内部に埋め込むか又は外部に設置した加熱又は冷却要素を使用することができ、それによって混合が調整され、膜内の微粒子及び不純物の生成が最小化される。
マニフォルド104は、チャンバ102への前駆体の搬送に先立つ前駆体の混合に適切な多くの形態をとることができる。マニフォルド104は、T接続部130のような接続部を通じて気化器に連結された単一の導管とすることができる。マニフォルド104は、前駆体を混合するために幾らかの滞留時間を提供する空洞部又はリザーバを含むことができる。代替的実施形態では、マニフォルドは、完全に削除することができ、堆積前駆体は、それらがチャンバ102に搬送される時にガス入口114に直接送られ、ガス入口114内で混合される(例えば、ガス入口がインジェクタから成る場合)。
Referring again to the manifold 104 and conduits 106 and 108, optionally, heating or cooling elements embedded inside or externally installed can be used, thereby adjusting the mixing and reducing particulates and impurities in the membrane. Generation is minimized.
The manifold 104 can take many forms suitable for precursor mixing prior to delivery of the precursor to the chamber 102. Manifold 104 may be a single conduit coupled to the vaporizer through a connection, such as a T-connection 130. Manifold 104 may include a cavity or reservoir that provides some residence time for mixing the precursors. In an alternative embodiment, the manifold can be completely removed and the deposition precursors are sent directly to the gas inlet 114 when they are transferred to the chamber 102 and mixed within the gas inlet 114 (e.g., The gas inlet consists of an injector).

図1を尚も参照すると、前駆体124及び126が気化した状態で、堆積前駆体124及び126は、1以上のガス入口114を通じてチャンバ102に送られる。ガス入口は、チャンバへのガスの供給のための様々な形態をとることができる。一実施形態では、ガス入口は、シャワーヘッドのようなインジェクタから成る。例示的な実施形態は、1つのガス入口114を有する単一ウェーハチャンバを示しているが、本発明は、バッチ処理チャンバと共に用いることもでき、又はミニバッチ・チャンバと共に用いることもできる。バッチ又はミニバッチ・チャンバにおいては、複数のガス入口が使用され、ガスは、一般的に各基板の上を平行流又は横断流方式で運ばれる。ミニバッチ・チャンバの例は、本明細書においてその開示内容が引用により組み込まれている「熱処理システム及び設定可能垂直チャンバ」という名称のPCT特許出願出願番号PCT/US03/21575に説明されている。   Still referring to FIG. 1, with precursors 124 and 126 vaporized, deposition precursors 124 and 126 are delivered to chamber 102 through one or more gas inlets 114. The gas inlet can take various forms for the supply of gas to the chamber. In one embodiment, the gas inlet comprises an injector such as a showerhead. Although the exemplary embodiment shows a single wafer chamber with one gas inlet 114, the present invention can be used with a batch processing chamber or with a mini-batch chamber. In batch or mini-batch chambers, multiple gas inlets are used, and gas is generally carried in a parallel flow or cross flow manner over each substrate. An example of a mini-batch chamber is described in PCT Patent Application No. PCT / US03 / 21575, entitled “Heat Treatment System and Configurable Vertical Chamber”, the disclosure of which is incorporated herein by reference.

前駆体124及び126を含む堆積混合物の層は、基板112上に堆積される。適切な基板には、金属、合金、ガラス、ポリマー、プラスチック、有機、又は無機の加工製品がある。堆積の様式により、堆積混合物の1つ又は複数の単層が基板112上に形成されることになる。好ましい堆積方法は原子層堆積である。しかし、本発明のシステム及び方法は、「化学気相堆積」のような他の堆積技術に順応する。望ましい膜を提供するように、処理チャンバ102内に複数の調節可能なインジェクタを用いるシャワーヘッドを組込むことも本発明の範囲内である。   A layer of a deposition mixture including precursors 124 and 126 is deposited on the substrate 112. Suitable substrates include metal, alloy, glass, polymer, plastic, organic, or inorganic processed products. Depending on the mode of deposition, one or more monolayers of the deposition mixture will be formed on the substrate 112. A preferred deposition method is atomic layer deposition. However, the system and method of the present invention is amenable to other deposition techniques such as “chemical vapor deposition”. It is also within the scope of the present invention to incorporate a showerhead using a plurality of adjustable injectors in the processing chamber 102 to provide the desired membrane.

再び図1を参照すると、堆積混合物の堆積に続いて、過剰の混合物は、システム圧力及びガス流を制御して各堆積処理後の処理チャンバ102の急速パージを保証する真空ポンプに接続した排気ポートを通じてシステムから除去される。ウェーハ担持装置110は、堆積又はアニール段階中に基板を支持して加熱するために使用される。ウェーハ担持装置は、一般的にその中に形成された加熱及び冷却要素を含む。処理チャンバの温度を制御するために、外部加熱器(図示せず)もまた使用することができる。好ましくは、ウェーハ担持装置110は、真空チャック又は静電チャックである。   Referring again to FIG. 1, following deposition of the deposition mixture, the excess mixture is connected to a vacuum pump that controls the system pressure and gas flow to ensure a rapid purge of the processing chamber 102 after each deposition process. Removed from the system. Wafer carrier 110 is used to support and heat the substrate during the deposition or annealing step. A wafer carrier generally includes heating and cooling elements formed therein. An external heater (not shown) can also be used to control the temperature of the processing chamber. Preferably, the wafer carrier 110 is a vacuum chuck or an electrostatic chuck.

処理チャンバ102は、チャンバ内での処理及び清浄化に使用される他のガスを切換えて連続的に供給することができる入口103を有する。反応物ガスは、入口103を通じてチャンバに搬送される。適切な反応物ガスには、酸化ガス、還元ガス、窒化ガス、又はそれらの混合物がある。入口103を通過して送られる可能性のある他のガスには、搬送ガス又は不活性ガス、又はそれらの混合物が含まれる。   The processing chamber 102 has an inlet 103 that can be switched to continuously supply other gases used for processing and cleaning in the chamber. Reactant gas is conveyed to the chamber through inlet 103. Suitable reactant gases include oxidizing gas, reducing gas, nitriding gas, or mixtures thereof. Other gases that may be sent through the inlet 103 include carrier gas or inert gas, or mixtures thereof.

1つの好ましい実施形態では、気化した堆積前駆体は、より均一な膜を提供して膜の組成の最大の制御を可能にするために、反応チャンバ内への導入の前にマニフォルド内で混合される。しかし、インジェクタなどのようなガス入口に各前駆体を別々に送ることも可能であり、インジェクタは、ガスがチャンバ内に注入される時にそれらを混合し、従って、独立したマニフォルドの必要がなくなる。本発明の教示の観点からは、様々な機械的実施形態が適切であり、本発明は、何れかの1つの機械的構成には限定されない。本発明の教示は、異なる化学成分を有する前駆体の混合物が反応チャンバ内に存在して1つの単層内に複数の成分を有する膜を形成するように、様々な異なる前駆体の少なくとも何らかの混合が起こるということを提供するものである。   In one preferred embodiment, the vaporized deposition precursor is mixed in the manifold prior to introduction into the reaction chamber to provide a more uniform film and allow maximum control of the film composition. The However, it is also possible to send each precursor separately to a gas inlet, such as an injector, which mixes them as the gas is injected into the chamber, thus eliminating the need for a separate manifold. In view of the teachings of the present invention, various mechanical embodiments are suitable, and the present invention is not limited to any one mechanical configuration. The teachings of the present invention teach at least some mixing of various different precursors such that a mixture of precursors having different chemical components is present in the reaction chamber to form a film having multiple components within a single layer. Provides that happens.

反応物ガスは、入口103を通じて処理チャンバ102に導入され、基板112の表面上の堆積混合物を含む単層を処理し、及び/又はこれと反応する。反応物ガスは、ガス入口114内の堆積前駆体と混合して又は処理チャンバ内102に直接に順番に又は同時に供給することができる。
様々の反応物ガスを用途により使用することができる。反応物ガスが酸化ガスの場合、単層は酸化される。反応物ガスが還元がスの場合、単層は還元される。同様に、反応物ガスが窒化ガスの場合、単層は窒化される。適切な酸化ガスには、オゾン、酸素、一重項酸素、三重項酸素、水、過酸化物、空気、亜酸化窒素、一酸化窒素、H22、及びそれらの混合物がある。適切な還元ガスは水素を含む。適切な窒化ガスには、アンモニア、重水素置換アンモニア、15N−アンモニア、ヒドラジン、アルキルヒドラジン、二酸化窒素、亜酸化窒素、窒素ラジカル、一酸化窒素、N−オキシド、アミド、アミン、又はそれらの混合物がある。別の実施形態では、堆積前駆体が基板112上に堆積した後に、基板112の単層を窒化、酸化、還元、又はアニールすることができる第2の処理装置に真空中で基板112を移送することができる。
Reactant gas is introduced into the processing chamber 102 through the inlet 103 to process and / or react with the monolayer containing the deposition mixture on the surface of the substrate 112. The reactant gas can be mixed with the deposition precursor in the gas inlet 114 or fed directly into the processing chamber 102 in sequence or simultaneously.
Various reactant gases can be used depending on the application. When the reactant gas is an oxidizing gas, the monolayer is oxidized. When the reactant gas is reduced, the monolayer is reduced. Similarly, when the reactant gas is a nitriding gas, the single layer is nitrided. Suitable oxidizing gases include ozone, oxygen, singlet oxygen, triplet oxygen, water, peroxide, air, nitrous oxide, nitric oxide, H 2 O 2 , and mixtures thereof. Suitable reducing gases include hydrogen. Suitable nitriding gases include ammonia, deuterium substituted ammonia, 15 N-ammonia, hydrazine, alkyl hydrazine, nitrogen dioxide, nitrous oxide, nitrogen radical, nitric oxide, N-oxide, amide, amine, or mixtures thereof There is. In another embodiment, after the deposition precursor has been deposited on the substrate 112, the substrate 112 is transferred in a vacuum to a second processing apparatus that can nitride, oxidize, reduce, or anneal a single layer of the substrate 112. be able to.

一例において、ALDによってHfSiNを含む多成分膜を形成するために、堆積前駆体TEMA−Hf及びTEMA−Siが気化され、次に、一緒に混合されて処理チャンバに搬送され(「パルスト」とも呼ばれる)、アンモニアのような窒素含有源を加えてHfSiNが形成される。
一例において、ALD処理は、約25から800℃の範囲で、より通常的には約50から600℃の範囲で、最も通常的には約100から500℃の範囲で実施される。処理チャンバ内の圧力は、約0.001mTorrから600Torrの範囲、より通常的には約0.01mTorrから100Torrの範囲、最も通常的には約0.1mTorrから10Torrの範囲である。この圧力範囲は、パルス段階及びパージ段階の両方に及ぶものである。全体の不活性ガス流量は、バブラーが使用されている時はバブラー内の搬送ガスを含めて一般的に約0から20,000sccmの範囲、より通常的には約0から5,000sccmの範囲である。
任意的に、堆積前駆体が基板112上に堆積した後に、基板112の単層を窒化、酸化、還元、又はアニールすることができる第2の処理装置に真空中で基板112を移送することができる。
In one example, deposition precursors TEMA-Hf and TEMA-Si are vaporized to form a multi-component film comprising HfSiN by ALD, then mixed together and transported to a processing chamber (also referred to as “pulsed”) ), A nitrogen-containing source such as ammonia is added to form HfSiN.
In one example, the ALD process is performed in the range of about 25 to 800 ° C, more usually in the range of about 50 to 600 ° C, and most usually in the range of about 100 to 500 ° C. The pressure in the processing chamber is in the range of about 0.001 mTorr to 600 Torr, more usually in the range of about 0.01 mTorr to 100 Torr, and most typically in the range of about 0.1 mTorr to 10 Torr. This pressure range extends to both the pulse and purge phases. The overall inert gas flow rate is typically in the range of about 0 to 20,000 sccm, including the carrier gas in the bubbler when a bubbler is used, and more usually in the range of about 0 to 5,000 sccm. is there.
Optionally, after the deposition precursor has been deposited on the substrate 112, the substrate 112 can be transferred in a vacuum to a second processing apparatus that can nitride, oxidize, reduce, or anneal a single layer of the substrate 112. it can.

図2は、本発明の多層ゲート誘電体の断面図である。第1の層200は、高移動度(より高いトランジスタ速度)及び基板112に対する安定な境界面という望ましい特性を促進するように選択される。適切には、第1の層は、高い誘電定数を有する金属ケイ酸塩又は酸化物である。好ましくは、第1の層は、シリコンに富んだ金属ケイ酸塩である。第1の層の金属ケイ酸塩内のシリコン成分は、純金属又は金属酸化物と基板112上の境界面二酸化シリコン残留物との間の不適合性を緩和することによって境界面欠陥の形成を低減させる。金属ケイ酸塩内の金属成分は、第1の層の誘電体特性を向上させるのに役立つ。本発明の適切な金属、合金、又は混合金属酸化物、窒化物、ケイ酸塩、又は酸窒化物は、以下に限定はしないが、Ti、Zr、Hf、Ta、W、Mo、Ni、Si、Cr、Y、La、C、Nb、Zn、Fe、Cu、Al、Sn、Ce、Pr、Sm、Eu、Tb、Dy、Ho、Er、Tm、Yb、Lu、Ga、In、Ru、Mn、Sr、Ba、Ca、V、Co、Os、Rh、Ir、Pd、Pt、Bi、Sn、Pb、Tl、Ge、又はそれらの混合物を含む。   FIG. 2 is a cross-sectional view of the multilayer gate dielectric of the present invention. The first layer 200 is selected to promote the desirable properties of high mobility (higher transistor speed) and stable interface to the substrate 112. Suitably the first layer is a metal silicate or oxide having a high dielectric constant. Preferably, the first layer is a silicon rich metal silicate. The silicon component in the first layer metal silicate reduces the formation of interface defects by mitigating the incompatibility between the pure metal or metal oxide and the interface silicon dioxide residue on the substrate 112. Let The metal component in the metal silicate helps to improve the dielectric properties of the first layer. Suitable metals, alloys, or mixed metal oxides, nitrides, silicates, or oxynitrides of the present invention include, but are not limited to, Ti, Zr, Hf, Ta, W, Mo, Ni, Si , Cr, Y, La, C, Nb, Zn, Fe, Cu, Al, Sn, Ce, Pr, Sm, Eu, Tb, Dy, Ho, Er, Tm, Yb, Lu, Ga, In, Ru, Mn , Sr, Ba, Ca, V, Co, Os, Rh, Ir, Pd, Pt, Bi, Sn, Pb, Tl, Ge, or mixtures thereof.

本発明の一実施形態は、図3の流れ図に示されている。この例は、説明目的のためのみに示され、本発明を限定することは何ら意味しない。例示的な実施形態では、第1の前駆体気化器は、Hfを含む第1の前駆体を有して提供される(段階150)。Siを含む第2の前駆体を有する第2の前駆体気化器もまた提供される(段階152)。基板又はウェーハが、反応チャンバ内のチャック上に配置され(段階154)、処理チャンバが排気され(段階156)、基板は、所定の処理温度に加熱される(段階158)。上述のように、処理温度は、好ましくは約50から800℃、より好ましくは約100から500℃である。第1及び第2の前駆体は、リザーバを通過するガスの気泡化によって気化し、第1及び第2の気化前駆体を形成し(段階160)、混合し(段階162)、反応チャンバに流れる(164)。混合した第1及び第2の気化前駆体は、シャワーヘッド又はインジェクションノズルというガス入口を通過して基板上に導かれる(166)。   One embodiment of the present invention is illustrated in the flow diagram of FIG. This example is shown for illustrative purposes only and is not meant to limit the invention in any way. In an exemplary embodiment, a first precursor vaporizer is provided having a first precursor comprising Hf (stage 150). A second precursor vaporizer having a second precursor comprising Si is also provided (stage 152). A substrate or wafer is placed on a chuck in the reaction chamber (step 154), the processing chamber is evacuated (step 156), and the substrate is heated to a predetermined processing temperature (step 158). As mentioned above, the processing temperature is preferably about 50 to 800 ° C, more preferably about 100 to 500 ° C. The first and second precursors are vaporized by bubbling the gas through the reservoir to form first and second vaporized precursors (stage 160), mixed (stage 162), and flow into the reaction chamber. (164). The mixed first and second vaporized precursors are guided onto the substrate through a gas inlet called a showerhead or an injection nozzle (166).

本発明は、図2に示すような組成勾配を有する多成分膜又は層を更に提供する。図1及び図2を参照すると、シリコン基板112上の第1の層200の堆積が、処理チャンバ102内で行われる。一例において、HfSiOの膜が形成され、ハフニウムは、気化器107内で気化し、シリコンは、気化器109内で気化する。ハフニウム及びシリコンの堆積前駆体蒸気は、搬送ガスによってマニフォルド104内に流し込まれる。マニフォルド内部で、堆積前駆体は混合し、ガス入口114に堆積混合物として供給される。ガス入口114は、堆積混合物を処理チャンバ102に送り、堆積混合物は、基板112の表面に接触して表面上に取り込まれ、基板112上に堆積混合物の単層を形成する。処理チャンバ112が不活性ガスでパージされるか又は真空下で排気された後に、オゾンガスが、入口103を通じて処理チャンバ102に連続的にパルス導入される。反応物ガスは、基板112上の単層を飽和してハフニウム、シリコン、及び酸素を含む原子層を形成し、その場合、シリコン含量はハフニウムよりも高い。   The present invention further provides a multi-component film or layer having a composition gradient as shown in FIG. With reference to FIGS. 1 and 2, the deposition of the first layer 200 on the silicon substrate 112 is performed in the processing chamber 102. In one example, a film of HfSiO is formed, hafnium is vaporized in the vaporizer 107 and silicon is vaporized in the vaporizer 109. Hafnium and silicon deposition precursor vapors are flowed into the manifold 104 by a carrier gas. Inside the manifold, the deposition precursor mixes and is fed to the gas inlet 114 as a deposition mixture. The gas inlet 114 delivers the deposition mixture to the processing chamber 102, which contacts the surface of the substrate 112 and is captured on the surface to form a monolayer of the deposition mixture on the substrate 112. After the processing chamber 112 is purged with an inert gas or evacuated under vacuum, ozone gas is continuously pulsed into the processing chamber 102 through the inlet 103. The reactant gas saturates the monolayer on the substrate 112 to form an atomic layer containing hafnium, silicon, and oxygen, where the silicon content is higher than hafnium.

図4は、堆積前駆体124及び126の流量を変化させることにより、ハフニウムに対するシリコンの濃度を調整して多成分膜をもたらすことができることを示している。図5は、シリコン又はハフニウム濃度における変化が、x=0−1の場合に化学式HfxSi1-x2によって殆どの場合に支配されることを示している。
HfxSi1-x2膜に関するXPSの研究は、膜内の原子の結合配列の解明に役立った。図6aは、膜内のハフニウムのXPSスペクトルを表すものである。吸収帯の強度及び結合エネルギの大きさに基づいて、ハフニウムは、大部分がケイ酸塩の形態で見出される。ごく僅かのHfO2のような不純物がスペクトルに認められる。ここで図6bを参照すると、シリコンのXPSスペクトルは、シリコンもまた大部分がケイ酸塩として存在し、SiO2の生成は全くないか又は僅かであることを明らかにしている。XPSの結果は、本発明の利点を明確にするものである。すなわち、HfO2又はSiO2のパッチを全く有しないか又は僅かに有する均一なケイ酸ハフニウム膜の形成である。
FIG. 4 shows that by changing the flow rate of the deposition precursors 124 and 126, the concentration of silicon relative to hafnium can be adjusted to provide a multi-component film. 5, changes in the silicon or hafnium concentration, indicating that it is governed in most cases by the formula Hf x Si 1-x O 2 in the case of x = 0-1.
XPS studies on Hf x Si 1-x O 2 films helped to elucidate the bonding arrangement of atoms in the film. FIG. 6a represents the XPS spectrum of hafnium in the film. Based on the strength of the absorption band and the magnitude of the binding energy, hafnium is found mostly in the form of silicates. Very few impurities such as HfO 2 are observed in the spectrum. Referring now to FIG. 6b, the XPS spectrum of silicon reveals that silicon is also mostly present as silicate, with little or no formation of SiO 2 . The XPS results clarify the advantages of the present invention. That is, the formation of a uniform hafnium silicate film with little or no HfO 2 or SiO 2 patches.

ここで図7を参照すると、本発明の誘電体膜の屈折率は、シリコン含量の増加に伴って低下する。図7は、N2雰囲気内での膜の900℃での加熱が熱変性を引き起こさないことを示している。
図8は、堆積速度が温度依存性であることを示している。HfxSi1-x2の線形成長速度は、温度と共に増大する。しかし、400℃を超えると、原子層堆積(ALD)処理が化学気相堆積(CVD)機構を使用するので、堆積速度が実質的に増大する。様々な厚みにおける、HFラストシリコン基板上に400℃で堆積したHfxSi1-x2膜の「透過電子顕微鏡(TEM)」断面画像は、約1nmと測定された類似の境界層厚みを示している。図9a、図9b、及び図9cを比較すれば、各々は、2.3nm、4.3nm、及び6.5nmの誘電体厚みをそれぞれ有するが、境界面の厚みは誘電体厚みと無関係である。これは、ALD処理においてオゾンが酸化反応物として使用された時に、境界面の酸化が、膜作製の初期の間に生起する場合があることを示唆するものである。
Referring now to FIG. 7, the refractive index of the dielectric film of the present invention decreases with increasing silicon content. FIG. 7 shows that heating the film at 900 ° C. in an N 2 atmosphere does not cause thermal denaturation.
FIG. 8 shows that the deposition rate is temperature dependent. The linear growth rate of Hf x Si 1-x O 2 increases with temperature. However, above 400 ° C., the deposition rate is substantially increased because the atomic layer deposition (ALD) process uses a chemical vapor deposition (CVD) mechanism. “Transmission electron microscope (TEM)” cross-sectional images of Hf x Si 1-x O 2 films deposited at 400 ° C. on HF last silicon substrates at various thicknesses show a similar boundary layer thickness measured at about 1 nm. Show. Comparing FIGS. 9a, 9b, and 9c, each has a dielectric thickness of 2.3 nm, 4.3 nm, and 6.5 nm, respectively, but the interface thickness is independent of the dielectric thickness. . This suggests that when ozone is used as an oxidation reactant in the ALD process, interface oxidation may occur during the initial film fabrication.

高温での加熱は、誘電体のアモルファス状態を変化させないが、アニール処理は、境界面酸化物層を減少させる。図10は、アニール後のHfxSi1-x2膜のTEM画像を示している。境界面酸化層の厚みを図9と比較すると、アニール処理は、境界層を0.3nm低減するように見え、容量−電圧(CV)又は電流−電圧(IV)関係の何れも変化させない。図11は、膜がアニールに対して電気的に安定であることを示している。容量等価厚み(CET)及び低漏れ電流密度のいずれも、アニール段階によって大きく影響されなかった。 Heating at a high temperature does not change the amorphous state of the dielectric, but the annealing process reduces the interface oxide layer. FIG. 10 shows a TEM image of the annealed Hf x Si 1-x O 2 film. Comparing the thickness of the interface oxide layer with FIG. 9, the annealing treatment appears to reduce the interface layer by 0.3 nm and does not change either the capacitance-voltage (CV) or current-voltage (IV) relationship. FIG. 11 shows that the film is electrically stable to annealing. Neither the capacitance equivalent thickness (CET) nor the low leakage current density was significantly affected by the annealing step.

900℃までのアニール処理中の50nm厚Hf0.34Si0.662膜に関する応力ヒステリシス測定値がモニタされた。図12に見られるように、昇温の間での一定の傾斜は、堆積したHf0.34Si0.662膜とシリコン基板との間の熱膨張におけるかなり安定した違いを示している。約700℃では、応力はより張力的になり、微結晶状態における形態学的な変化を示している。300℃でTEMAHf及びO3からALDによって堆積した約450℃(図示せず)での応力増加を有するHfO2膜と比較すれば、HfxSi1-x2における膜応力転移温度の上昇は、シリコン含量の増加に起因している。従って、シリコン含量の増加は、膜が結晶化する温度を上昇させる。 Stress hysteresis measurements for a 50 nm thick Hf 0.34 Si 0.66 O 2 film during annealing up to 900 ° C. were monitored. As can be seen in FIG. 12, the constant slope during the temperature rise shows a fairly stable difference in thermal expansion between the deposited Hf 0.34 Si 0.66 O 2 film and the silicon substrate. At about 700 ° C., the stress becomes more tensile, indicating a morphological change in the microcrystalline state. Compared to the HfO 2 film having an increase in stress at about 450 ° C. (not shown) deposited by ALD from TEMAHf and O 3 at 300 ° C., the increase in film stress transition temperature in Hf x Si 1-x O 2 is This is due to the increase in silicon content. Thus, increasing the silicon content increases the temperature at which the film crystallizes.

ハフニウムの適切な供給源には、ハフニウム・ジアルキルアミド、ハフニウム・アルコキシド、ハフニウム・ジケトネート、又はハロゲン化ハフニウムがある。シリコンの適切な供給源には、ハロゲン化シリコン、シリコンジアルキルアミド又はアミン、シリコンアルコキシド、シラン、ジシラン、シロキサン、アミノジシラン、及びハロゲン化二シリコンがある。一般的に、ハフニウム及びシリコンの供給源は、共通の配位子を有するように選択され、配位子交換から生じる厄介な問題を回避する。本明細書において引用により組み込まれている「混合成分を有する薄膜の分子層堆積」という名称のPCT特許出願出願番号PCT/US〇3/22236に開示するように、共有結合的に架橋した混合金属、並びに非共有的に結合した混合金属は、堆積用の前駆体として使用することができる。非共有結合の様式には、水素結合、配位結合、金属−金属結合、金属−π、金属−π*、π−π結合、シグマ−シグマ結合、イオン性結合、ファン・デル・ワールス相互作用、疎水性/親水性相互作用、極性結合、又は双極子モーメント相互作用がある。不活性ガスの供給源には、アルゴン、窒素、不活性ガス、又はそれらの混合物のような搬送ガスが含まれる。 Suitable sources of hafnium include hafnium dialkylamide, hafnium alkoxide, hafnium diketonate, or hafnium halide. Suitable sources of silicon include silicon halides, silicon dialkylamides or amines, silicon alkoxides, silanes, disilanes, siloxanes, aminodisilanes, and disilicon halides. In general, the hafnium and silicon sources are selected to have a common ligand, avoiding the troublesome problems arising from ligand exchange. Covalently cross-linked mixed metals as disclosed in PCT Patent Application No. PCT / US03 / 22236, entitled “Molecular Layer Deposition of Thin Films with Mixed Components”, incorporated herein by reference. As well as non-covalently bonded mixed metals can be used as precursors for deposition. Non-covalent bond modes include hydrogen bond, coordination bond, metal-metal bond, metal-π, metal-π * , π-π bond, sigma-sigma bond, ionic bond, van der Waals interaction , Hydrophobic / hydrophilic interactions, polar bonds, or dipole moment interactions. Inert gas sources include carrier gases such as argon, nitrogen, inert gases, or mixtures thereof.

再び図2を参照すると、第2の層202が第1の層200上に堆積し、第2の層202は、シリコンよりも大きいハフニウム濃度、すなわち、ハフニウム>シリコンである濃度を有する。より高い濃度のハフニウムは、誘電体の全体的構成が高kハフニウム誘電体のように挙動することを保証するものである。第2の層202内のシリコンの存在は、個々の層の間に電気的な漏れ又は欠陥を起す可能性のある急激な組成的境界面がないように、第1の層200からの漸変的な化学量論的移行を作り出す。引続くオゾンによる酸化は、第2の層202を提供するものである。   Referring again to FIG. 2, a second layer 202 is deposited on the first layer 200, and the second layer 202 has a higher hafnium concentration than silicon, ie, hafnium> silicon. A higher concentration of hafnium ensures that the overall configuration of the dielectric behaves like a high-k hafnium dielectric. The presence of silicon in the second layer 202 is a gradual change from the first layer 200 so that there are no abrupt compositional interfaces that can cause electrical leakage or defects between the individual layers. A stoichiometric transition. Subsequent oxidation with ozone provides the second layer 202.

本発明の様々な実施形態では、第3の層203は、任意的に、主にハフニウムを含んで、すなわち、ハフニウム≫シリコンであるように第2の層202の上に堆積され、組成勾配を有する誘電体層のスタックを形成することができる。酸化反応物を用いる酸化は、主として二酸化ハフニウムを生じさせる。この手法を用いれば、あらゆる勾配、厚み、及び組成の均質な膜を精度及び制御を伴って作製することができる。
別の態様においては、第3の層203は、窒化反応物を用いて窒化することができる。窒素の包含は、誘電体を通過するホウ素のような不純物の拡散を阻止し、膜の長期の性能と信頼性を高めるものである。
In various embodiments of the present invention, the third layer 203 is optionally deposited on the second layer 202 such that it mainly comprises hafnium, ie, hafnium >> silicon, and has a composition gradient. A stack of dielectric layers can be formed. Oxidation using oxidation reactants mainly produces hafnium dioxide. Using this technique, films with uniform gradients, thicknesses, and compositions can be produced with precision and control.
In another aspect, the third layer 203 can be nitrided using a nitridation reactant. Inclusion of nitrogen prevents the diffusion of impurities such as boron through the dielectric and increases the long-term performance and reliability of the film.

一部の実施形態では、第3の層203は、堆積後のアニール段階の時にアンモニアガスの存在で熱的に窒化することができる。それに対して、他の実施形態では、第3の層203は、処理チャンバ102とは離れて生成した高エネルギ窒素粒子を用いて窒化することができる。本発明の1つの態様によるアンモニアを用いる例示的なアニール後の膜のXPSスペクトルが図13で示されている。これもまた図13に示されているHfSiO基準と比較すれば、400eVの近くの窒素ピークの存在が、HfSiO層内への窒素の取り込みを示している。様々なテークオフ角度(TOA)での測定は、誘電体の表面のみでなく膜内部深くにも窒素が存在することを検出している。   In some embodiments, the third layer 203 can be thermally nitrided in the presence of ammonia gas during the post-deposition annealing step. In contrast, in other embodiments, the third layer 203 can be nitrided using high energy nitrogen particles generated away from the processing chamber 102. An XPS spectrum of an exemplary annealed film using ammonia according to one embodiment of the present invention is shown in FIG. Again, compared to the HfSiO reference shown in FIG. 13, the presence of a nitrogen peak near 400 eV indicates the incorporation of nitrogen into the HfSiO layer. Measurements at various take-off angles (TOA) detect the presence of nitrogen not only on the dielectric surface but also deep inside the film.

任意的に、必要に応じて、窒化物層を形成してアニールするために加熱に依存する代わりに、窒化は、光により、又は、光、加熱、及び化学的開始剤のあらゆる組合せにより促進させることができる。例えば、ある一定の実施形態では、直接プラズマ、遠隔プラズマ、下流側プラズマ、紫外線光子エネルギ、又はその組合せを窒化を促進するために使用することができる。活性化エネルギ源には、プラズマ、光、レーザ、ラジカル、及びマイクロ波エネルギ源、及びそれらの混合形態がある。   Optionally, instead of relying on heating to form and anneal the nitride layer, if desired, nitriding is promoted by light or any combination of light, heating, and chemical initiators. be able to. For example, in certain embodiments, direct plasma, remote plasma, downstream plasma, ultraviolet photon energy, or a combination thereof can be used to promote nitridation. Activation energy sources include plasma, light, laser, radical, and microwave energy sources, and mixtures thereof.

別の実施形態では、上述のように、適切な窒素供給源には、アンモニア、重水素置換アンモニア、15N富化アンモニア、アミン、アミド、窒素ガス、ヒドラジン、アルキルヒドラジン、亜酸化窒素、一酸化窒素、窒素ラジカル、N−オキシド、又はそれらの混合物がある。
本発明の更に別の態様においては、膜の窒化に関するが、誘電体を窒化する環境的方法が提供される。図14は、ハフニウム・ジアルキルアミド前駆体とオゾンとの間の反応で生じるHfO2堆積の速度が、意外にも反応温度の低下と共に増大することを示している。ハフニウム・ジアルキルアミドに対するオゾンの反応性のために、HfSiOx300は、図1の気化器107及び109内でハフニウム及びシリコンをそれぞれ気化させることにより、図14に示すように基板前駆体112上に堆積した。オゾンは、基板112を格納している処理チャンバ102内に入口103を通過して供給される。酸化は、16aにおけるような比較的低い温度で急速に起こり、酸化ハフニウム302が生じる。酸窒化物層304は、層302をゲート電極からのホウ素拡散から保護するために金属酸化物302の上にあるのが望ましい。
酸窒化物層304を堆積させるのに2つの方法がある。第1の方法においては、図16aに表されるように、堆積前駆体124及び126が気化して処理チャンバ102内に注入され、基板112上に堆積混合物の単層を形成する。
In another embodiment, as described above, suitable nitrogen sources include ammonia, deuterium substituted ammonia, 15 N enriched ammonia, amine, amide, nitrogen gas, hydrazine, alkyl hydrazine, nitrous oxide, monoxide. There are nitrogen, nitrogen radicals, N-oxides, or mixtures thereof.
In yet another aspect of the invention, relating to nitridation of a film, an environmental method of nitriding a dielectric is provided. FIG. 14 shows that the rate of HfO 2 deposition resulting from the reaction between the hafnium dialkylamide precursor and ozone unexpectedly increases with decreasing reaction temperature. Due to the reactivity of ozone to hafnium dialkylamide, HfSiOx 300 was deposited on substrate precursor 112 as shown in FIG. 14 by vaporizing hafnium and silicon in vaporizers 107 and 109 of FIG. 1, respectively. . Ozone is supplied through the inlet 103 into the processing chamber 102 containing the substrate 112. Oxidation occurs rapidly at relatively low temperatures, such as at 16a, resulting in hafnium oxide 302. The oxynitride layer 304 is preferably on the metal oxide 302 to protect the layer 302 from boron diffusion from the gate electrode.
There are two ways to deposit the oxynitride layer 304. In the first method, as shown in FIG. 16 a, deposition precursors 124 and 126 are vaporized and injected into the processing chamber 102 to form a monolayer of deposition mixture on the substrate 112.

ここで図16aを参照すると、酸化物302を生じる低温度にも関わらず、アンモニアを用いる800℃での次の熱的酸窒化アニールは、許容することができるが、処理の観点からは好ましくないものである。構造的には、そのような高いアニール温度は、大きい懸念を提起する。すなわち、酸化物層302の結晶化であり、酸化物302内部深く又はその粒界における内在的欠陥の可能性をもたらすものである。   Referring now to FIG. 16a, a subsequent thermal oxynitridation anneal at 800 ° C. with ammonia is acceptable, despite the low temperature that produces oxide 302, but is not preferred from a processing standpoint. Is. Structurally, such a high annealing temperature raises great concerns. That is, the crystallization of the oxide layer 302 poses the possibility of intrinsic defects deep inside the oxide 302 or at its grain boundaries.

本発明の好ましい実施形態では、酸窒化物を堆積する第2の方法が図16bに示されている。図16bにおける方法は、図16aにおける方法に比較すれば、酸窒化物304へのより経済的な道筋である。オゾンは、金属ジアルキルアミドと容易に反応するので、堆積混合物が最初に基板112上に堆積され、順番に原位置でアンモニアによって処理される。比較的低い温度での窒化物303の形成に引続き、オゾンを用いた酸化が反応を完了まで進め、酸窒化物304を生成する。
本発明の一部の実施形態では、重水素置換アンモニア又は15N−アンモニアが好ましい。
図17は、酸窒化物304の表面よりも下にある組成プロフィールを示している。窒素濃度は、膜の表面上で最大であるが、HfO2の表面に到達するまで表面の下で徐々に低下する。膜内に更に入ると、シリコン基板112の境界層に到達するまでHfO2302の濃度が低下してHfSiOx300に引き継がれる。
In a preferred embodiment of the present invention, a second method of depositing oxynitride is shown in FIG. 16b. The method in FIG. 16b is a more economical route to oxynitride 304 compared to the method in FIG. 16a. Since ozone reacts readily with the metal dialkylamides, the deposition mixture is first deposited on the substrate 112 and in turn treated with ammonia in situ. Following the formation of nitride 303 at a relatively low temperature, oxidation with ozone proceeds to complete the reaction, producing oxynitride 304.
In some embodiments of the invention, deuterium substituted ammonia or 15 N-ammonia is preferred.
FIG. 17 shows a composition profile below the surface of oxynitride 304. The nitrogen concentration is maximal on the surface of the film, but gradually decreases below the surface until it reaches the surface of HfO 2 . When the film further enters the film, the concentration of HfO 2 302 is lowered until the boundary layer of the silicon substrate 112 is reached, and is taken over by HfSiO x 300.

本発明によれば、異なる膜厚と窒素又は酸素濃度とを有するHfSiONの多くの層を堆積させることができる。SiO2、HfO2、HfSiOx、HfN、SiN、SiON、及びHfSiONの形成を説明する特定的な実施例が本明細書に示されているが、本発明の方法及びALDシステムが、金属、合金、又は混合金属酸化物、ケイ酸塩、窒化物、酸窒化物、又はそれらの混合物を含むあらゆる厚み、組成、又は種類の薄膜を生成するのに使用することができることは当業者には明らかであろう。
本発明の特定的な実施形態の以上の説明は、例証及び説明目的で示されたものである。それらは、網羅的であったり開示された正確な形態に本発明を限定することを意図しておらず、明らかに、上述の教示の観点から多くの修正、実施形態、及び変形が可能である。本発明の範囲は、本明細書に添付の特許請求の範囲及びその均等物により規定されるものとする。
According to the present invention, many layers of HfSiON having different film thicknesses and nitrogen or oxygen concentrations can be deposited. Although specific examples illustrating the formation of SiO 2 , HfO 2 , HfSiO x , HfN, SiN, SiON, and HfSiON are set forth herein, the method and ALD system of the present invention is not limited to metals, alloys. It will be apparent to those skilled in the art that it can be used to produce thin films of any thickness, composition, or type, including mixed metal oxides, silicates, nitrides, oxynitrides, or mixtures thereof. I will.
The foregoing descriptions of specific embodiments of the present invention have been presented for purposes of illustration and description. They are not intended to be exhaustive or to limit the invention to the precise form disclosed, and obviously many modifications, embodiments, and variations are possible in light of the above teachings. . It is intended that the scope of the invention be defined by the claims appended hereto and their equivalents.

本発明の一実施形態により多成分多層膜を作製するためのシステムの概略ブロック図である。1 is a schematic block diagram of a system for producing a multi-component multilayer film according to an embodiment of the present invention. 本発明のシステム及び方法を用いて形成された高k誘電体ゲート材料の断面図である。1 is a cross-sectional view of a high-k dielectric gate material formed using the system and method of the present invention. 本発明の一実施形態により組成勾配膜を作製する方法を示す流れ図である。3 is a flow diagram illustrating a method of making a composition gradient film according to an embodiment of the invention. ハフニウム−シリコン膜の堆積及び組成をハフニウム及びシリコン堆積ガス流量を制御することによって変更することができる特定の例を示す、膜組成と堆積前駆体ガス流量の間の関係を示すグラフである。6 is a graph showing the relationship between film composition and deposition precursor gas flow, showing a specific example where the deposition and composition of the hafnium-silicon film can be changed by controlling the hafnium and silicon deposition gas flow rates. 本発明のシステム及び方法によって作製された様々なHfSiO膜の原子組成分析の結果を示す表である。この結果は、所定のハフニウム及びシリコン含量濃度に亘って、ハフニウム及びシリコン原子に対する酸素原子の比率が約2であることを示している。これらの結果は、本発明のシステム及び方法によって作製されたHfSiO膜が、特定の範囲に亘って、構造式Hf x Si 1-x 2 を有する膜を提供することを示している。炭素、水素、及び窒素の百分率比は、微量で見出されるのみである。4 is a table showing the results of atomic composition analysis of various HfSiO films produced by the system and method of the present invention. This result shows that the ratio of oxygen atoms to hafnium and silicon atoms is about 2 over a given hafnium and silicon content concentration. These results, HfSiO film produced by the system and method of the present invention, over a particular range indicates that to provide a membrane having a structural formula Hf x Si 1-x O 2 . The percentage ratio of carbon, hydrogen, and nitrogen is only found in trace amounts. 膜に見出されるハフニウムの4f領域のXPSスペクトルを強調し、スペクトルに不純物をほとんど又は全く認めることができない、本発明のシステム及び方法によって生成された化学式Hf 0.5 Si 0.5 2 を有する膜の「X線光電子分光法(XPS)」スペクトルを示すグラフである。The “X” spectrum of the film having the chemical formula Hf 0.5 Si 0.5 O 2 produced by the system and method of the present invention highlights the XPS spectrum of the 4f region of hafnium found in the film and shows little or no impurities in the spectrum. 2 is a graph showing a “Linear Photoelectron Spectroscopy (XPS)” spectrum. 膜に見出されるシリコンの2p領域のXPSスペクトルを強調し、スペクトルに不純物をほとんど又は全く認めることができない、本発明のシステム及び方法によって生成された化学式Hf 0.5 Si 0.5 2 を有する膜の「X線光電子分光法(XPS)」スペクトルを示すグラフである。“X” of a film having the chemical formula Hf 0.5 Si 0.5 O 2 produced by the system and method of the present invention highlighting the XPS spectrum of the 2p region of silicon found in the film and showing little or no impurities in the spectrum. 2 is a graph showing a “Linear Photoelectron Spectroscopy (XPS)” spectrum. 堆積時の膜及び堆積後にアニールした膜に関する屈折率を比較するグラフであり、Hf/(Hf+Si)比率の関数として計測したシリコンウェーハ上の50nm厚の様々なHf x Si 1-x 2 に関する屈折率を表す図である。Is a graph comparing the refractive index regarding deposited upon the film and annealed films after deposition, to a Hf / (Hf + Si) 50nm thickness varying Hf x Si 1-x O 2 film on the silicon wafer was measured as a function of the ratio It is a figure showing a refractive index. オゾンによるハフニウム−シリコン膜の酸化で得られるHfxSi1-x2膜に関する堆積速度の変化を堆積温度に関して示す図である。Hafnium with ozone - shows respect Hf x Si 1-x O 2 film deposition temperature changes in the deposition rate for obtained by oxidation of the silicon film. 2.3nmの誘電体厚みを有するHf 0.58 Si 0.42 2 のTEM画像を示し、境界面の厚みが約1nmと測定される、HFラスト処理したシリコン基板上に400℃で堆積したHf 0.58 Si 0.42 2 膜のTEM断面画像を示す図である。Shows a TEM image of 2.3nm dielectric Hf 0.58 Si 0.42 O 2 film having a thickness, the thickness of the boundary surface is measured to be about 1 nm, Hf 0.58 Si deposited at 400 ° C. on a silicon substrate HF last treatment it is a view showing a TEM cross-sectional image of 0.42 O 2 film. 4.3nmの誘電体厚みを有するHf 0.58 Si 0.42 2 のTEM画像を示し、境界面の厚みが約1nmと測定される、HFラスト処理したシリコン基板上に400℃で堆積したHf 0.58 Si 0.42 2 膜のTEM断面画像を示す図である。4 shows a TEM image of a Hf 0.58 Si 0.42 O 2 film having a dielectric thickness of 4.3 nm and a Hf 0.58 Si deposited at 400 ° C. on a HF-last-treated silicon substrate having a boundary thickness of about 1 nm. it is a view showing a TEM cross-sectional image of 0.42 O 2 film. 6.5nmの誘電体厚みを有するHf 0.58 Si 0.42 2 のTEM画像を示し、境界面の厚みが約1nmと測定される、HFラスト処理したシリコン基板上に400℃で堆積したHf 0.58 Si 0.42 2 膜のTEM断面画像を示す図である。6 shows a TEM image of a Hf 0.58 Si 0.42 O 2 film having a dielectric thickness of 6.5 nm and a Hf 0.58 Si deposited at 400 ° C. on a HF- lasted silicon substrate having a boundary thickness of about 1 nm. it is a view showing a TEM cross-sectional image of 0.42 O 2 film. 2 内の700℃でのアニール後のポリシリコンキャップ層を有するHf 0.58 Si 0.42 2 の断面TEM画像である。FIG. 6 is a cross-sectional TEM image of Hf 0.58 Si 0.42 O 2 having a polysilicon cap layer after annealing at 700 ° C. in N 2 . HFラスト処理したシリコンウェーハ上の様々なHf x Si 1-x 2 について、Hf含有量の関数として容量同等厚(CET)及び漏れ電流密度を計測した図である。For various Hf x Si 1-x O 2 film on the silicon wafer was HF last treatment is a diagram obtained by measuring the capacitance equivalent thickness (CET) and leakage current density as a function of Hf content. 50nm厚Hf 0.34 Si 0.66 2 について、温度の関数として膜引張応力を計測した図である。About 50nm thick Hf 0.34 Si 0.66 O 2 film, a diagram obtained by measuring the film tensile stress as a function of temperature. HfSiOと比較して様々なテークオフ角度(TOA)でのHfSiON膜のXPSスペクトルが膜内の窒素の存在を明示している、堆積後アニール段階でアンモニアを用いて窒素化されたHfSiO膜に関して窒素1s及びハフニウム4p 3/2 領域に対する「X線光電子分光法(XPS)」スペクトルを示す図である。The XPS spectrum of the HfSiON film at various take-off angles (TOA) compared to HfSiO reveals the presence of nitrogen in the film, and the nitrogen 1s for the HfSiO film nitrided with ammonia in the post-deposition annealing stage FIG. 6 shows an “X-ray photoelectron spectroscopy (XPS)” spectrum for the hafnium 4p 3/2 region. ハフニウム・ジアルキルアミドのオゾンによる酸化で生成したHfO 2 堆積温度を関数とした堆積速度のグラフである。It is a graph of the deposition rate as a function of the deposition temperature of HfO 2 produced by oxidation of hafnium dialkylamide with ozone. HfSiOx、HfO2、及び、HfOxNy又はHfSiONの層を含み連続的に原位置で作製された薄膜を示す、本発明の共注入システム及び方法によって形成された組成勾配を有する薄膜の断面図である。FIG. 3 is a cross-sectional view of a thin film having a composition gradient formed by the co-implantation system and method of the present invention, showing the thin film continuously formed in situ, including layers of HfSiOx, HfO2, and HfOxNy or HfSiON. 酸窒化物を生成する相対的に高い温度の処理を示し、酸化段階が窒化段階に先行する、本発明の金属、合金、又は混合金属酸窒化物を生成する2つの異なる方法を説明する反応体系を示す図である。Reaction scheme illustrating two different methods of producing the metal, alloy, or mixed metal oxynitrides of the present invention, showing relatively high temperature processing to produce oxynitrides, wherein the oxidation stage precedes the nitridation stage FIG. 相対的に低い温度の下で膜が窒化されるまで酸化段階が待機する、本発明の金属、合金、又は混合金属酸窒化物を生成する2つの異なる方法を説明する反応体系を示す図である。FIG. 2 shows a reaction scheme illustrating two different methods of producing the metal, alloy, or mixed metal oxynitrides of the present invention, where the oxidation stage waits until the film is nitrided under a relatively low temperature. . 窒素濃度が膜の表面上で最大であり、HfO2層に達するまで表面の下で徐々に減少し、膜内に更に入り込むと、HfO2の濃度がシリコン基板の境界層に到達するまで低下してHfSiOxに引き継がれる、典型的な酸窒化物膜の表面の下の組成プロフィールを示す図である。The nitrogen concentration is maximum on the surface of the film and gradually decreases below the surface until it reaches the HfO 2 layer, and when further entering the film, the concentration of HfO 2 decreases until it reaches the boundary layer of the silicon substrate. FIG. 2 shows a composition profile below the surface of a typical oxynitride film inherited by HfSiO x .

符号の説明Explanation of symbols

100 システム
102 処理チャンバ
104 ガスマニフォルド
107、109 気化器
112 ウェーハ
114 ガス入口
124、126 堆積前駆体又は堆積前駆体の混合物
100 system 102 processing chamber 104 gas manifold 107, 109 vaporizer 112 wafer 114 gas inlet 124, 126 deposition precursor or mixture of deposition precursors

Claims (25)

基板の表面上に膜を形成する方法であって、
各々が少なくとも1つの異なる化学成分を含有する2以上の前駆体が、一緒に処理チャンバに搬送され、基板の表面上に単層を形成し、
該単層が前記別々の化学成分の各々を含有する、
ことを特徴とする方法。
A method of forming a film on a surface of a substrate,
Two or more precursors, each containing at least one different chemical component, are transported together into a processing chamber to form a monolayer on the surface of the substrate;
The monolayer contains each of the separate chemical components;
A method characterized by that.
基板の表面上に膜を形成する方法であって、
各々が少なくとも1つの異なる化学成分を含有する2以上の前駆体が、一緒に処理チャンバに搬送され、基板の表面上に単層を形成し、
前記処理チャンバに搬送される前記前駆体の各々の量は、前記化学成分の1以上の望ましい組成勾配が前記膜中に形成されるように選択的に制御される、
ことを特徴とする方法。
A method of forming a film on a surface of a substrate,
Two or more precursors, each containing at least one different chemical component, are transported together into a processing chamber to form a monolayer on the surface of the substrate;
The amount of each of the precursors delivered to the processing chamber is selectively controlled such that one or more desired composition gradients of the chemical components are formed in the film.
A method characterized by that.
前記前駆体は、化学式:
M(L)x
で表され、ここで、Mは、Ti、Zr、Hf、Ta、W、Mo、Ni、Si、Cr、Y、La、C、Nb、Zn、Fe、Cu、Al、Sn、Ce、Pr、Sm、Eu、Tb、Dy、Ho、Er、Tm、Yb、Lu、Ga、In、Ru、Mn、Sr、Ba、Ca、V、Co、Os、Rh、Ir、Pd、Pt、Bi、Sn、Pb、Tl、Ge、又はそれらの混合物の群から選択された金属であり、Lは、アミン、アミド、アルコキシド、ハロゲン、水素化物、アルキル、アジド、硝酸塩、亜硝酸塩、シクロペンタジニエル、カルボニル、カルボキシレート、ジケトネート、アルケン、アルキン、又はそれらの置換類縁体、及びそれらの組合せから成る群から選択された配位子であり、xは、Mに対する原子価数に等しいか又はそれ以下の整数であることを特徴とする請求項1又は請求項2に記載の方法。
The precursor has the chemical formula:
M (L) x
Where M is Ti, Zr, Hf, Ta, W, Mo, Ni, Si, Cr, Y, La, C, Nb, Zn, Fe, Cu, Al, Sn, Ce, Pr, Sm, Eu, Tb, Dy, Ho, Er, Tm, Yb, Lu, Ga, In, Ru, Mn, Sr, Ba, Ca, V, Co, Os, Rh, Ir, Pd, Pt, Bi, Sn, A metal selected from the group of Pb, Tl, Ge, or mixtures thereof, wherein L is an amine, amide, alkoxide, halogen, hydride, alkyl, azide, nitrate, nitrite, cyclopentadiniel, carbonyl, A ligand selected from the group consisting of carboxylate, diketonate, alkene, alkyne, or substituted analogs thereof, and combinations thereof, wherein x is an integer equal to or less than the valence for M Ah The method of claim 1 or claim 2, characterized in that.
前記単層と反応する少なくとも1つの反応物を供給する段階を更に含むことを特徴とする請求項1又は請求項2に記載の方法。   3. The method of claim 1 or claim 2, further comprising providing at least one reactant that reacts with the monolayer. 前記少なくとも1つの反応物は、前記前駆体に続いて又はそれと同時に供給されることを特徴とする請求項4に記載の方法。   The method of claim 4, wherein the at least one reactant is fed subsequent to or simultaneously with the precursor. 前記少なくとも1つの反応物は、窒化反応物、還元反応物、酸化反応物、又はそれらの混合物であることを特徴とする請求項4に記載の方法。   The method of claim 4, wherein the at least one reactant is a nitriding reactant, a reducing reactant, an oxidizing reactant, or a mixture thereof. 前記窒化反応物は、アンモニア、重水素置換アンモニア、15N−アンモニア、アミン又はアミド、ヒドラジン、アルキルヒドラジン、窒素ガス、一酸化窒素、亜酸化窒素、窒素ラジカル、N−オキシド、又はそれらの混合物から成る群から選択されることを特徴とする請求項6に記載の方法。   The nitriding reactant is composed of ammonia, deuterium-substituted ammonia, 15N-ammonia, amine or amide, hydrazine, alkyl hydrazine, nitrogen gas, nitric oxide, nitrous oxide, nitrogen radical, N-oxide, or a mixture thereof. The method of claim 6, wherein the method is selected from a group. 前記酸化反応物は、オゾン、酸素、一重項酸素、三重項酸素、原子状酸素、水、過酸化物、空気、亜酸化窒素、一酸化窒素、H22、及びそれらの混合物から成る群から選択されることを特徴とする請求項6に記載の方法。 The oxidation reactant is a group consisting of ozone, oxygen, singlet oxygen, triplet oxygen, atomic oxygen, water, peroxide, air, nitrous oxide, nitric oxide, H 2 O 2 , and mixtures thereof. The method of claim 6, wherein the method is selected from: 基板の表面上に多成分膜を形成する方法であって、
各々が少なくとも1つの異なる化学成分を含有する2以上の前駆体を気化する段階と、
前記2以上の前駆体を処理チャンバ内に搬送して、該前駆体を処理チャンバ中に一緒に存在させる段階と、
前記別々の化学成分の各々を含有する単層を基板の表面上に形成する段階と、
前記処理チャンバをパージする段階と、
を含むことを特徴とする方法。
A method of forming a multi-component film on a surface of a substrate,
Vaporizing two or more precursors each containing at least one different chemical component;
Conveying the two or more precursors into a processing chamber so that the precursors are present together in the processing chamber;
Forming a monolayer on the surface of the substrate containing each of the separate chemical components;
Purging the processing chamber;
A method comprising the steps of:
前記形成する段階は、約20から800℃の範囲の温度で実行されることを特徴とする請求項9に記載の方法。   The method of claim 9, wherein the forming is performed at a temperature in the range of about 20 to 800 degrees Celsius. 前記処理チャンバは、約0.001mTorrから600Torrの範囲の圧力にあることを特徴とする請求項1に記載の方法。   The method of claim 1, wherein the processing chamber is at a pressure in the range of about 0.001 mTorr to 600 Torr. 前記基板表面は、シリコン、プラスチック、ポリマー、金属、合金、有機物、無機物、又はそれらの混合物から成る群から選択されることを特徴とする請求項1、請求項2、又は請求項9のいずれか1項に記載の方法。   10. The substrate according to claim 1, wherein the substrate surface is selected from the group consisting of silicon, plastic, polymer, metal, alloy, organic substance, inorganic substance, or a mixture thereof. 2. The method according to item 1. 前記搬送する段階は、前記2以上前駆体を混合して前駆体の該混合物を前記処理チャンバ内に搬送する段階を更に含むことを特徴とする請求項9に記載の方法。   The method of claim 9, wherein the step of conveying further comprises the step of mixing the two or more precursors to convey the mixture of precursors into the processing chamber. 前記パージする段階は、搬送ガスを導入して前記チャンバから前記前駆体を排出する段階を更に含むことを特徴とする請求項9に記載の方法。   The method of claim 9, wherein the purging further comprises introducing a carrier gas and discharging the precursor from the chamber. 前記基板の表面上に形成された前記単層に接触する反応物ガスを前記処理チャンバに搬送する段階を更に含むことを特徴とする請求項9に記載の方法。   The method of claim 9, further comprising transporting a reactant gas in contact with the monolayer formed on the surface of the substrate to the processing chamber. 前記処理チャンバへのガス流量は、約0から20,000sccmの範囲であることを特徴とする請求項13に記載の方法。   14. The method of claim 13, wherein the gas flow rate to the processing chamber is in the range of about 0 to 20,000 sccm. 組成勾配を有する誘電体膜であって、
シリコンリッチな下層と、
窒素リッチな上層と、
前記上層及び下層の間に形成されたハフニウムリッチな層と、
を含むことを特徴とする膜。
A dielectric film having a composition gradient,
A silicon-rich underlayer,
A nitrogen-rich upper layer,
A hafnium-rich layer formed between the upper and lower layers;
A film characterized by containing.
前記シリコンリッチな下層、前記ハフニウム中間層、及び前記窒素リッチな上層の各々は、少なくとも1つの共通の組成元素を含むことを特徴とする請求項17に記載の誘電体膜。   18. The dielectric film according to claim 17, wherein each of the silicon-rich lower layer, the hafnium intermediate layer, and the nitrogen-rich upper layer includes at least one common composition element. 前記少なくとも1つの共通の組成元素は、酸素、窒素、及び金属から成る群から選択されることを特徴とする請求項18に記載の誘電体膜。   The dielectric film according to claim 18, wherein the at least one common composition element is selected from the group consisting of oxygen, nitrogen, and metal. 前記金属は、Ti、Zr、Hf、Ta、W、Mo、Ni、Si、Cr、Y、La、C、Nb、Zn、Fe、Cu、Al、Sn、Ce、Pr、Sm、Eu、Tb、Dy、Ho、Er、Tm、Yb、Lu、Ga、In、Ru、Mn、Sr、Ba、Ca、V、Co、Os、Rh、Ir、Pd、Pt、Bi、Sn、Pb、Tl、Ge、及びそれらの混合物から成る群から選択されることを特徴とする請求項19に記載の誘電体膜。   The metals are Ti, Zr, Hf, Ta, W, Mo, Ni, Si, Cr, Y, La, C, Nb, Zn, Fe, Cu, Al, Sn, Ce, Pr, Sm, Eu, Tb, Dy, Ho, Er, Tm, Yb, Lu, Ga, In, Ru, Mn, Sr, Ba, Ca, V, Co, Os, Rh, Ir, Pd, Pt, Bi, Sn, Pb, Tl, Ge, 20. The dielectric film according to claim 19, wherein the dielectric film is selected from the group consisting of: and a mixture thereof. 前記金属は、金属、合金、又は混合金属酸化物、ケイ酸塩、窒化物、酸窒化物、又はそれらの混合物を更に含むことを特徴とする請求項19に記載の誘電体膜。   The dielectric film according to claim 19, wherein the metal further includes a metal, an alloy, or a mixed metal oxide, silicate, nitride, oxynitride, or a mixture thereof. 原子層堆積のためのシステムであって、
堆積のための第1の堆積前駆体を収容する少なくとも第1の気化器と、
堆積のための第2の堆積前駆体を収容する少なくとも第2の気化器と、
原子層堆積処理を実行するようになった処理チャンバと、
前記第1及び第2の気化器と前記処理チャンバとに連結され、前記第1及び第2の堆積前駆体を混合して該処理チャンバに搬送するようになったマニフォルドと、
を含むことを特徴とするシステム。
A system for atomic layer deposition,
At least a first vaporizer containing a first deposition precursor for deposition;
At least a second vaporizer containing a second deposition precursor for deposition;
A processing chamber adapted to perform an atomic layer deposition process;
A manifold coupled to the first and second vaporizers and the processing chamber, wherein the manifold is adapted to mix and transport the first and second deposition precursors to the processing chamber;
A system characterized by including.
前記処理チャンバは、
前記マニフォルドに連結したガス入口と、
前記少なくとも1つの反応物を前記処理チャンバにおいて原位置で連続的又は同時的に供給する、少なくとも1つの反応物ガスを供給するための入口と、
を更に含むことを特徴とする請求項22に記載のシステム。
The processing chamber comprises
A gas inlet connected to the manifold;
An inlet for supplying at least one reactant gas, wherein the at least one reactant is supplied in situ in the processing chamber continuously or simultaneously;
The system of claim 22 further comprising:
前記単層は、1つ又は複数の金属、合金、又は混合金属酸化物、ケイ酸塩、窒化物、及び酸窒化物から成る群から選択されることを特徴とする請求項1、請求項2、又は請求項9のいずれか1項に記載の方法。   The monolayer is selected from the group consisting of one or more metals, alloys, or mixed metal oxides, silicates, nitrides, and oxynitrides. Or the method according to claim 9. 前記単層の各々は、組成的に可変であり、隣接する該単層と電気的かつ物理的に適合することを特徴とする請求項1、請求項2、又は請求項9のいずれか1項に記載の方法。   10. Each of the single layers is compositionally variable, and is electrically and physically compatible with the adjacent single layer. The method described in 1.
JP2006532444A 2003-04-21 2004-04-21 System and method for forming a multi-component dielectric film Pending JP2007514293A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US46445803P 2003-04-21 2003-04-21
US52096403P 2003-11-17 2003-11-17
US56095204P 2004-04-09 2004-04-09
PCT/US2004/012245 WO2004105083A2 (en) 2003-04-21 2004-04-21 System and method for forming multi-component dielectric films

Publications (1)

Publication Number Publication Date
JP2007514293A true JP2007514293A (en) 2007-05-31

Family

ID=33479703

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006532444A Pending JP2007514293A (en) 2003-04-21 2004-04-21 System and method for forming a multi-component dielectric film

Country Status (6)

Country Link
US (2) US7470470B2 (en)
EP (1) EP1616042A2 (en)
JP (1) JP2007514293A (en)
KR (1) KR20060003895A (en)
TW (1) TW200506093A (en)
WO (1) WO2004105083A2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008075179A (en) * 2006-09-19 2008-04-03 Asm Japan Kk Method of cleaning uv irradiation chamber
JP2011166160A (en) * 2011-03-22 2011-08-25 Tokyo Electron Ltd Method of forming multilayer film
KR20150129781A (en) * 2013-03-14 2015-11-20 어플라이드 머티어리얼스, 인코포레이티드 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US10209613B2 (en) 2013-03-12 2019-02-19 Applied Materials, Inc. System and method for manufacturing planarized extreme ultraviolet lithography blank
US10788744B2 (en) 2013-03-12 2020-09-29 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor

Families Citing this family (453)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6671223B2 (en) * 1996-12-20 2003-12-30 Westerngeco, L.L.C. Control devices for controlling the position of a marine seismic streamer
FI117979B (en) * 2000-04-14 2007-05-15 Asm Int Process for making oxide thin films
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
JP2005504885A (en) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド Barrier formation using a novel sputter deposition method
US7164169B2 (en) * 2001-08-23 2007-01-16 Nec Corporation Semiconductor device having high-permittivity insulation film and production method therefor
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
KR100463633B1 (en) * 2002-11-12 2004-12-29 주식회사 아이피에스 Method for depositing thin film on wafer using Hafnium compound
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
KR100589053B1 (en) * 2003-10-15 2006-06-12 삼성전자주식회사 Source supply apparatus, method of supplying source, and atomic layer deposition method using the same
US7154779B2 (en) * 2004-01-21 2006-12-26 Sandisk Corporation Non-volatile memory cell using high-k material inter-gate programming
ES2380972T3 (en) 2004-03-26 2012-05-22 Rohm Co., Ltd. Organic light emitting element
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
JP4455225B2 (en) * 2004-08-25 2010-04-21 Necエレクトロニクス株式会社 Manufacturing method of semiconductor device
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
CN100554506C (en) * 2005-03-09 2009-10-28 东京毅力科创株式会社 Film that semiconductor processes is used and device
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US8197898B2 (en) * 2005-03-29 2012-06-12 Tokyo Electron Limited Method and system for depositing a layer from light-induced vaporization of a solid precursor
US7485338B2 (en) * 2005-03-31 2009-02-03 Tokyo Electron Limited Method for precursor delivery
US7235502B2 (en) * 2005-03-31 2007-06-26 Freescale Semiconductor, Inc. Transitional dielectric layer to improve reliability and performance of high dielectric constant transistors
KR20080003387A (en) * 2005-04-07 2008-01-07 에비자 테크놀로지, 인크. Multilayer, multicomponent high-k films and methods for depositing the same
JP2006344837A (en) * 2005-06-09 2006-12-21 Matsushita Electric Ind Co Ltd Semiconductor apparatus and manufacturing method thereof
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
JP2009500857A (en) * 2005-07-08 2009-01-08 アヴィザ テクノロジー インコーポレイテッド Method for depositing silicon-containing film
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070059945A1 (en) * 2005-09-12 2007-03-15 Nima Mohklesi Atomic layer deposition with nitridation and oxidation
WO2007033019A1 (en) * 2005-09-12 2007-03-22 Sandisk Corporation Creating a dielectric layer using ald to deposit multiple components
JP2007088113A (en) 2005-09-21 2007-04-05 Sony Corp Manufacturing method of semiconductor device
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
TWI329136B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US8097300B2 (en) * 2006-03-31 2012-01-17 Tokyo Electron Limited Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition
US20070237697A1 (en) * 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US7759746B2 (en) * 2006-03-31 2010-07-20 Tokyo Electron Limited Semiconductor device with gate dielectric containing aluminum and mixed rare earth elements
US7816737B2 (en) * 2006-03-31 2010-10-19 Tokyo Electron Limited Semiconductor device with gate dielectric containing mixed rare earth elements
US8012442B2 (en) * 2006-03-31 2011-09-06 Tokyo Electron Limited Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7767262B2 (en) * 2006-09-29 2010-08-03 Tokyo Electron Limited Nitrogen profile engineering in nitrided high dielectric constant films
KR101427142B1 (en) * 2006-10-05 2014-08-07 에이에스엠 아메리카, 인코포레이티드 ALD of metal silicate films
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
KR20080051572A (en) * 2006-12-06 2008-06-11 주성엔지니어링(주) Organic electroluminescent element and method of manufacturing the same
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
KR101227446B1 (en) 2007-07-31 2013-01-29 삼성전자주식회사 Method of forming a ferro-electric layer and method of manufacturing a ferro-electric capacitor
US7790628B2 (en) * 2007-08-16 2010-09-07 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
US7964515B2 (en) * 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US8084104B2 (en) * 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8770142B2 (en) 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
KR101172147B1 (en) 2009-02-23 2012-08-07 시너스 테크놀리지, 인코포레이티드 Method for forming thin film using radicals generated by plasma
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8076241B2 (en) * 2009-09-30 2011-12-13 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8877300B2 (en) * 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US8574983B2 (en) 2011-05-13 2013-11-05 Intermolecular, Inc. Method for fabricating a DRAM capacitor having increased thermal and chemical stability
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8569184B2 (en) * 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8633118B2 (en) * 2012-02-01 2014-01-21 Tokyo Electron Limited Method of forming thin metal and semi-metal layers by thermal remote oxygen scavenging
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI554636B (en) 2012-04-25 2016-10-21 應用材料股份有限公司 Methods of fabricating dielectric films from metal amidinate precursors
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
WO2014039597A2 (en) * 2012-09-07 2014-03-13 Linde Aktiengesellschaft Direct liquid injection of solution based precursors for atomic layer deposition
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10522343B2 (en) 2014-03-02 2019-12-31 Tokyo Electron Limited Method of enhancing high-k film nucleation rate and electrical mobility in a semiconductor device by microwave plasma treatment
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10156786B2 (en) 2015-09-30 2018-12-18 Thomas E. Seidel Method and structure for nanoimprint lithography masks using optical film coatings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (en) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018125169A1 (en) * 2016-12-29 2018-07-05 Intel Corporation Device, system and method to provide high aspect ratio oligomer structures
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11631580B2 (en) 2017-03-15 2023-04-18 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11081337B2 (en) * 2017-03-15 2021-08-03 Versum Materials U.S., LLC Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11193206B2 (en) * 2017-03-15 2021-12-07 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US10351953B2 (en) * 2017-03-16 2019-07-16 Lam Research Corporation Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
EP3642896A4 (en) 2017-06-20 2021-03-31 Coreshell Technologies, Inc. Methods, systems, and compositions for the liquid-phase deposition of thin films onto the surface of battery electrodes
US20210257604A1 (en) 2017-06-20 2021-08-19 Coreshell Technologies, Inc. Solution-phase deposition of thin films on solid-state electrolytes
US11990609B2 (en) 2017-06-20 2024-05-21 Coreshell Technologies, Incorporated Solution-deposited electrode coatings for thermal runaway mitigation in rechargeable batteries
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR102401446B1 (en) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR20200091491A (en) * 2017-12-20 2020-07-30 램 리써치 코포레이션 Systems and methods for homogeneous mixing of precursors in alloy atomic layer deposition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (en) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. Method for depositing a gap filling layer by plasma assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (en) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TWI840362B (en) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (en) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition processes for forming metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (en) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
DE102018121897A1 (en) 2018-09-07 2020-03-12 Infineon Technologies Ag SEMICONDUCTOR DEVICE WITH A AREA CONTAINING SILICON AND NITROGEN AND PRODUCTION METHOD
TWI728456B (en) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 Thin film deposition method with respect to substrate
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (en) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method and system for forming device structures using selective deposition of gallium nitride - Patents.com
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TWI838458B (en) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for plug fill deposition in 3-d nand applications
TWI845607B (en) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TWI842826B (en) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP7499079B2 (en) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー Plasma device using coaxial waveguide and substrate processing method
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (en) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (en) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
CN112635282A (en) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 Substrate processing apparatus having connection plate and substrate processing method
KR20210042810A (en) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (en) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
WO2021096786A1 (en) * 2019-11-13 2021-05-20 Coreshell Technologies, Inc. Solution-deposited electrode coatings for thermal runaway mitigation in rechargeable batteries
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP7527928B2 (en) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
JP2021111783A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Channeled lift pin
TW202140135A (en) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Gas supply assembly and valve plate assembly
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (en) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
KR20210128343A (en) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. Method of forming chromium nitride layer and structure including the chromium nitride layer
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
JP2021177545A (en) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー Substrate processing system for processing substrates
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202146699A (en) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a silicon germanium layer, semiconductor structure, semiconductor device, method of forming a deposition layer, and deposition system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
CN115702258A (en) * 2020-06-10 2023-02-14 3M创新有限公司 Roll-to-roll vapor deposition apparatus and method
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202202649A (en) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
TW202229601A (en) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of forming patterned structures, method of manipulating mechanical property, device structure, and substrate processing system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (en) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 Gas supply unit and substrate processing apparatus including the same
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235649A (en) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Methods for filling a gap and related systems and devices
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002027063A2 (en) * 2000-09-28 2002-04-04 President And Fellows Of Harward College Vapor deposition of oxides, silicates and phosphates
WO2002079211A1 (en) * 2001-03-30 2002-10-10 Advanced Technology Materials, Inc. Metalloamide and aminosilane precursors for cvd formation of dielectric thin films
JP2003008005A (en) * 2001-06-21 2003-01-10 Matsushita Electric Ind Co Ltd Semiconductor device equipped with insulating film having high dielectric constant
WO2003019643A1 (en) * 2001-08-23 2003-03-06 Nec Corporation Semiconductor device having high-permittivity insulation film and production method therefor

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3911176A (en) * 1974-01-02 1975-10-07 Rca Corp Method for vapor-phase growth of thin films of lithium niobate
JPS60159185A (en) * 1984-01-31 1985-08-20 Permelec Electrode Ltd Manufacture of electrode
US4772346A (en) * 1986-02-14 1988-09-20 International Business Machines Corporation Method of bonding inorganic particulate material
US5185317A (en) * 1988-02-19 1993-02-09 Northwestern University Method of forming superconducting Tl-Ba-Ca-Cu-O films
US5130172A (en) * 1988-10-21 1992-07-14 The Regents Of The University Of California Low temperature organometallic deposition of metals
US5688565A (en) * 1988-12-27 1997-11-18 Symetrix Corporation Misted deposition method of fabricating layered superlattice materials
US5051278A (en) * 1989-07-10 1991-09-24 Eastman Kodak Company Method of forming metal fluoride films by the decomposition of metallo-organic compounds in the presence of a fluorinating agent
US5271957A (en) * 1992-06-18 1993-12-21 Eastman Kodak Company Chemical vapor deposition of niobium and tantalum oxide films
KR0139876B1 (en) * 1993-09-14 1998-08-17 사토 후미오 Method of forming a metal oxide film
DE19528746C1 (en) * 1995-08-04 1996-10-31 Siemens Ag Lateral silicon di:oxide spacer prodn. in semiconductor structure
US5843516A (en) * 1996-09-16 1998-12-01 Symetrix Corporation Liquid source formation of thin films using hexamethyl-disilazane
US5789027A (en) * 1996-11-12 1998-08-04 University Of Massachusetts Method of chemically depositing material onto a substrate
US5876503A (en) * 1996-11-27 1999-03-02 Advanced Technology Materials, Inc. Multiple vaporizer reagent supply system for chemical vapor deposition utilizing dissimilar precursor compositions
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5879209A (en) * 1997-08-13 1999-03-09 Brunswick Corporation Automatic trim control system for jet propelled watercraft
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6277436B1 (en) * 1997-11-26 2001-08-21 Advanced Technology Materials, Inc. Liquid delivery MOCVD process for deposition of high frequency dielectric materials
WO1999028529A1 (en) * 1997-12-02 1999-06-10 Gelest, Inc. Silicon based films formed from iodosilane precursors and method of making the same
US6214729B1 (en) * 1998-09-01 2001-04-10 Micron Technology, Inc. Metal complexes with chelating C-, N-donor ligands for forming metal-containing films
US6099903A (en) * 1999-05-19 2000-08-08 Research Foundation Of State University Of New York MOCVD processes using precursors based on organometalloid ligands
US6238734B1 (en) * 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6399208B1 (en) 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6537613B1 (en) * 2000-04-10 2003-03-25 Air Products And Chemicals, Inc. Process for metal metalloid oxides and nitrides with compositional gradients
KR100363088B1 (en) * 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
KR100467366B1 (en) * 2000-06-30 2005-01-24 주식회사 하이닉스반도체 A method for forming zirconium oxide film using atomic layer deposition
EP1184365A3 (en) * 2000-08-26 2003-08-06 Samsung Electronics Co., Ltd. Novel group IV metal precursors and chemical vapor deposition method using thereof
KR100693781B1 (en) 2000-10-25 2007-03-12 주식회사 하이닉스반도체 Method for forming silicate by using atomic layer deposition
KR100384558B1 (en) * 2001-02-22 2003-05-22 삼성전자주식회사 Method for forming dielectric layer and capacitor using thereof
US20020187664A1 (en) * 2001-06-06 2002-12-12 Murphy James V. Socket assembly for integrated circuit packages
US6642131B2 (en) * 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
US6669990B2 (en) * 2001-06-25 2003-12-30 Samsung Electronics Co., Ltd. Atomic layer deposition method using a novel group IV metal precursor
KR20030018134A (en) * 2001-08-27 2003-03-06 한국전자통신연구원 Method of forming an insulation layer of a semiconductor device for controlling the composition and the doping concentration
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6552209B1 (en) * 2002-06-24 2003-04-22 Air Products And Chemicals, Inc. Preparation of metal imino/amino complexes for metal oxide and metal nitride thin films
KR20050069986A (en) 2002-08-18 2005-07-05 에비자 테크놀로지, 인크. Low termperature deposition of silicon oxides and oxynitrieds
TW200408015A (en) * 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
TW200408323A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high k metal oxides
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002027063A2 (en) * 2000-09-28 2002-04-04 President And Fellows Of Harward College Vapor deposition of oxides, silicates and phosphates
WO2002079211A1 (en) * 2001-03-30 2002-10-10 Advanced Technology Materials, Inc. Metalloamide and aminosilane precursors for cvd formation of dielectric thin films
JP2003008005A (en) * 2001-06-21 2003-01-10 Matsushita Electric Ind Co Ltd Semiconductor device equipped with insulating film having high dielectric constant
WO2003019643A1 (en) * 2001-08-23 2003-03-06 Nec Corporation Semiconductor device having high-permittivity insulation film and production method therefor

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008075179A (en) * 2006-09-19 2008-04-03 Asm Japan Kk Method of cleaning uv irradiation chamber
JP2011166160A (en) * 2011-03-22 2011-08-25 Tokyo Electron Ltd Method of forming multilayer film
US10209613B2 (en) 2013-03-12 2019-02-19 Applied Materials, Inc. System and method for manufacturing planarized extreme ultraviolet lithography blank
US10788744B2 (en) 2013-03-12 2020-09-29 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
KR20150129781A (en) * 2013-03-14 2015-11-20 어플라이드 머티어리얼스, 인코포레이티드 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
JP2016517633A (en) * 2013-03-14 2016-06-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Deposition-deposited photoresist and manufacturing and lithography system therefor
KR102207228B1 (en) * 2013-03-14 2021-01-25 어플라이드 머티어리얼스, 인코포레이티드 Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor

Also Published As

Publication number Publication date
US20050233156A1 (en) 2005-10-20
EP1616042A2 (en) 2006-01-18
TW200506093A (en) 2005-02-16
WO2004105083A3 (en) 2005-02-17
US20050064207A1 (en) 2005-03-24
KR20060003895A (en) 2006-01-11
WO2004105083A2 (en) 2004-12-02
US7470470B2 (en) 2008-12-30

Similar Documents

Publication Publication Date Title
JP2007514293A (en) System and method for forming a multi-component dielectric film
US20060110930A1 (en) Direct liquid injection system and method for forming multi-component dielectric films
US20050255243A1 (en) System and method for forming multi-component dielectric films
JP2008502805A (en) System and method for forming a multi-component dielectric film
US7723245B2 (en) Method for manufacturing semiconductor device, and substrate processing apparatus
US6818517B1 (en) Methods of depositing two or more layers on a substrate in situ
JP5048476B2 (en) Method for forming insulating film or metal film
US7531467B2 (en) Manufacturing method of semiconductor device and substrate processing apparatus
TWI263695B (en) Atomic layer deposition of oxide film
JP5307513B2 (en) Preparation of metal-containing film by ALD method or CVD method
US20050153571A1 (en) Nitridation of high-k dielectric films
US20060228888A1 (en) Atomic layer deposition of high k metal silicates
WO2007019449A1 (en) In-situ atomic layer deposition
EP1523763A2 (en) Molecular layer deposition of thin films with mixed components
WO2005096362A1 (en) Method and apparatus for forming metal silicate film, and method for manufacturing semiconductor device
EP4253595A1 (en) Oxide film reaction surface control agent, method for forming oxide film by using same, and semiconductor substrate and semiconductor device manufactured therefrom
US20240191355A1 (en) Deposition Of Vanadium-Containing Films
KR20050020759A (en) Atomic layer deposition of multi-metallic precursors
CN118202084A (en) Masking agent for high dielectric constant film, selective area deposition method using the same, semiconductor substrate and semiconductor device manufactured thereby
CN118382628A (en) Homoleptic bismuth precursors for deposition of bismuth oxide-containing films

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070418

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100415

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100419

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100927