KR20060003895A - 다중-성분 유전체 막들을 형성하기 위한 시스템 및 방법 - Google Patents

다중-성분 유전체 막들을 형성하기 위한 시스템 및 방법 Download PDF

Info

Publication number
KR20060003895A
KR20060003895A KR1020057020087A KR20057020087A KR20060003895A KR 20060003895 A KR20060003895 A KR 20060003895A KR 1020057020087 A KR1020057020087 A KR 1020057020087A KR 20057020087 A KR20057020087 A KR 20057020087A KR 20060003895 A KR20060003895 A KR 20060003895A
Authority
KR
South Korea
Prior art keywords
precursors
deposition
film
process chamber
layer
Prior art date
Application number
KR1020057020087A
Other languages
English (en)
Inventor
요시히데 센자키
승 지. 박
Original Assignee
에비자 테크놀로지, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에비자 테크놀로지, 인크. filed Critical 에비자 테크놀로지, 인크.
Publication of KR20060003895A publication Critical patent/KR20060003895A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/029Graded interfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • H01L21/3142Deposition using atomic layer deposition techniques [ALD] of nano-laminates, e.g. alternating layers of Al203-Hf02
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2

Abstract

본 발명은, 기화된 프리커서들의 혼합물이 다중-성분 막 형성을 위해 원자 층 증착(ALD) 공정에서 단일 펄스 동안에 챔버 내에 함께 존재하는 방식으로, 상기 기화된 프리커서들을 혼합하기 위한 시스템들 및 방법들을 제공한다. 상기 기화된 프리커서들은 적어도 하나의 상이한 화학 성분으로 구성되고, 그러한 상이한 성분들은 다중-성분 막 생성을 위해 단층을 형성할 것이다. 본 발명의 다른 실시예에서, 조성 기울기를 갖는 유전체 막이 제공된다.

Description

다중-성분 유전체 막들을 형성하기 위한 시스템 및 방법 {SYSTEM AND METHOD FOR FORMING MULTI-COMPONENT DIELECTRIC FILMS}
본 출원은 "다중-성분 막들의 제조 방법"이란 명칭으로 2003년 4월 21일자로 출원된 미국 가출원 번호 60/464,458호; "제어된 두께 및 조성 기울기를 가지는 HfSiON의 ALD"란 명칭으로 2003년 11월 17일자로 출원된 미국 가출원 번호 60/520,964호; 및 "금속 또는 혼합된 금속 박막들의 원자층 증착"이란 명칭으로 2004년 4월 9일자로 출원된 미국 가출원 번호 60/560,952호의 우선권 및 장점을 청구하며, 상기 문헌들은 본 명세서에서 참조된다.
일반적으로, 본 발명은 반도체 분야에서 유전체막들을 형성하는 시스템 및 방법에 관한 것이다. 특히, 본 발명은 혼합된 기화(vaporized) 프리커서를 사용하여 기판상에 다중-성분 유전체막들을 제조하는 시스템 및 방법에 관한 것이다.
현재 마이크로일렉트로닉스의 소형화 추세로 인해 장치 및 드라이브의 복잡화가 증가하고 있으며, 집적회로 당 트랜지스터의 수는 지수함수적으로 증가되었고보다 빠르고, 작고 보다 우세한 전자 시스템에 대한 요구조건에 부합시키기 위한 성장은 지속될 것이다. 그러나, 통상적인 실리콘-기재 트랜지스터의 기하학구조가 실리콘 이산화물 게이트 유전체가 단지 소수의 원자층들의 두께가 되는 임계치에 도달함에 따라, 전자들의 터널링으로 인해 누설 전류가 보다 확산되고 전력 소모가 증가되고 있다. 따라서, 전류 터널링 또는 전류 누설을 방지할 수 있고 실리콘 이산화물보다 높은 유전상수 또는 투자율을 처리하는 선택적 유전체가 보다 요구된다. 특히 실리콘 이산화물을 대체하기 위한 가장 유망한 유전체 후보로는 하프늄 산화물, 지르코늄 산화물 및 탄탈 산화물이 포함된다.
불행히도, 이들 물질은 실리콘 이산화물과는 달리 실리콘상에서 화학적으로 열적으로 불안정하여, 금속 유전체 및 실리콘 기판 사이의 계면에서 결함 및 전하 트랩을 형성한다. 전하 트랩 및 결함은 게이트에 인가되는 전압을 흡수하여 트랜지스터의 성능 및 신뢰성을 저하시킨다. 계면의 전하 트랩 및 결함 형성을 방지하기 위해, 실리콘 이산화물의 계면층(interfacial layer)은 유전체와 실리콘 기판 사이에 증착된다. 실리콘 이산화물 계면는 유전체로부터 실리콘 기판을 보호하나(buffer), 실리콘 이산화물 계면는 유전체의 표면 특성과 호환되지 않는다. 따라서, 등가의 물리적 산화물 두께를 최소화시키면서, 유전체와 실리콘 기판의 표면 특성 및 화학작용을 개선시킬 수 있는 계면는 초박막의(ultra-thin) 높은 k 유전체 제조를 요구한다.
화학적 기상 증착(CVD)과 같이 막들을 제조하는 종래의 증착 기술들은 점차적으로 개선된 박막들의 요구조건을 충족시키는 불가능하다. CVD 공정은 개선된 스텝 커버리지를 갖는 컨포멀한 막들을 제공할 수는 있지만, CVD는 높은 공정 온도를 요구한다. 이를 테면, 높은 k 게이트 유전체를 제조에 있어 문제점중 하나는 CVD 공정 동안 계면 실리콘 이산화물층을 형성하는 것이다. CVD에서 가스 상 반응 은 미립자 발생을 유도한다. 또다른 문제점은 실리콘 기판상에 높은 k 게이트 유전체를 위한 초박막들 증착시 종래 기술의 CVD 공정 제한에 있다.
박막을 증착하기 위한 종래의 CVD 공정의 대안으로 원자층 증착(ALD)이 있다. ALD는 종래의 CVD를 능가하는 몇가지 장점이 있다. ALD는 낮은 온도를 선호하는 산업상 경향에 호환되어 비교적 낮은 온도에서 수행될 수 있고, 컨포멀한 박막층들을 형성할 수 있다. HfxSiyO2(x+y=1)막과 같은 다중-성분 막들을 제조하는 ALD 공정을 이용하는 현재의 방법은 순차적인 기상 증착 방법을 사용하여 HfO2 및 SiO2막의 적층막들을 증착하는 것이다. 즉, 프리커서 화학물(chemical)은 혼합되지 않고 대신 Hf 함유 프리커서 및 Si 함유 프리커서가 독립적으로 순차적으로 챔버에 공급되어 각각 HfO 및 SiO2의 적층 층을 형성한다. 사실상, 임의의 프리커서 혼합이 방지되며, 챔버는 제 2 프리커서를 공급하기 이전에 제 1 프리커서가 공급된다. 일단 적층막이 원하는 두께로 형성되며, 막 전체에 보다 연속적인 조성이 이루어지도록 막이 어닐링된다. 상이한 적층막들의 층들를 축적시키는 이러한 방법은 트랩을 고정시키기 위해 고온의 열적 어닐링을 요구하는 다수의 계면로 인해 다수의 전자 트랩을 야기시킨다. 부가적인 고온의 열적 어닐링 단계는 반도체 제조 비용 및 시간을 증가시키며, 또한 웨이퍼 상에 앞서 형성된 층들로부터 엘리먼트의 원치않는 이동을 야기시킬 수 있다. 또한, 적층 방법에서 다중-성분 막들의 화학량론적 조성을 제어하기 어렵다. HfSiOx 막들의 유전상수(k), 결정화 온도 및 굴절률은 종래의 하나의 화학물 프리커서의 순차적 공급 방법(상기 적층 방법)에 의해 쉽게 제어될 수 없다. 또한, 한번에 하나의 화학물 프리커서의 통상적인 순차적 공급(pulse) 및 정화를 이용하여 원하는 두께의 막을 형성하는데 필요한 사이클 시간은 미래의 IC 제조에 대해 상당히 많은 시간을 요구하며 비실용적이다.
혼합 프리커서를 사용하여 다중-성분 막들을 제조하는 방법은 종래의 CVD 방법을 제한한다. 예를 들면, 센자키등에 의한 U.S.특허 No. 6,537,613호 및 6,238,734호는 일반적으로 직접적인 액체 주입에 의해 금속 및 반금속 프리커서를 포함하며 조성 기울기를 발생시키는 시스템 및 방법을 개시한다. 직접적인 액체 주입(DLI)에서, 금속 및 반금속 프리커서는 서로 혼합되어, 증착 시스템 속에 혼합물을 주입하기 이전에 무용제 액체 혼합물을 형성한다.
그러나, 상기 '613호 및 '734호 특허에 개시된 방법과 관련하여 몇가지 단점이 있다. 특히, 주입되는 액체 혼합물에 단점이 있다. 액체 혼합물이 완전히 혼합되지 않는 경우, 불균일한 조성 및 기울기를 가지는 막이 기판상에 형성된다. 또한, 적절한 볼륨(volume)의 샘플이 제공되더라도, 각각의 프리커서가 독특한 끓는점, 기상 압력 및 휘발성을 가지기 때문에 상기 혼합물이 균일하게 기화될 수 없다. 또한, 프리커서들 사이에서의 끓는점의 불일치가 중요한 경우, 제 1 프리커서는 미립자 또는 오염물을 형성하는 제 2 끓는점에서 분해될 것이다. 일반적으로, 프리커서가 적절하게 혼합되지 않아 비균일한 막의 조성을 야기시키거나, 또는 두개의 기상(vapor)의 혼합이 가스 상에서의 예비-반응을 야기시켜, 기판상에 증착되는 미립자 또는 오염물의 형성을 야기시킬 수 있다.
따라서, 다중-성분 막들을 제조하는 보다 개선된 방법이 요구된다. 특히 ALD 공정을 사용하여 다중-성분 막들을 제조하는 방법이 요구된다. 또한, 다중-성분 막의 화학량론적 조성 또는 기울기의 제어가 용이한 방법을 제공하는 거이 바람직하다.
일반적으로, 본 발명은 기화된 프리커서들을 혼합하는 방법을 제공하며, 기화된 프리커서들의 혼합물은 다중-성분 막을 형성하기 위해 원자층 증착(ALD) 공정에서 단일의 공급 단계 동안 챔버에서 함께 제공된다. 기화된 프리커서들은 적어도 하나의 상이한 화학 성분을 포함하며, 이러한 상이한 성분들은 다중-성분 막을 형성하기 위해 단층(mono-layer)을 형성하다. 본 발명에서 이러한 방법을 "공동-주입(co-injection) ALD"라 칭한다. 이러한 방법은 종래 기술과 구별되는 것으로, 기화된 프리커서들은 하나의 성분만을 포함하는 개별 단층을 형성하기 위해 ALD 공정에서 챔버속에 개별적으로 공급된다.
본 발명의 일면은 기화된 프리커서들을 서로 혼합하고 프리커서들의 혼합물이 ALD 챔버에 존재하도록 기화된 프리커서를 인젝팅 또는 공동-주입함으로써 다중-성분 유전체막들을 제조하는 시스템 및 방법을 제공한다. 본 명세서에서 사용되는 "다중-성분(multi-component)"막은 하나 이상의 금속 또는 반금속 엘리먼트를 포함하는 막을 의미한다. 본 발명에 따라 제한되지는 않지만 금속, 금속 합금, 혼합된 금속 산화물, 실리케이트, 질화물, 옥시질화물, 및 이들의 혼합물을 포함하는 다중-성분 막들의 변형물이 형성될 수 있다.
본 발명의 일 실시예에서, 원자층 증착에 의해 기판 상에 박막을 형성하는 방법이 제공되며, 상기 방법은 ; 2 이상의 기화된 프리커서 - 상기 프리커서 각각은 적어도 하나의 상이한 화학물을 포함함 - 가 기판 표면상에 단층을 형성하도록 공정 챔버속에 함께 전달되고, 상기 단층이 개별 화학 성분 각각을 포함하는 것을 특징으로 한다. 일반적으로, 공동-주입(co-injecting)이란 용어는 적어도 상이한 화학 성분들을 가지는 2개 이상의 프리커서가, 다중 성분을 가지는 막을 형성하도록 챔버에 존재한다는 것을 의미한다. 이는 공정 챔버 속에 기상 또는 액체 상태(에어로졸)의 프리커서들을 주입 또는 전달함으로써, 또는 공정 챔버에서 프리커서들을 혼합함으로써 달성될 수 있다. 공정 챔버 속으로의 주입 이전에 프리커서들을 혼합하는 것이 바람직하지만, 요구되는 것은 아니다.
본 발명이 일면에서, 다중-성분 막들을 형성하는 시스템이 제공된다. 일 실시예에서, 시스템은 하나 이상의 기화기를 포함하며, 각각의 기화기(vaporizer)는 매니폴드에 결합된다. 매니폴드는 기화기에 의해 발생되는 기화된 프리커서들을 혼합하도록 구성된다. 매니폴드는 인입구(inlet)와 반응 또는 증착 챔버를 결합시키며 혼합된 프리커서들은 인입구를 통해 챔버속으로 주입된다. 일 실시예에서, 인입구는 샤워헤드 주입기와 같은 주입기를 포함한다. 프리커서들은 주입기에서 혼합될 수 있으나, 매니폴드에서는 혼합되지 않는다.
본 발명의 또다른 면에서, 시스템 및 방법은 조성 기울기를 포함하는 다중-성분 막 형성을 위해 제공된다. 일 실시예에서, 다중-성분 막을 형성하는 방법이 제공되며, 상기 방법은 2개 이상의 기화된 프리커서 - 상기 프리커서들 각각은 적어도 하나의 상이한 화학 성분을 포함함 - 가 공정 챔버에 함께 주입되어 기판의 표면상에 단층을 형성하며, 챔버 속에 주입된 기화된 프리커서 각각의 가스 유량은 하나 이상의 상이한 화학 성분의 원하는 조성 기울기가 막내에 형성되도록 선택적으로 제어되는 것을 특징으로 한다.
본 발명의 또다른 면에서, 조성 기울기를 가지는 유전체막은, 실리콘-리치 하부층, 질소-리치 상부층, 및 상기 상부 및 하부층들 사이에 적어도 하나의 하프늄-풍부층을 포함한다. 일 실시예에서, 질소는 붕소 확산을 방지하기 위해 실리콘 기판-유전체 계면 부근 또는 상부에 선택적으로 증착된다. 또다른 실시예에서, 유전체의 등가적 물리적 산화물 두께 및 예를 들어, 높은 트랩 밀도를 유도하는 실리콘과 질화물 유전체 사이의 계면의 품질에 부담을 주지 않고 붕소 확산을 방지하는 시스템 및 방법을 제공하는 것이 바람직하다. 일 실시예에서, 조성 기울기는 유전체 및 기판을 "보호(buffer)" 하는데 사용된다. 예를 들어, 기판이 실리콘인 경우, 제 1 층은 실리콘은 풍부하며 유전체를 구성하는 제 2 증착 금속은 적은 양으로 증착된다. 제 1 층 위에, 주로 유전체를 구성하는 증착 금속을 포함하는 제 2 층이 실질적으로 적은 양의 실리콘이 부가되어 증착된다. 소정 실시예에서, 추가층은 표면 성질 및 인접한 층들의 화학작용이 혼합되도록 부가될 수 있다. 다양한 실시예에서, 각각의 층들은 산화되고, 환원되고, 질화 처리되거나, 또는 인-시튜로 이들이 조합될 수 있다.
또한, 본 발명은 다중-성분 옥시질화물막들을 제조하는 시스템 및 방법을 제공하며, 다중-성분막은 상기 설명된 방법에 의해 형성되고 상기 막은 상승된 온도에서 오존, 산소, 과산화물, 물, 공기, 아산화 질소, 산화질소, N-산화물, 또는 이들을 혼합물로 이루어진 그룹에서 선택된 산화 반응물로 산화된다. 특정 실시예에서, 산화 단계는 인-시튜로 수행될 수 있다. 산화에 이어서, 여기된 질소 소스가 공정 챔버로 순차적으로 전달되고 옥시질화물을 형성하기 위해 상승된 온도에서 산화된 층과 반응한다. 다시, 이러한 단계는 인시튜로 수행된다.
바람직한 실시예에서, 본 발명은 챔버속에서 질화 반응물을 포함하는 프리커서들를 혼합하고 상대적으로 낮은 온도에서 ALD 공정을 수행함으로써 다중-성분 옥시질화물막들을 제조하는 시스템 및 방법을 제공한다. 적절한 질화제(nitridating agent)는 암모니아, 중수소 암모니아(deuterated ammonia), 15N-암모니아, 아민 또는 아미드, 히드라진, 알킬 히드라진, 질소 가스, 아산화질소, 산화 질소, 질소 라디칼, N-산화물, 또는 이들의 조합으로 이루어진 그룹에서 선택될 수 있다.
본 발명의 상세한 설명 및 하기 도면을 참조로 제공되는 첨부된 청구항들을 참조로 본 발명의 다양한 실시예 및 장점이 이해될 수 있을 것이다.
도 1은 본 발명의 일실시예에 따른 다중-성분, 다층막을 제조하는 시스템의 개략도이다;
도 2는 본 발명의 시스템 및 방법을 이용하여 형성된 높은 k 유전체 게이트 재료의 단면도이다;
도 3은 본 발명의 일 실시예에 따른 조성 기울기 막의 제조 방법을 설명하는 흐름도이다;
도 4는 막 조성과 증착 프리커서 가스 유량 사이의 관계식을 나타내는 도면이다. 특정 실시예에서, 하프늄-실리콘 막의 증착 및 조성은 하프늄 및 실리콘 증착 가스 유량를 제어함으로써 변조될 수 있다.
도 5는 본 발명의 시스템 및 방법에 의해 제조되는 다양한 HfSiO 막들의 원자 조성 분석 결과를 나타내는 표이다. 상기 결과는 주어진 하프늄 및 실리콘 함량 농도에 대한, 산소 원자 대 하프늄 및 실리콘 원자의 비가 대략 2라는 것을 나타낸다. 이러한 결과는 본 발명의 시스템 및 방법에 의해 제조된 HfSiO 막들이, 특정 범위에 대해 HfxSi1 - xO2의 구조식을 가지는 막을 산출한다는 것을 나타낸다. 탄소, 수소 및 질소의 퍼센테이지는 단지 트레이스(trace) 양에서만 발견된다.
도 6a 및 6b는 본 발명의 시스템 및 방법에 의해 형성된 Hf0 .5Si0 .5O2의 구조식을 가지는 막의 X-레이 광전자 분광기(XPS) 스펙트럼을 나타낸다. 특히, 도 6a는 막에서 발견된 하프늄의 4f 영역의 XPS 스펙트럼을 강조한다. 도 6b는 막에서 발견된 실리콘의 2p 영역의 XPS 스펙트럼을 강조한다. 이들 스펙트럼은 불순물이 매우 적거나 없는 것을 볼 수 있다.
도 7은 Hf/(Hf+Si) 비율의 함수로서 측정된 실리콘 웨이퍼상의 다양한 50nm 두께의 HfxSi1 - xO2 막들에 대한 굴절률을 나타낸다. 그래프는 에즈-증착 및 포스트-증착 어닐링 막들에 대한 굴절률을 비교한다.
도 8은 증착 온도와 관련하여, 오존을 이용한 하프늄-실리콘막들의 산화로부터 야기되는 HfxSi1 - xO2 막들에 대한 증착 비율의 변화를 나타낸다.
도 9a-9c는 HF 라스트(last) 처리된 실리콘 기판상에 400℃에서 증착된 Hf0.58Si0.42O2 막들의 다양한 TEM 단면 이미지를 나타낸다. 도 9a, 9b 및 9c는 각각 2.3nm, 4.3nm 및 6.5nm의 유전체 두께를 가지는 Hf0 .58Si0 .42O2 막들의 TEM 이미지를 나타낸다. 각각의 경우, 계면 두께는 약 1nm로 측정된다.
도 10은 N2에서 700℃에서의 어닐링 이후 폴리실리콘 캡층을 갖는 Hf0.58Si0.42O2 막들의 TEM 이미지 단면도이다.
도 11은 HF-라스트 실리콘 웨이퍼 상에 다양한 HfxSi1 - xO2 막들에 대한 하프늄 함량의 함수로서 캐패시턴스 등가 두께(CET : capacitance equivalent thickness) 및 누설 전류 밀도 측정치를 나타낸다.
도 12는 50nm 두께의 Hf0 .34Si0 .66O2 막에 대해 온도의 함수로서 막 인장력 측정치를 나타낸다.
도 13은 포스트-증착 어닐링 단계에서 암모니아와 질화된 HfSiO 막에 대한 질소 1s 및 하프늄 4p3 /2 영역에 대한 X-레이 광전자 분광기(XPS) 스펙트럼을 나타낸다. HfSiO와 관련하여, 다양한 사출각(TOA: take-off angles)에서 HfSiON 막의 XPS 스펙트럼은 막내의 질소의 존재를 나타낸다.
도 14는 증착 온도의 함수로서, 오존과 하프늄 디알킬 아민의 산화로부터 발생된 HfO2 의 증착비 그래프이다.
도 15는 본 발명의 공동-주입 시스템 및 방법에 의해 형성된 조성 기울기를 가지는 박막의 단면도이다. 도 15는 순차적으로 그리고 인시튜로 제조된, HfSiOx, HfO2 및 HfOxNy 또는 HfSiON 층들을 포함하는 박막들을 나타낸다.
도 16a 및 16b는 본 발명의 금속, 금속 합금을 발생시키거나 또는 금속 옥시질화물을 혼합하는 2개의 상이한 방법을 나타내는 반응법을 나타낸다. 도 16a는 옥시질화물을 발생시키기 위한 상대적인 고온 공정을 나타내며, 산화 단계는 질화 단계를 선행한다. 반면, 도 16b에서, 산화 단계는 상대적으로 낮은 온도하에서 막이 질화처리 될 때까지 연기된다.
도 17은 전형적인 옥시질화물막의 표면 아래의 조성 프로파일을 나타낸다. 질소 농도는 막내의 표면상에서 가장 크고, HfO2층이 도달될 때까지 표면 아래로 점차 감소된다. 막 속으로의 추가적인 침투로, HfO2의 농도는 실리콘 기판의 계면층에 도달될 때까지, HfSiOx로부터 감소된다.
일반적으로, 본 발명은 기판 표면상에 다수의 화학적 화합물을 가지는 단층을 형성하기 위해 기화된 프리커서 혼합물이 원자층 증착(ALD) 공정에서 단일 공급 단계 동안 챔버에서 존재하도록 기화된 프리커서들을 혼합하는 방법을 제공한다. 기화된 프리커서들은 상이한 화학 성분들을 포함하며, 이러한 성분들이 다중-성분 막을 형성한다. 본 발명은 이러한 방법을 "공동-주입 ALD"라 칭한다. 이러한 방법은 종래 기술과 구별되며, 기화된 프리커서들은 ALD 공정시 챔버속으로 개별적으로 전달 또는 공급된다. 본 발명에 따라 제한되지는 않지만 금속, 금속 합금, 혼 합된 금속 산화물, 실리케이트, 질화물, 옥시질화물, 및 이들의 혼합물을 포함하는 다중-성분 막들의 변형물이 형성될 수 있다.
본 발명의 일면에서, 다중-성분 막의 화학량론적 조성을 재현성있게 실질적으로 균일하게 제어하는 시스템 및 방법이 제공된다.
일련의 실시예에서, 본 발명은 전류 터널링 또는 전류 누설을 방지할 수 있고 실리콘 이산화물보다 높은 유전상수 또는 투자율을 처리하는 유전체를 제조하는 시스템 및 방법을 제공한다. 본 발명의 또다른 면에서는, 유전체 및 실리콘 표면의 표면 성질 및 화학작용을 개선시킬 수 있는 계면를 제조하는 시스템 및 방법을 제공한다.
따라서, 본 발명의 소정 실시예 및 면들에서, 본 발명은 붕소 확산을 방지하고 높은 k 층들의 결정화 온도를 증가시키기 위해 실리콘 기판-유전체 계면 부근 또는 위에서 선택적으로 질소를 증착하는 시스템 및 방법을 제공한다. 또다른 실시예에서, 유전체의 등가적 물리적 산화물 두께 및 예를 들어, 높은 트랩 밀도를 유도하는 실리콘과 질화물 유전체 사이의 계면의 품질에 부담을 주지 않고 붕소 확산을 방지하는 시스템 및 방법을 바람직하게 제공한다.
본 발명의 전형적인 실시예에서, 막들의 저온 질화를 수행하는 시스템 및 방법이 바람직하게 제공되며; 본 발명의 또다른 면에서, 본 발명은 질소 반응물을 순차적으로, 인시튜로 전달하고 외부 플라즈마 소스에 대한 조건을 소거하고 보다 적은 공정 단계 및 시간으로 유용한 시스템 및 방법을 제공한다.
다른 실시예에서 본 발명은 다중-성분 막들을 형성하기 위한 시스템을 제공 한다. 일 실시예에서, 상기 시스템은 일반적으로 하나 이상의 기화기들을 포함하고, 각각의 기화기는 매니폴드(manifold)에 결합된다. 상기 매니폴드는 반응 챔버 또는 증착 챔버로의 입구에 결합되고, 상기 입구는 샤워헤드 주입기 등과 같은 주입기로 구성된다.
각각의 기화기는 적어도 하나의 증착 금속을 포함하는 단일 증착 프리커서를 포함한다. 각각의 기화기는 유량 제어기와 온도 제어 유닛에 접속된다. 상기 유량 제어기와 온도 제어 유닛은 공정 챔버에 존재하는 증착 프리커서들의 농도를 조절하도록 선택적으로 제어될 수 있다. 일 실시예에서, 각각의 유량 제어기는 시스템을 통한 전달 가스의 흐름을 조절하고, 순차적으로 상기 전달 가스가 희석되어 상기 매니폴드 또는 공정 챔버로 증착 프리커서를 전달한다.
몇몇 일련의 실시예들에서, 상기 기화기는 적어도 하나의 증착 금속을 포함하는 단일 증착 프리커서를 기화시키는 발포기(bubbler)이다. 상기 전달 가스를 포함하는 압축 가스는 증착 프리커서로 발포된다. 압축 가스의 유속은 공정 챔버에 존재하는 증착 프리커서의 농도를 조정하도록 선택적으로 제어될 수 있다.
일 실시예에서, 매니폴드는 공정 챔버로 전달 이전에 증착 프리커서들의 혼합을 용이하게 한다. 몇몇 실시예들에서, 상기 매니폴드는 공정 챔버로의 전달 이전에 증착 프리커서들을 수용 및 혼합하는 T-접합부 캐비티를 포함한다. 상기 매니폴드는 매니폴드의 응축을 방지하기 위해 공정 챔버로의 증착 프리커서들의 흐름을 용이하게 하도록 가열될 수 있다.
증착 프리커서는 통상 가스 입구를 통해 공정 챔버로 전달되고, 증착 프리커 서의 단층은 표면 또는 기판상에서 화학적 및/또는 물리적으로 흡수된다. 기판은 실리콘, 금속, 금속 합금, 유리 또는 중합체, 플라스틱, 유기 또는 무기 작업 피이스들일 수 있다. 가스 입구는 다양한 형태들을 가질 수 있다. 일 예에서 가스 입구는 샤워헤드 주입기 등과 같은 주입기로 구성된다. 선택적으로, 증착 프리커서는 다수의 주입기들에 의해 기판 표면으로 전달된다.
일반적으로, 상기 기판은 단일 웨이퍼 챔버가 사용될 때 증착 동안 정전 또는 진공 척과 같은 웨이퍼 운반 상에 지지된다. 일 실시예에서, 상기 척은 전도, 대류, 복사성 또는 비-복사성 프로세스들, 또는 그 혼합물에 의해 기판을 냉각 또는 가열시킬 수 있다. 선택적으로, 웨이퍼 운반은 배치 처리를 위해 다수의 기판들을 지지하는 보트(boat) 또는 카세트일 수 있다.
입구 포트는 단층 또는 기판 표면의 순차적 산화, 환원 또는 질화를 촉진시키기 위해 인-시튜로 산화, 환원 또는 질화 반응물질들을 공정 챔버에 선택적으로 제공한다.
본 발명의 다른 실시예에서, 조성 경도(composition gradient)를 갖는 유전체 막은 실리콘-리치 하부층, 질소-리치 상부층, 및 상기 상부층 및 하부층 사이의 적어도 하나의 하프늄-리치 층을 포함하도록 제공된다. 일 실시예에서, 질소는 붕소 확산을 방지하도록 실리콘 기판-유전체 계면 근처 또는 상부에 선택적으로 증착된다. 추가적인 실시예들에서, 유전체의 동일한 물리적 산화물 두께, 및 실리콘과 질화 유전체 사이의 계면의 품질에 부담을 주지 않으면서 붕소 확산을 방지함으로써 예를 들어, 더 높은 트랩 밀도들을 유도하기 위한 시스템 및 방법들을 제공하는 것이 바람직하다.
본 발명은 다중-성분 옥시니트라이드 막들을 제조하기 위한 시스템 및 방법을 추가 제공하며, 상기 다중-성분 막은 상술한 방법에 의해 형성된 다음, 오존, 산소, 과산화물들, 물, 공기, 아산화질소, 일산화질소, H2O2, N-산화물들, 또는 그 혼합물들로 구성되는 그룹에서 선택되는 산화 반응물질로 상승된 온도들에서 상기 막이 산화된다. 특히 바람직하게는, 상기 산화 단계는 인-시튜로 수행될 수 있다. 산화 이후에, 여기된 질소 입자는 순차적으로 공정 챔버로 전달되어 옥시니트라이드를 형성하도록 상승된 온도들에서 산화층과의 반응을 허용한다. 이러한 단계도 인-시튜로 수행된다.
본 발명은 공정 챔버에 질화 반응물질을 함유하는 프리커서들을 혼합시키고 상대적으로 낮은 온도들에서 ALD 처리를 수행함으로써 다중 성분 옥시니트라이드 막들을 제조하기 위한 시스템 및 방법을 제공한다. 적절한 질화제들은 암모니아, 중수소화 암모니아, 15N-암모니아, 아민 또는 아미드, 히드라진, 알킬 히드라진, 질소 가스, 일산화질소, 아산화질소, 질소 라디칼들, N-산화물들, 원자 질소 또는 그 혼합물들로 구성되는 그룹에서 선택될 수 있다.
특히 바람직하게는, 본 발명의 다중 성분 막은 조성 경도로 형성된다. 조성 경도는 유전체와 기판을 "완충(buffer)"시키는데 사용될 수 있다. 예를 들어, 상기 기판이 실리콘이면, 제 1 층은 다량 실리콘 및 유전체를 구성하는 더 적은 양의 제 2 증착 금속에 증착된다. 제 1 층 상부에, 유전체를 구성하는 증착 금속을 대 부분 포함하는 제 2 층이 실질적으로 더 적은 양의 실리콘과 더불어 증착된다. 몇몇 실시예들에서, 부가층들은 표면 특성들과 인접 층들의 화학 특성들을 조합시키도록 부가될 수 있다. 다양한 실시예들에서, 각각의 층은 산화, 환원, 질화 또는 인-시튜의 조합될 수 있다. 조성 경도는 또한 막들의 고유한 광학 특성들을 제공하는 막들의 굴절률 변화도를 제공한다.
도 1은 본 발명의 일 실시예에 따른 다중 성분 막을 제조하기 위한 시스템의 일 실시예를 도시하는 간략화된 개념도이다. 도 1을 참조로, 일반적으로 시스템(100)은 웨이퍼 또는 기판(112)을 지지하기 위한 웨이퍼 지지부(110)를 하우징하는 공정 챔버(102)를 포함한다. 가스 입구(114)는 기판의 표면 상에 다양한 층들 또는 막들을 형성하도록 증착 프리커서들과 다른 가스들(103)(예, 산화 가스들 등과 같은 반응 가스들, 또는 희석 가스들)을 챔버(102)로 전달하기 위해 제공된다. 도시된 실시예에서, 가스 매니폴드(104)는 공정 챔버(102)로의 하나 이상의 기화기들(107, 109)과 상호접속된다. 도시된 실시예는 2개의 기화기들을 도시하지만 임의의 개수의 기화기들이 사용될 수 있다. 각각의 기화기는 증착 프리커서 또는 증착 프리커서들(124, 126)의 혼합물을 각각 수용하기 위한 저장소(116, 118), 및 저장소들(116, 18)의 내용물들으 기화시키는 것을 돕도록 전달 가스가 흐르는 기화기 엘리먼트(120, 122)를 포함한다. 기화기들로의 전달 가스 흐름은 기화되는 증착 프리커서들의 비율 및 농도를 조절하는 유량 제어기(미도시)를 이용하여 조절될 수 있다. 선택적으로, 각각의 기화기에는 저장소들(116, 118)에 유지되는 증착 프리커서들(124, 126)의 기화를 용이하게 하는 가열 엘리먼트(미도시)가 구비될 수 있 다. 증착 프리커서들(124, 126)의 물리적 특성들에 따라, 전달 가스와 가열의 조합은 저장소들(116, 118)의 증착 프리커서들을 기화시키는데 요구될 수 있다.
본 발명의 일 실시예에서, 적어도 하나의 증착 금속을 포함하여 사용되는 증착 프리커서들은 다음의 식을 갖는다:
M(L)X
여기서, M은 Ti, Zr, Hf, Ta, W, Mo, Ni, Si, Cr, Y, La, C, Nb, Zn, Fe, Cu, Al, Sn, Ce, Pr, Sm, Eu, Tb, Dy, Ho, Er, Tm, Yb, Lu, Ga, In, Ru, Mn, Sr, Ba, Ca, V, Co, Os, Rh, Ir, Pd, Pt, Bi, Sn, Pb, Tl, Ge 또는 그 혼합물들로 구성되는 그룹에서 선택되는 금속이며, L은 아민, 아미드, 알콕사이드, 할로겐, 하이드라이드, 알킬, 아지드, 질산염, 아질산염, 사이클로펜타디에릴, 카보닐, 카복시레이트, 디케토네이트, 알켄, 알킨, 또는 그 대체 유사물들, 및 그 조합물들로 구성되는 그룹에서 선택되는 리간드이고, x는 M에 대한 원자가 수 이하인 정수이다.
각각의 프리커서들이 가스 형태로 혼합될 대 발생하는 리간드 교환을 방지하도록 증착 프리커서들 각각에서 리간드들(L)을 동일하게 선택하는 것이 바람직하다. 리간드 교환은 증착 막의 품질에 악영향을 미칠 수 있는 입자들의 형태를 유도할 수 있다. 가스 형태의 리간드 교환을 갖는 않는 리간드들 또한 적합할 수 있다.
일 실시예에서, 2개의 증착 프리커서들이 선택되며, 제 1 증착 프리커서에서, M은 하프늄이고 제 2 증착 프리커서에서 M은 실리콘이다. 제 1 및 제 2 증착 프리커서는 이들이 혼합될 때 발생되는 리간드 교환을 방지하도록 동일한 리간드들(L)을 갖는다. 적절한 리간드들은 이에 제한됨이 없이 디메틸아민, 디에틸아민, 디에틸 메틸 아민 또는 테르트부톡사이드(tertbutoxide)를 포함한다.
하프늄 소스는 하프늄 디알킬 아미드, 하프늄 알콕사이드, 하프늄 디케토네이트, 하프늄 클로라이드(HfCl4), 테트아키스(에틸메틸아미노) 하프늄(TEMA-Hf) 등의 임의의 하나 또는 조합물을 포함할 수 있다. 실리콘 소스는 아미노실란, 실리콘 알콕사이드, 실리콘 디알킬 아미드, 실란, 실리콘 클로라이드, 테트라메틸디실록산(TMDSO), 테트라키스(에틸메틸아미노) 실리콘(TEMA-Si) 등을 포함할 수 있다. 바람직한 일 실시예에서, 액체 프리커서들(124, 126)은 TEMA-Hf 및 TEMASi로 각각 구성된다.
증착 프리커서들은 통상 기화기에 의해 기화된다. 각각의 기화기는 단일 증착 프리커서를 보유한다. 각각의 기화기는 유량 제어기 및 가열 메커니즘에 접속된다. 본 발명의 일 실시예에 따라 상술한 바와 같이, 증착 막에서 하나 이상의 화학적 성분들의 조성 경도가 제공된다. 일 예에서, 조성의 선택적 제어는 기화되는 프리커서의 양을 제어함으로써 달성된다. 기화되는 프리커서의 양은 일반적으로 목표되는 농도의 선택된 프리커서(들)을 기화시키기 위해 가열되는 온도 및/또는 유량 제어기를 조절함으로써 일반적으로 제어된다. 부가적으로 또는 선택적으로, 희석 가스는 주입기(114) 또는 매니폴드(104)(미도시)로 전달될 수 있으며, 희석 가스의 유속은 챔버(102)로 전달되는 증착 프리커서의 양을 희석시키도록 선택적으로 제어될 수 있다.
기화기는 적어도 하나의 증착 금속을 포함하는 증착 프리커서를 기화시키는 발포기로 구성될 수 있다. 기화기가 발포기인 경우, 전달 가스와 같은 압축 가스는 증착 프리커서 저장소(116, 118)로 발포된다. 유용한 전달 가스들은 질소, 아르곤, 또는 헬륨 가스를 포함한다. 압축 가스는 증착 프리커서들을 희석시켜서 각각의 증착 프리커서 도관들(106, 108)로 전달하며 증착 프리커서들의 혼합을 용이하게 한다. 선택적으로, 막의 조성 경도를 제공하기 위해, 하나 이상의 증착 프리커서들의 농도는 기화되는 증착 프리커서의 양이 선택적으로 증감되도록 발포기의 온도를 가변시킴으로써 제어되어 동작될 수 있다. 온도 제어는 유량 제어기의 제어 및/또는 전달 가스의 유속과 별개로 또는 조합하여 수행될 수 있다. 따라서, 다양한 각각의 제어 메커니즘이 독립적으로, 또는 다양한 조합들로 사용될 수 있다.
다른 실시예들에서, 증착 프리커서들의 특성 때문에, 증착 프리커서들은 광분해 또는 효소나 화학적 촉매들에 의해 저장소(107, 109)에서 휘발될 수 있다.
도 1을 다시 참조하면, 증착 프리커서들(124, 126)이 기화된 이후, 증착 프리커서들(124, 126)은 증착 프리커서 도관들(106, 108)을 통해 매니폴드(104)로 전달된다. 증착 프리커서 도관들(106, 108)은 임의의 형상, 크기 및 길이일 수 있다. 도관들(106, 108)은 금속, 플라스틱, 중합체, 또는 합금으로 제조될 수 있다. 통상적으로, 도관들은 매니폴드(104)와 동일한 물질로 이루어질 수 있다. 매니폴드(104)와 유사하게, 도관들(106, 108)은 기화를 촉진시키도록 절연 또는 가열될 수 있다. 선택적으로, 도관들(106, 108) 및 매니폴드(104)는 기화 가스 농도 및 조성을 분광 또는 분광계측적으로 측정하기 위한 샘플링 영역을 포함한다.
프리커서들의 혼합은 중력 또는 압축 가스에 의해 촉진될 수 있다. 혼합은 또한 도관들(106, 108)을 통해 프리커서들(124, 126)을 매니폴드(104)로 가압 주입시키는 플런지와 같은 물리적 수단에 의해 달성될 수 있으며, 여기서 프리커서들(124, 126)은 균질성 증착 혼합물로 혼합될 수 있다. 몇몇 실시예들에서, 도관들(106, 108)은 매니폴드(104)의 T-접합부(130)에서 모여서 종결되며, 프리커서들(124, 126)은 공정 챔버(102)로 전달 이전에 혼합된다.
선택적으로, 도관들(106, 108)은 혼합 영역 또는 챔버(102) 근처의 캐비티 또는 입구로 직접 각각의 프리커서들을 모아서 전달할 수 있다. 몇몇 실시예들에서, 필터는 불필요하거나 분리된 입자 불순물들 및 가스들을 제거하도록 매니폴드(104)에 삽입 또는 부착될 수 있다.
선택적으로, 매니폴드(104) 및 도관들(106, 108)을 다시 참조하면, 내부에 내장되거나 외부에 위치된 가열 또는 냉각 엘리먼트는 혼합을 조절하는데 사용될 수 있으며 막들의 입자 및 불순물 형성을 최소화할 수 있다.
매니폴드(104)는 프리커서들이 챔버(102)로 전달되기 이전에 프리커서들의 혼합에 적합한 많은 형태들을 취할 수 있다. 매니폴드(104)는 T-접합부(130)와 같은 접합부를 통해 기화기들에 결합된 단일 도관일 수 있다. 매니폴드(104)는 혼합되는 프리커서들을 위한 몇몇 체류 시간을 제공하도록 캐비티 또는 저장소를 포함할 수 있다. 선택적 실시예에서, 매니폴드는 함께 제거될 수 있으며, 증착 프리커서들은 가스 입구(114)로 직접 전달되어 이들이 챔버(102)로 전달됨에 따라 가스 입구(114)(예, 가스 입구가 주입기로 구성되는 경우)에서 혼합된다.
도 1을 참조하면, 프리커서들(124, 126)이 기화되면, 증착 프리커서들(124, 126)은 하나 이상의 가스 입구(114)들을 통해 챔버(102)로 전달된다. 가스 입구는 챔버로 가스들을 전달하기 위한 다양한 형태들을 취할 수 있다. 일 실시예에서, 가스 입구는 샤워헤드와 같은 주입기로 구성된다. 도시된 실시예는 하나의 가스 입구(114)를 갖는 단일 웨이퍼 챔버를 나타내지만, 본 발명은 배치 공정 챔버와 함께 사용되거나 소형-배치 챔버와 함께 사용될 수 있다. 배치 또는 소형 챔버에서, 다수의 가스 입구들이 사용되고 가스들은 통상 병렬 또는 교차-플로우 방식으로 각각의 기판 상부로 전달된다. 소형 배치 챔버의 예들은 PCT 출원 시리얼 번호 PCT/US03/21575의 "Thermal Processing System and Configurable Vertical Chamber"에 개시되며, 그 명세서는 참조로 본 발명에 포함된다.
프리커서들(124, 126)을 포함하는 증착 혼합물의 층은 기판(112)상에 증착된다. 적절한 기판들은 금속, 금속 합금, 유리, 중합체, 플라스틱, 유기 또는 무기 작업 피이스들을 포함한다. 증착 모드에 따라, 증착 혼합물의 단층 또는 단층들이 기판(112)상에 형성된다. 증착을 위한 바람직한 방법은 원자 층 증착이다. 그러나, 본 발명의 시스템 및 방법은 화학적 기상 증착과 같은 다른 증착 기술들로 변형될 수 있다. 또한, 목표되는 막들을 제공하도록 공정 챔버(102)에서 조정가능한 다수의 주입기들을 사용하는 샤워헤드를 포함하는 것은 본 발명의 범주내에 있다.
도 1을 다시 참조하면, 증착 혼합물의 증착 이후, 시스템 압력, 가스 흐름을 제어하는 진공 펌프에 접속된 배출 포트를 통해 과도 혼합물은 시스템 외부로 정화 되고 각각의 증착 처리 후 공정 챔버(102)의 신속한 정화를 보장한다. 웨이퍼 운반(110)은 증착 또는 어닐링 단계 동안 기판을 지지 및 가열하는데 사용된다. 웨이퍼 운반는 통상 그 내부에 형성된 가열 및 냉각 엘리먼트들을 포함한다. 외부 가열기(미도시)는 또한 공정 챔버의 온도를 제어하는데 사용될 수도 있다. 바람직하게는, 웨이퍼 운반(110)은 진공 또는 정전 척이다.
프로세스 챔버(102)는 프로세스에 사용되거나 챔버의 세정에 사용되는 다른 가스들을 스위칭 가능하게 그리고 순차적으로 공급할 수 있는 입구(inlet)(103)를 구비한다. 반응 가스(reactant gas)는 입구(103)를 경유하여 챔버 내로 전달될 수 있다. 적절한 반응 가스는 산화 가스, 환원 가스, 질화 가스 또는 그 혼합물을 포함한다. 입구(103)를 통해 전달될 수 있는 다른 가스는 전달 또는 불활성 가스 또는 그 혼합물을 포함한다.
하나의 바람직한 실시예에서, 기상 증착 프리커서(vaporized deposition precursor)들은 보다 균일한 막을 제공하고 막의 조성이 최대로 제어될 수 있도록 하기 위하여 반응 챔버 내로 도입되기에 앞서 매니폴드에서 혼합된다. 그러나, 각각의 기화된 프리커서를 주입기(injector)와 같은 가스 입구에 별도로 전달하는 것도 가능하고, 여기서 가스 입구는 가스들이 챔버 내로 주입될 때 가스들을 혼합하여 별개의 매니폴드에 대한 필요성을 제거한다. 여러 가지 물리적 실시예들이 본 발명의 개념의 관점에서 적절하고, 본 발명은 하나의 물리적 구성에 제한되지 않는다. 본 발명의 개념은 상이한 화학적 성분들을 갖는 프리커서들의 혼합물이 하나의 단층에 다수의 성분들을 갖는 막을 형성하기 위하여 반응 챔버에 존재하도록 적 어도 소정의 여러 상이한 프리커서들의 혼합이 일어남을 제공한다.
반응 가스는 입구(103)를 통하여 프로세스 챔버(102) 내로 도입되고, 기판(112) 표면 상에 증착 혼합물을 포함하는 단층과 교섭하여 반응한다. 반응 가스는 가스 입구(114)에서 증착 프리커서들과 순차적으로 또는 동시에 혼합되어 또는 프로세스 챔버(102) 내로 직접 공급될 수 있다.
여러 다양한 반응 가스들이 응용예에 따라 사용될 수 있다. 반응 가스가 산화 가스라면, 단층은 산화된다. 만약 반응 가스가 환원 가스라면, 단층은 환원된다. 유사하게, 만약 반응 가스가 질화 가스라면, 단층은 질화된다. 적절한 산화 가스는 오존, 산소, 일중항 산소(singlet oxygen), 삼중항 산소(triplet oxygen), 물, 페로옥사이드, 공기, 니트러스 옥사이드, 니트릭 옥사이드, H2O2 및 그 혼합물이다. 적절한 환원 가스는 수소를 포함한다. 적절한 질화 가스는 암모니아, 중수소화 암모니아, 15N-암모니아, 하이드라진, 알킬 하이드라진, 니트로젠 옥사이드, 니트러스 옥사이드, 질소 래디컬, 니트릭 옥사이드, N-옥사이드, 아미드, 아민, 또는 그 혼합물을 포함한다. 다른 실시예에서, 증착 프리커서가 기판(112) 상에 증착된 이후, 기판(112)은 기판(112) 상의 단층을 질화, 산화, 환원 또는 어닐링할 수 있는 제 2 프로세싱 유닛으로 진공에서 전달될 수 있다.
일 실시예에서, ALD에 의해 HfSiN을 포함하는 다중 성분 막을 형성하기 위하여, 증착 프리커서 TEMA-Hf 및 TEMA-Si는 기화되고, 그 다음 혼합되어 HfSiN을 형성하기 위하여 NH3와 같은 소스를 포함하는 질소와 함께 프로세스 챔버로 전달된다( 또한 "펄스화"로도 언급됨).
일 실시예에서, ALD 프로세스는 대략 25 내지 800℃의 범위의 온도에서, 보다 통상적으로는 대략 50 내지 600℃의 범위의 온도에서, 가장 통상적으로는 대략 100 내지 500℃의 범위의 온도에서 수행된다. 프로세스 챔버 내 압력은 대략 0.001 mTorr 내지 600 Torr의 범위, 보다 통상적으로는 대략 0.01 mTorr 내지 100 Torr의 범위, 가장 통상적으로는 대략 0.1 mTorr 내지 10 Torr의 범위에 있다. 이러한 압력 범위는 펄스 및 정화 단계를 모두 커버한다. 버블러가 사용될 때, 버블러 내의 전달 가스를 포함하여, 프로세스 챔버 내 총 불활성 가스 유량은 대체로 대략 0 내지 20,000 sccm 범위에 있고, 보다 통상적으로는 0 내지 5,000 sccm 범위에 있다.
선택적으로, 증착 프리커서가 기판(112) 상에 증착된 이후에, 기판(112)은 기판(112) 상의 단층을 질화, 산화, 환원 또는 어닐링할 수 있는 제 2 프로세싱 유닛으로 진공 상태에서 전달될 수 있다.
도 2는 본 발명의 다층 게이트 절연물의 단면도를 도시한다. 제 1 층(200)은 높은 이동도(더 빠른 트랜지스터 속도) 및 기판(112)에 대한 적절한 계면와 같은 바람직한 속성들을 증진하기 위하여 선택된다. 적절하게, 제 1 층은 높은 유전율을 갖는 금속 실리케이드 또는 산화물이다. 바람직하게, 제 1 층은 고-실리콘 금속 실리케이트(silicon-rich metal silicate)이다. 제 1 층의 금속 실리케이트 내 실리콘 성분은 순수한 금속 또는 금속 산화물과 기판(112) 상의 계면 실리콘 다이옥사이드 잔류물 사이의 불친화성을 감소시킴으로써 계면 결함의 형성을 감소시 킨다. 금속 실리케이트 내 금속 성분은 제 1 층의 절연 특성을 개선하기 위해 사용된다. 본 발명의 적절한 금속, 금속 합금 또는 혼합 금속 산화물, 질화물, 실리케이트 또는 옥시나이트라이드는 Ti, Zr, Hf, Ta, W, Mo, Ni, Si, Cr, Y, La, C, Nb, Zn, Fe, Cu, Al, Sn, Ce, Pr, Sm, Eu, Tb, Dy, Ho, Er, Tm, Yb, Lu, Ga, In, Ru, Mn, Sr, Ba, Ca, V, Co, Os, Rh, Ir, Pd, Pt, Bi, Sn, Pb, Tl, Ge 또는 그 혼합물을 포함하나, 이에 제한되는 것은 아니다.
본 발명에 따른 방법의 일 실시예는 도 3의 흐름도에 도시된다. 이러한 예는 단지 예시의 목적을 위해 도시되고 본 발명을 제한하고자 하는 것을 아니다. 예시적인 실시예에서, Hf를 포함하는 제 1 프리커서를 갖는 제 1 프리커서 기화기(vaporizer)가 제공된다(단계 150). Si를 포함하는 제 2 프리커서를 갖는 제 2 프리커서 기화기가 또한 제공된다(단계 152). 기판 또는 웨이퍼는 반응 챔버의 처크(chuch) 상에 배치되고(단계 154), 프로세스 챔버는 비워지며(단계 156), 기판은 미리 설정된 프로세싱 온도로 가열된다(단계 158). 전술한 바와 같이, 프로세스 온도는 바람직하게 50 내지 800℃이고, 보다 바람직하게는 100 내지 500℃이다. 제 1 및 제 2 프리커서는 제 1 및 제 2 기화 프리커서를 형성하기 위하여 저장기를 통해 가스를 버블링함으로써 기화되고(단계 160), 혼합되며(단계 162), 반응 챔버로 흘러 들어온다(단계 164). 혼합된 제 1 및 제 2 기화 프리커서들은 샤워헤드 또는 주입 노즐과 같은 가스 입구를 통해 기판 상으로 지향된다(단계 166).
도 2에 도시된 바와 같이, 본 발명은 부가하여 조성 기울기(composition gradient)를 갖는 다중 성분 막 또는 층을 제공한다. 도 1 및 도 2를 참조하면, 실리콘 기판(112) 상의 제 1 층(200) 증착은 프로세스 챔버(102)에서 일어난다. 일 실시예에서, HfSiO의 막이 형성되는데, 여기서, 하프늄은 기화기(107)에서 기화되고, 실리콘은 기화기(109)에서 기화된다. 하프늄 및 실리콘 증착 프리커서 증기는 전달 가스에 의해 매니폴드(104) 내로 흘러 들어온다. 매니폴드 내에서, 증착 프리커서 증기들은 혼합되어 증착 혼합물로서 가스 입구(114)로 전달된다. 가스 입구(114)는 프로세스 챔버(102)로 증착 혼합물을 전달하고, 증착 혼합물은 기판(112)의 표면에 접촉하며 기판(112) 상에 증착 혼합물의 단층을 형성하기 위하여 표면 상에 흡수된다. 프로세스 챔버(102)가 불활성 가스로 정화되거나 진공 상태에서 비워진 이후에, 오존 가스는 입구(103)를 통해 프로세스 챔버(102) 내로 순차적으로 펄스화된다. 반응 가스는 하프늄, 실리콘 및 산소를 포함하는 원자층을 형성하는 기판(112) 상의 단층을 포화시키고, 이 경우 실리콘 함량은 하프늄보다 더 높다.
도 4는 증착 프리커서(124 및 126)의 유량을 변화시킴으로써, 다중 성분 막을 양산하도록 하프늄에 대한 실리콘의 농도가 조절될 수 있음을 보여준다. 도 5는 실리콘 또는 하프늄 농도의 변화가 대부분 공식 HfXSi1 - XO2(X = 0-1)에 의해 지배됨을 도시한다.
HfXSi1 - XO2에 대한 XPS 연구는 막 내 원자들의 결합 배열을 밝혀낸다. 도 6a는 막 내 하프늄의 XPS 스펙트럼을 나타낸다. 흡수 밴드의 강도 및 결합 에너지의 크기에 기초하여, 하프늄은 주로 실리케이트 형태로 발견된다. 아주 미량의 HfO2와 같은 불순물이 스펙트럼에서 발견된다. 이제 도 6b를 참조하면, 실리콘의 XPS 스펙트럼은 실리콘이 또한 주로 거의 SiO2 구성을 갖지 않는 실리케이트로서 존재함을 보여준다. XPS 결과는 본 발명의 이점을 강조한다. 즉, HfO2 또는 SiO2를 갖지 않거나 최소만 갖는 균질 하프늄 실리케이트 막의 형성을 강조한다.
이제 도 7을 참조하면, 본 발명의 유전막의 굴절률은 실리콘 함량이 증가함에 따라 감소한다. 도 7은 900℃에서 N2 분위기에서 막을 가열하는 것이 어떠한 열적 변화도 야기하지 않음을 보여준다.
도 8은 증착률이 온도 의존적임을 보여준다. HfXSi1 - XO2의 선형 성장률은 온도에 따라 증가한다. 그러나, 400℃ 이상에서, 원자층 증착(ALD) 프로세스가 화학 기상 증착(CVD) 메커니즘을 채택함에 따라, 증착률은 실질적으로 증가한다. 여러 두께로, HF-래스트(last) 실리콘 기판 상에 400℃에서 증착된 Hf0 .58Si0 .42O2 막의 단면 투과 전자 현미경(TEM) 이미지는 대략 1 nm로 측정되는 유사한 계면 층 두께를 보여준다. 각각 2.3 nm, 4.3 nm 및 6.5 nm의 절연 두께를 갖는 도 9a, 도 9b 및 도 9c를 비교하면, 계면 두께는 절연 두께에 독립적이다. 이것은 오존이 ALD 프로세스에서 산화 반응물질로서 사용될 때, 계면에서의 산화가 막 제조의 초기 단계 동안 일어날 수 있음을 제안한다.
비록 상승된 온도에서의 가열이 절연체의 비정질 상태를 변경하지는 않으나, 어닐링은 계면 산화물 층을 감소시킨다. 도 10은 어닐링 이후의 Hf0 .58Si0 .42O2 막의 TEM 이미지를 보여준다. 계면 산화물 층의 두께를 도 9와 비교하면, 어닐링은 커패시턴스-전압(CV)이나 전류-전압(IV)을 변화시키지 않으면서 계면 층을 0.3 nm 만큼 감소시키는 것처럼 보인다. 도 11은 막이 어닐링에 전기적으로 안정됨을 보여준다. 커패시턴스-등가 두께(capacitance-equivalent thickness; CET)도 낮은 누설 전류도 어닐링 단계에 의해 크게 영향받지 않는다.
900℃로의 어닐링 동안, 50 nm 두께의 Hf0 .34Si0 .66O2 막에 대한 응력 히스테리시스 측정이 모니터링되었다. 도 12에 도시된 바와 같이, 가열 동안의 일관된 기울기는 Hf0 .34Si0 .66O2 막과 실리콘 기판 사이의 열적 팽창의 상당히 안정한 차이를 나타낸다. 대략 700℃에서, 응력은 보다 팽팽하게 되고, 미세결정 상태로의 형태 변화를 나타낸다. 300℃에서 TEMAHf 및 O3으로부터의 ALD에 의해 증착되어 대략 450℃(미도시)에서 응력 증가를 소유하는 HfO2 막에 대하여, HfXSi1 - XO2의 막 응력 전이 온도에서의 증가는 실리콘 함량의 증가에 기인한다. 그리하여, 실리콘 함량의 증가는 막이 결정화되는 온도에서 증가한다.
적절한 하프늄 소스는 하프늄 디알킬 아미드, 하프늄 알콕사이드, 하프늄 디케노네이트 또는 하프늄 할라이드를 포함한다. 적절한 실리콘 소스는 실리콘 할라이드, 실리콘 디알킬 아미드 또는 아민, 실리콘 알콕사이드, 실란, 디실란, 실록산, 아미노디실란 및 디실리콘 할라이드를 포함한다. 전형적으로, 하프늄 및 실리콘 소스는 리간드 교환으로부터 야기되는 문제점을 방지하기 위하여 공통 리간드를 갖도록 선택된다. 본 명세서에 참조로서 편입되는 PCT 특허 출원 제 PCT/US03/22236호, "Molecular Layer Deposition Of Thin Films With Mixed Components(혼합된 성분들을 가진 박막의 분자층 증착)"에서 개시된 공유 브리지 혼합 금속 및 비공유 결합 혼합 금속은 증착을 위한 프리커서로서 사용될 수 있다. 비공유 결합의 유형은 수소 결합, 배위 결합, 금속-금속 결합, 금속-π, 금속-π*, π-π 결합, 시그마-시그마 결합, 이온 결합, 반데르발스 상호작용, 소수성/친수성 상호작용, 극성 결합 또는 쌍극자 모멘트 상호작용을 포함한다. 불활성 가스의 소스는 아르곤, 질소, 불활성 가스 또는 그 혼합물과 같은 전달 가스를 포함한다.
다시 도 2를 참조하면, 제 2 층(202)은 제 1 층(200) 상에 증착되고, 제 2 층(202)은 실리콘보다 더 큰 하프늄 농도, 즉 하프뉴>실리콘을 갖는다. 더 높은 하프늄 농도는 절연체의 전체 구성이 높은 k 하프늄 절연체처럼 거동함을 보장한다. 제 2 층(202) 내 실리콘의 존재는 전기적 누설 및 결함을 야기할 수 있는 개별 층들 사이에서 어떠한 갑작스런 조성 경계가 존재하지 않도록 제 1 층(200)으로부터 점차적인 화학양론적 전이를 형성한다. 오존을 이용한 후속적인 산화는 제 2 층(202)을 산출한다.
본 발명의 여러 실시예에서, 제 3 층(203)은 조성 기울기를 갖는 절연층의 스택을 형성하기 위하여 제 2 층(202) 위에서 주로 하프늄을 포함하여, 즉, 하프늄>>실리콘으로 선택적으로 증착될 수 있다. 산화 반응물질을 이용한 산화는 주로 하프늄 다이옥사이드를 산출한다. 이러한 접근 방법을 사용하여, 임의의 구배, 두께 및 조성으로 이루어진 균질 막이 정밀도 및 제어를 사용하여 제조될 수 있다.
다른 태양에서, 제 3 층(203)은 질환 반응물질을 사용하여 질화될 수 있다. 질소의 포함은 붕소과 같은 불순물이 절연체를 관통하여 확산되는 것을 차단하고 막의 장기적 성능 및 신뢰도를 개선한다.
소정의 실시예에서, 제 3 층(203)은 증착 후 어닐링 단계로서 암모니아의 존재 하에서 열적으로 질화될 수 있다. 반면, 다른 실시예에서, 제 3 층(203)은 프로세스 챔버(102)와 관련하여 원격에서 생성되는 고 에너지 질소 입자들을 사용하여 질화될 수 있다. 본 발명의 일 태양에 따라, 도 13은 암모니아를 사용한 예시적인 어닐링 이후 막에 대한 XPS 스펙트럼을 보여준다. 도 13에 또한 도시된 HfSiO 참조에 대하여, 400 eV 근처에서의 질소 피크의 존재는 HfSiO 층 내로의 질소 편입을 나타낸다. 여러 출발각(take-off angle; TOA)에서의 측정은 절연체의 표면에서 뿐만 아니라 막 내 깊은 곳에서의 HfSiON의 존재를 검출한다.
선택적으로, 요구된다면, 질화물 층을 형성 및 어닐링하기 위하여 열에 의존하는 대신에, 질화는 광 또는 광, 열 및 화학적 개시재의 결합에 의해 촉진될 수 있다. 예를 들어, 특정 실시예에서, 직접 플라즈마, 원격 플라즈마, 다운스트림 플라즈마, 자외선 광자 에너지, 또는 그 결합은 질화를 촉진하기 위하여 사용될 수 있다. 활성화 에너지 소스는 플라즈마, 광, 레이저, 래디컬 및 마이크로파 에너지 소스 및 그 혼합물을 포함한다.
별개의 실시예에서 이전에 설명한 바와 같이, 적절한 질소 소스는 암모니아, 중수소화 암모니아, 15N 농축 암모니아, 아민, 아미드, 질소 가스, 하이드라진, 알킬 하이드라진, 니트러스 옥사이드, 니트릭 옥사이드, 질소 래디컬, N-옥사이드, 또는 그 혼합물을 포함한다.
본 발명의 다른 태양에서, 비록 막의 질화와 관련되지만, 질화 절연체의 주변 방법이 제공된다. 도 14는 하프늄 디알킬 아미드 프리커서과 오존 간의 반응으로부터 야기되는 HfO2 증착률이 놀랍게도 반응 온도의 감소에 따라 증가함을 보여준다. 하프늄 디알킬 아미드에 대한 오존의 반응성의 관점에서, HfSiOx(300)는 도 1의 기화기(107 및 109) 각각에서 하프늄 및 실리콘을 기화시킴으로써 도 14에 도시된 바와 같이 기판 프리커서(112) 상에 증착되었다. 오존은 기판(112)을 하우징하는 프로세스 챔버(102) 내로 입구(103)를 통해 공급된다. 산화는 하프늄 옥사이드(302)를 산출하기 위하여 도 16a에 도시된 바와 같이 상대적으로 낮은 온도에서 급속도로 발생된다. 게이트 전극으로부터의 붕소 확산으로부터 층(302)을 보호하기 위하여, 옥시나이트라이드 층(304)은 금속 옥사이드(302) 위에서 바람직하다.
옥시나이트라이드 층(304)를 증착하는 2가지 방법이 존재한다. 제 1 방법으로, 도 16a에 도시된 바와 같이, 증착 프리커서 또는 프리커서들(124, 126)은 기화되어 기판(112) 상에 증착 혼합물의 단층을 형성하는 프로세스 챔버(102) 내로 주입된다.
이제 도 16a를 참조하면, 산화물(302)을 산출하는 저온 산화에도 불구하고, 암모니아를 사용한 800℃에서의 후속적인 열적 옥시나이트라이데이션 어닐링은 용납할 수 있는 정도이나, 프로세스 관점에서는 바람직스럽지 못하다. 구조적으로, 상기와 같은 높은 어닐링 온도는 더 큰 우려를 제기한다. 즉, 산화물 층(302)의 결정화가 산화물(302)의 그레인 경계 내부 깊은 곳의 가능한 내재적 결함 또는 산화물(302)의 그레인 경계에서의 가능한 내재적 결함을 야기함을 의미한다.
본 발명의 바람직한 실시예에서, 옥시나이트라이드를 증착하기 위한 제 2 방법이 도 16b에 도시된다. 도 16a에서의 방법에 비해, 도 16b에서의 방법은 보다 경제적인 옥시나이트라이드(304)로의 경로이다. 오존은 금속 디알킬 아미드에 쉽게 반응하기 때문에, 증착 혼합물은 우선 기판(112) 상에 증착되고 순차적으로 암모니아와 현장에서 교섭한다. 상대적으로 낮은 온도에서 나이트라이드(303)의 형성 이후에서, 오존을 이용한 산화는 반응을 종료되게 하여 옥시나이트라이드(304)를 산출한다.
본 발명의 소정의 실시예에서, 중수소화 암모니아 또는 15N-암모니아가 바람직하다.
도 17은 옥시나이트라이드(304)의 표면 아래의 조성 프로파일을 도시한다. 질소 농도는 막의 표면에서 최대이고, HfO2 층에 도달할 때까지 표면 아래에서 점차적으로 감소한다. 부가적인 막 내로의 침투와 함께, 실리콘 기판(112)의 계면 층에 도달할 때까지 HfO2(302)의 농도는 감소하여 HfSiOX(300)로 넘겨진다.
본 발명에 따르면, 상이한 막 두께 및 질소 또는 산소 농도를 갖는 다수의 HfSiON 층이 증착될 수 있다. 본 명세서에서는 SiO2, HfO2, HfSiOX, HfN, SiN, SiON 및 HfSiON의 형성을 기술하는 특정 예들이 제공되었으나, 본 발명의 방법 및 ALD 시스템이 금속, 금속 합금 또는 혼합 금속 산화물, 실리케이트, 나이트라이드, 옥시나이트라이드 또는 그 결합물을 포함하는 박막의 임의의 두께, 조성 또는 유형을 생성하기 위하여 채택될 수 있음은 당업자에게 자명하다.
본 발명의 특정 실시예들에 대한 이전의 설명은 예시 및 개시의 목적으로 제시되었다. 상기 실시예들은 본 발명을 정확한 형태로 제한하고자 하는 것은 아니며, 다수의 변형예, 실시예 및 변경예가 본 발명의 개념의 관점에서 가능하다. 본 발명의 범위는 첨부된 청구범위 및 그 균등물에 의해 정해진다.

Claims (25)

  1. 기판 표면 상에 막을 형성하는 방법으로서,
    둘 또는 그 이상의 프리커서들이 함께 공정 챔버로 전달되어 상기 기판 표면 상에 단층(mono-layer)을 형성하는 단계를 포함하고, 상기 프리커서들 각각은 적어도 하나의 상이한 화학 성분을 포함하며, 상기 단층은 별개의 화학 성분들 각각을 포함하는 막 형성 방법.
  2. 기판 표면 상에 막을 형성하는 방법으로서,
    둘 또는 그 이상의 프리커서들이 함께 공정 챔버로 전달되어 상기 기판 표면 상에 단층을 형성하는 단계를 포함하고, 상기 프리커서들 각각은 적어도 하나의 상이한 화학 성분을 포함하며, 상기 공정 챔버로 전달된 프리커서들 각각의 양은, 상기 화학 성분들 중 하나의 원하는 조성 기울기가 상기 막에서 형성되는 방식으로 선택적으로 제어되는, 막 형성 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 프리커서들은 M(L)X의 화학식을 갖고, 여기서 M은 Ti, Zr, Hf, Ta, W, Mo, Ni, Si, Cr, Y, La, C, Nb, Zn, Fe, Cu, Al, Sn, Ce, Pr, Sm, Eu, Tb, Dy, Ho, Er, Tm, Yb, Lu, Ga, In, Ru, Mn, Sr, Ba, Ca, V, Co, Os, Rh, Ir, Pd, Pt, Bi, Sn, Pb, Tl, Ge 또는 그것들의 혼합물들의 그룹으로부터 선택되는 금속이고, L은 아민, 아미드, 알콕사이드, 할로겐, 하이드라이드, 알킬, 아시드, 질산, 아질산, 사이클로펜타디에닐, 카보닐, 카복실레이트, 디케토네이트, 알켄, 알킨, 또는 그것들의 기질 유사체, 및 그것들의 결합물들이고, x는 상기 M에 대한 원자가 수(valence number)와 동일하거나 더 작은 정수인 것을 특징으로 하는 막 형성 방법.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 단층과 반응하는 적어도 하나의 반응물질을 공급하는 단계를 더 포함하는 것을 특징으로 하는 막 형성 방법.
  5. 제 4 항에 있어서,
    상기 적어도 하나의 반응물질은 순차적으로 또는 상기 프리커서들과 동시에 전달되는 것을 특징으로 하는 막 형성 방법.
  6. 제 4 항에 있어서,
    상기 적어도 하나의 반응물질은 질화 반응물질, 환원 반응물질, 산화 반응물질, 또는 그것들의 혼합물인 것을 특징으로 하는 막 형성 방법.
  7. 제 6 항에 있어서,
    상기 질화 반응물질은 암모니아, 중수소화 암모니아, 15N-암모니아, 아민 또 는 아미드, 히드라진, 알킬 히드라진, 질소 가스, 산화질소, 아산화질소, 질소기, N-산화물, 또는 그것들의 혼합물로 구성되는 그룹으로부터 선택되는 것을 특징으로 하는 막 형성 방법.
  8. 제 6 항에 있어서,
    상기 산화 반응물질은 오존, 산소, 일중항산소, 삼중항산소, 원자 산소, 물, 과산화물, 공기, 질소 가스, 산화 질소, H2O2, 및 그것들의 혼합물들로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 막 형성 방법.
  9. 기판 표면 상에 다중-성분 막을 형성하기 위한 방법으로서,
    둘 또는 그 이상의 프리커서들을 기화시키는 단계 - 상기 프리커서들 각각은 적어도 하나의 상이한 화학 성분을 포함함 -;
    상기 둘 또는 그 이상의 프리커서들을 공정 챔버로 전달하는 단계 - 상기 프리커서들은 상기 공정 챔버 내에 함께 존재함 -;
    상기 기판 표면 상에 단층을 형성하는 단계 - 상기 단층은 별개의 화학 성분들 각각을 포함함 -; 및
    상기 공정 챔버를 퍼징(purging)하는 단계
    를 포함하는 다중-성분 막 형성 방법.
  10. 제 9 항에 있어서,
    상기 형성 단계는 대략 20 내지 800℃ 범위의 온도에서 수행되는 것을 특징으로 하는 다중-성분 막 형성 방법.
  11. 제 1 항에 있어서,
    상기 공정 챔버는 대략 0.001 mTorr 내지 600 Torr 범위의 압력인 것을 특징으로 하는 막 형성 방법.
  12. 제 1 항, 제 2 항 및 제 9 항 중 어느 한 항에 있어서,
    상기 기판 표면은 실리콘, 플라스틱, 중합체, 금속, 합금, 유기물, 무기물 또는 그것들의 혼합물들로 구성되는 그룹으로부터 선택되는 것을 특징으로 하는 막 형성 방법.
  13. 제 9 항에 있어서, 상기 전달 단계는,
    상기 둘 또는 그 이상의 프리커서들을 혼합하는 단계; 및
    상기 프리커서들의 혼합물들을 상기 공정 챔버로 전달하는 단계
    를 더 포함하는 것을 특징으로 하는 다중-막 형성 방법.
  14. 제 9 항에 있어서, 상기 퍼징 단계는,
    상기 챔버로부터 상기 프리커서들을 배출시키기 위해 전달 가스를 유입시키 는 단계를 더 포함하는 것을 특징으로 하는 다중-막 형성 방법.
  15. 제 9 항에 있어서,
    반응 가스를 상기 공정 챔버로 전달하는 단계 - 상기 반응 가스는 상기 기판 표면 상에 형성된 단층과 접촉함 - 를 더 포함하는 것을 특징으로 하는 다중-막 형성 방법.
  16. 제 13 항에 있어서,
    상기 챔버로 흐르는 가스의 유량은 대략 0 내지 20,000 sccm 의 범위인 것을 특징으로 하는 다중-막 형성 방법.
  17. 조성 기울기를 갖는 유전체 막으로서,
    실리콘-리치 하부층;
    질소-리치 상부층; 및
    상기 상부층과 상기 하부층 사이에 형성된 하프늄-리치 층
    을 포함하는 유전체 막.
  18. 제 17 항에 있어서,
    상기 실리콘-리치 하부층, 상기 하프늄-중간층, 및 상기 질소-리치 층 각각은 적어도 하나의 공통 조성 엘리먼트를 포함하는 것을 특징으로 하는 유전체 막.
  19. 제 18 항에 있어서,
    상기 적어도 하나의 공통 조성 엘리먼트는 산소, 질소 및 금속으로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 유전체 막.
  20. 제 19 항에 있어서,
    상기 금속은 Ti, Zr, Hf, Ta, W, Mo, Ni, Si, Cr, Y, La, C, Nb, Zn, Fe, Cu, Al, Sn, Ce, Pr, Sm, Eu, Tb, Dy, Ho, Er, Tm, Yb, Lu, Ga, In, Ru, Mn, Sr, Ba, Ca, V, Co, Os, Rh, Ir, Pd, Pt, Bi, Sn, Pb, Tl, Ge 또는 그것들의 혼합물들의 그룹으로부터 선택되는 것을 특징으로 하는 유전체 막.
  21. 제 19 항에 있어서,
    상기 금속은 금속, 금속 합금 또는 혼합된 금속 산화물들, 규산염들, 질화물들, 산질화물들, 또는 그것들의 혼합물들을 더 포함하는 것을 특징으로 하는 유전체 막.
  22. 원자 층 증착용 시스템으로서,
    증착을 위한 제 1 증착 프리커서를 포함하는 적어도 제 1 기화기;
    증착을 위한 제 2 증착 프리커서를 포함하는 적어도 제 2 기화기;
    원자 층 증착 처리를 수행하도록 제공되는 공정 챔버; 및
    상기 제 1 기화기와 상기 제 2 기화기, 및 상기 공정 챔버에 결합되고, 상기 제 1 및 제 2 증착 프리커서들을 혼합하여 상기 공정 챔버로 전달하도록 제공되는 매니폴드
    를 포함하는 원자 층 증착용 시스템.
  23. 제 22 항에 있어서, 상기 공정 챔버는,
    상기 매니폴드에 결합된 가스 인입구; 및
    적어도 하나의 반응 가스를 공급하기 위한 입구 - 상기 입구는 상기 적어도 하나의 반응물질을 상기 공정 챔버에 인-시튜로 순차적 또는 동시적 방식으로 제공함 -
    을 더 포함하는 것을 특징으로 하는 원자 층 증착용 시스템.
  24. 제 1 항, 제 2 항, 및 제 9 항 중 어느 한 항에 있어서,
    상기 단층은 금속들 및 금속, 금속 합금 또는 혼합 금속 산화물들, 규산염들, 질화물들 및 산질화물들로 구성되는 그룹으로부터 선택되는 것을 특징으로 하는 원자 층 증착용 시스템.
  25. 제 1 항, 제 2 항 및 제 9 항 중 어느 한 항에 있어서,
    상기 단층 각각은 조성적으로 변화 가능하고, 상기 인접 단층들과 전기적 및 물리적으로 교환 가능한 것을 특징으로 하는 원자 층 증착용 시스템.
KR1020057020087A 2003-04-21 2004-04-21 다중-성분 유전체 막들을 형성하기 위한 시스템 및 방법 KR20060003895A (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US46445803P 2003-04-21 2003-04-21
US60/464,458 2003-04-21
US52096403P 2003-11-17 2003-11-17
US60/520,964 2003-11-17
US56095204P 2004-04-09 2004-04-09
US60/560,952 2004-04-09

Publications (1)

Publication Number Publication Date
KR20060003895A true KR20060003895A (ko) 2006-01-11

Family

ID=33479703

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057020087A KR20060003895A (ko) 2003-04-21 2004-04-21 다중-성분 유전체 막들을 형성하기 위한 시스템 및 방법

Country Status (6)

Country Link
US (2) US7470470B2 (ko)
EP (1) EP1616042A2 (ko)
JP (1) JP2007514293A (ko)
KR (1) KR20060003895A (ko)
TW (1) TW200506093A (ko)
WO (1) WO2004105083A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7811834B2 (en) 2007-07-31 2010-10-12 Samsung Electronics Co., Ltd. Methods of forming a ferroelectric layer and methods of manufacturing a ferroelectric capacitor including the same
KR20180106931A (ko) * 2017-03-16 2018-10-01 램 리써치 코포레이션 기판 프로세싱 시스템의 전구체 증기 공급 시스템에서 플로우 모니터링을 위한 시스템들 및 방법들

Families Citing this family (432)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6671223B2 (en) * 1996-12-20 2003-12-30 Westerngeco, L.L.C. Control devices for controlling the position of a marine seismic streamer
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
JP2005504885A (ja) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
CN1261986C (zh) * 2001-08-23 2006-06-28 日本电气株式会社 含高介电常数绝缘膜的半导体设备和该设备的制造方法
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
KR100463633B1 (ko) * 2002-11-12 2004-12-29 주식회사 아이피에스 하프늄 화합물을 이용한 박막증착방법
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
KR100589053B1 (ko) * 2003-10-15 2006-06-12 삼성전자주식회사 소스 공급 장치, 소스 공급 방법 및 이를 이용한 원자층증착 방법
US7154779B2 (en) * 2004-01-21 2006-12-26 Sandisk Corporation Non-volatile memory cell using high-k material inter-gate programming
ES2380972T3 (es) 2004-03-26 2012-05-22 Rohm Co., Ltd. Elemento orgánico emisor de luz
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
JP4455225B2 (ja) * 2004-08-25 2010-04-21 Necエレクトロニクス株式会社 半導体装置の製造方法
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
CN100554506C (zh) * 2005-03-09 2009-10-28 东京毅力科创株式会社 半导体处理用的成膜方法及装置
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US8197898B2 (en) * 2005-03-29 2012-06-12 Tokyo Electron Limited Method and system for depositing a layer from light-induced vaporization of a solid precursor
US7235502B2 (en) * 2005-03-31 2007-06-26 Freescale Semiconductor, Inc. Transitional dielectric layer to improve reliability and performance of high dielectric constant transistors
US7485338B2 (en) * 2005-03-31 2009-02-03 Tokyo Electron Limited Method for precursor delivery
KR20080003387A (ko) * 2005-04-07 2008-01-07 에비자 테크놀로지, 인크. 다중층, 다중성분 높은-k 막들 및 이들의 증착 방법
JP2006344837A (ja) * 2005-06-09 2006-12-21 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US20070031598A1 (en) * 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
WO2007033019A1 (en) * 2005-09-12 2007-03-22 Sandisk Corporation Creating a dielectric layer using ald to deposit multiple components
US20070059945A1 (en) * 2005-09-12 2007-03-15 Nima Mohklesi Atomic layer deposition with nitridation and oxidation
JP2007088113A (ja) 2005-09-21 2007-04-05 Sony Corp 半導体装置の製造方法
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US8012442B2 (en) * 2006-03-31 2011-09-06 Tokyo Electron Limited Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition
US7816737B2 (en) * 2006-03-31 2010-10-19 Tokyo Electron Limited Semiconductor device with gate dielectric containing mixed rare earth elements
US8097300B2 (en) * 2006-03-31 2012-01-17 Tokyo Electron Limited Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition
US20070237697A1 (en) * 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US7759746B2 (en) * 2006-03-31 2010-07-20 Tokyo Electron Limited Semiconductor device with gate dielectric containing aluminum and mixed rare earth elements
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
JP5258241B2 (ja) * 2006-09-19 2013-08-07 日本エー・エス・エム株式会社 Uv照射チャンバーをクリーニングする方法
US7767262B2 (en) * 2006-09-29 2010-08-03 Tokyo Electron Limited Nitrogen profile engineering in nitrided high dielectric constant films
WO2008042981A2 (en) * 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
KR20080051572A (ko) * 2006-12-06 2008-06-11 주성엔지니어링(주) 유기 전계 발광 소자 및 그 제조 방법
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US7790628B2 (en) * 2007-08-16 2010-09-07 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
US7964515B2 (en) * 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US8084104B2 (en) * 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8770142B2 (en) 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US8257799B2 (en) 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8076241B2 (en) * 2009-09-30 2011-12-13 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8877300B2 (en) * 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
JP2011166160A (ja) * 2011-03-22 2011-08-25 Tokyo Electron Ltd 積層膜の形成方法
US8574983B2 (en) 2011-05-13 2013-11-05 Intermolecular, Inc. Method for fabricating a DRAM capacitor having increased thermal and chemical stability
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8569184B2 (en) 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8633118B2 (en) * 2012-02-01 2014-01-21 Tokyo Electron Limited Method of forming thin metal and semi-metal layers by thermal remote oxygen scavenging
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI554636B (zh) 2012-04-25 2016-10-21 應用材料股份有限公司 由金屬脒鹽前驅物製造介電膜的方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US20150211126A1 (en) * 2012-09-07 2015-07-30 Ce Ma Direct liquid injection of solution based precursors for atomic layer deposition
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9632411B2 (en) * 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
TWI590329B (zh) 2014-03-02 2017-07-01 東京威力科創股份有限公司 藉由微波電漿處理以提升半導體裝置中之高介電常數膜成核速率及電移動度的方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10156786B2 (en) 2015-09-30 2018-12-18 Thomas E. Seidel Method and structure for nanoimprint lithography masks using optical film coatings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018125169A1 (en) * 2016-12-29 2018-07-05 Intel Corporation Device, system and method to provide high aspect ratio oligomer structures
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11081337B2 (en) * 2017-03-15 2021-08-03 Versum Materials U.S., LLC Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11193206B2 (en) * 2017-03-15 2021-12-07 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11631580B2 (en) 2017-03-15 2023-04-18 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11961991B2 (en) 2017-06-20 2024-04-16 Coreshell Technologies, Incorporated Solution-phase deposition of thin films on solid-state electrolytes
WO2018237083A1 (en) 2017-06-20 2018-12-27 Coreshell Technologies, Inc. METHODS, SYSTEMS, AND COMPOSITIONS FOR THE LIQUID PHASE DEPOSITION OF THIN FILMS ON THE SURFACE OF BATTERY ELECTRODES
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR20200091491A (ko) * 2017-12-20 2020-07-30 램 리써치 코포레이션 합금 원자 층 증착에서 전구체들의 균질 혼합을 위한 시스템들 및 방법들
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
DE102018121897A1 (de) 2018-09-07 2020-03-12 Infineon Technologies Ag Halbleitervorrichtung mit einem silizium und stickstoff enthaltenden bereich und herstellungsverfahren
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
EP4059071A1 (en) * 2019-11-13 2022-09-21 Coreshell Technologies, Inc. Solution-deposited electrode coatings for thermal runaway mitigation in rechargeable batteries
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3911176A (en) 1974-01-02 1975-10-07 Rca Corp Method for vapor-phase growth of thin films of lithium niobate
JPS60159185A (ja) 1984-01-31 1985-08-20 Permelec Electrode Ltd 電極の製造方法
US4772346A (en) 1986-02-14 1988-09-20 International Business Machines Corporation Method of bonding inorganic particulate material
US5185317A (en) 1988-02-19 1993-02-09 Northwestern University Method of forming superconducting Tl-Ba-Ca-Cu-O films
US5130172A (en) 1988-10-21 1992-07-14 The Regents Of The University Of California Low temperature organometallic deposition of metals
US5688565A (en) 1988-12-27 1997-11-18 Symetrix Corporation Misted deposition method of fabricating layered superlattice materials
US5051278A (en) 1989-07-10 1991-09-24 Eastman Kodak Company Method of forming metal fluoride films by the decomposition of metallo-organic compounds in the presence of a fluorinating agent
US5271957A (en) 1992-06-18 1993-12-21 Eastman Kodak Company Chemical vapor deposition of niobium and tantalum oxide films
KR0139876B1 (ko) 1993-09-14 1998-08-17 사토 후미오 금속산화막의 형성방법
DE19528746C1 (de) 1995-08-04 1996-10-31 Siemens Ag Verfahren zum Erzeugen einer Siliziumdioxidschicht auf Oberflächenabschnitten einer Struktur
US5843516A (en) 1996-09-16 1998-12-01 Symetrix Corporation Liquid source formation of thin films using hexamethyl-disilazane
US5789027A (en) 1996-11-12 1998-08-04 University Of Massachusetts Method of chemically depositing material onto a substrate
US5876503A (en) 1996-11-27 1999-03-02 Advanced Technology Materials, Inc. Multiple vaporizer reagent supply system for chemical vapor deposition utilizing dissimilar precursor compositions
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5879209A (en) 1997-08-13 1999-03-09 Brunswick Corporation Automatic trim control system for jet propelled watercraft
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6277436B1 (en) 1997-11-26 2001-08-21 Advanced Technology Materials, Inc. Liquid delivery MOCVD process for deposition of high frequency dielectric materials
JP2003522826A (ja) * 1997-12-02 2003-07-29 ゲレスト インコーポレーテツド ヨードシラン前駆体から形成したけい素ベースフィルムおよびその製作方法
US6214729B1 (en) 1998-09-01 2001-04-10 Micron Technology, Inc. Metal complexes with chelating C-, N-donor ligands for forming metal-containing films
US6099903A (en) 1999-05-19 2000-08-08 Research Foundation Of State University Of New York MOCVD processes using precursors based on organometalloid ligands
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6399208B1 (en) 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6537613B1 (en) 2000-04-10 2003-03-25 Air Products And Chemicals, Inc. Process for metal metalloid oxides and nitrides with compositional gradients
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
KR100467366B1 (ko) 2000-06-30 2005-01-24 주식회사 하이닉스반도체 원자층 증착법을 이용한 지르코늄산화막 형성방법
EP1184365A3 (en) 2000-08-26 2003-08-06 Samsung Electronics Co., Ltd. Novel group IV metal precursors and chemical vapor deposition method using thereof
JP5290488B2 (ja) * 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
KR100693781B1 (ko) 2000-10-25 2007-03-12 주식회사 하이닉스반도체 단원자층 증착법을 이용한 실리케이트 형성 방법
KR100384558B1 (ko) 2001-02-22 2003-05-22 삼성전자주식회사 반도체 장치의 유전체층 형성방법 및 이를 이용한캐패시터 형성방법
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US20020187664A1 (en) * 2001-06-06 2002-12-12 Murphy James V. Socket assembly for integrated circuit packages
JP3773448B2 (ja) * 2001-06-21 2006-05-10 松下電器産業株式会社 半導体装置
US6642131B2 (en) * 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
US6669990B2 (en) 2001-06-25 2003-12-30 Samsung Electronics Co., Ltd. Atomic layer deposition method using a novel group IV metal precursor
CN1261986C (zh) * 2001-08-23 2006-06-28 日本电气株式会社 含高介电常数绝缘膜的半导体设备和该设备的制造方法
KR20030018134A (ko) 2001-08-27 2003-03-06 한국전자통신연구원 조성과 도핑 농도의 제어를 위한 반도체 소자의 절연막형성 방법
US6787185B2 (en) 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6552209B1 (en) 2002-06-24 2003-04-22 Air Products And Chemicals, Inc. Preparation of metal imino/amino complexes for metal oxide and metal nitride thin films
TW200408323A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high k metal oxides
KR20050069986A (ko) 2002-08-18 2005-07-05 에비자 테크놀로지, 인크. 실리콘 산화물 및 산질화물의 저온 증착
TW200408015A (en) * 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7811834B2 (en) 2007-07-31 2010-10-12 Samsung Electronics Co., Ltd. Methods of forming a ferroelectric layer and methods of manufacturing a ferroelectric capacitor including the same
KR20180106931A (ko) * 2017-03-16 2018-10-01 램 리써치 코포레이션 기판 프로세싱 시스템의 전구체 증기 공급 시스템에서 플로우 모니터링을 위한 시스템들 및 방법들
CN108630581A (zh) * 2017-03-16 2018-10-09 朗姆研究公司 衬底处理系统的前体蒸气供应系统中流监测的系统和方法
CN108630581B (zh) * 2017-03-16 2023-06-23 朗姆研究公司 衬底处理系统的前体蒸气供应系统中流监测的系统和方法

Also Published As

Publication number Publication date
WO2004105083A2 (en) 2004-12-02
TW200506093A (en) 2005-02-16
US20050064207A1 (en) 2005-03-24
JP2007514293A (ja) 2007-05-31
EP1616042A2 (en) 2006-01-18
WO2004105083A3 (en) 2005-02-17
US7470470B2 (en) 2008-12-30
US20050233156A1 (en) 2005-10-20

Similar Documents

Publication Publication Date Title
US7470470B2 (en) System and method for forming multi-component dielectric films
US20050070126A1 (en) System and method for forming multi-component dielectric films
US20060110930A1 (en) Direct liquid injection system and method for forming multi-component dielectric films
EP1756328A2 (en) System and method for forming multi-component dielectric films
US7531467B2 (en) Manufacturing method of semiconductor device and substrate processing apparatus
US7723245B2 (en) Method for manufacturing semiconductor device, and substrate processing apparatus
US6818517B1 (en) Methods of depositing two or more layers on a substrate in situ
US7482286B2 (en) Method for forming dielectric or metallic films
TWI263695B (en) Atomic layer deposition of oxide film
US20060178019A1 (en) Low temperature deposition of silicon oxides and oxynitrides
US20030235961A1 (en) Cyclical sequential deposition of multicomponent films
WO2004010469A2 (en) Atomic layer deposition of multi-metallic precursors
WO2007019449A1 (en) In-situ atomic layer deposition
EP1535320A2 (en) Atomic layer deposition of high k metal silicates
EP2058416A2 (en) Preparation of a metal-containing film via ALD or CVD processes
EP1714315A2 (en) Nitridation of high-k dielectric films
EP4253595A1 (en) Oxide film reaction surface control agent, method for forming oxide film by using same, and semiconductor substrate and semiconductor device manufactured therefrom
KR20050020759A (ko) 다중-금속성 전구체의 원자층 증착

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application