KR20160082969A - 유기금속 용액 기반의 고해상도 패터닝 조성물 - Google Patents

유기금속 용액 기반의 고해상도 패터닝 조성물 Download PDF

Info

Publication number
KR20160082969A
KR20160082969A KR1020167007433A KR20167007433A KR20160082969A KR 20160082969 A KR20160082969 A KR 20160082969A KR 1020167007433 A KR1020167007433 A KR 1020167007433A KR 20167007433 A KR20167007433 A KR 20167007433A KR 20160082969 A KR20160082969 A KR 20160082969A
Authority
KR
South Korea
Prior art keywords
coating
metal
ligand
organic
coating material
Prior art date
Application number
KR1020167007433A
Other languages
English (en)
Other versions
KR101839255B1 (ko
Inventor
스티븐 티. 메이어스
더글라스 에이. 케쉴러
카이 지앙
제레미 앤더슨
앤드류 그렌빌
Original Assignee
인프리아 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=52480669&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR20160082969(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 인프리아 코포레이션 filed Critical 인프리아 코포레이션
Publication of KR20160082969A publication Critical patent/KR20160082969A/ko
Application granted granted Critical
Publication of KR101839255B1 publication Critical patent/KR101839255B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/56Organic absorbers, e.g. of photo-resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Metallurgy (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

유기금속 용액은 박막 코팅을 사용하는 높은 해상도 방사선 기반의 패터닝을 제공하는 것으로 밝혀졌다. 상기 패터닝은 선택된 패턴을 가지는 코팅된 표면의 조사 및 현상된 이미지를 형성하기 위한 현상제를 사용한 패턴의 현상을 포함할 수 있다. 상기 패터닝 가능한 코팅(patternable coating)은 유기 현상제 또는 수성 산 또는 염기 현상제에 의한 포지티브-톤 패터닝 또는 네가티브-톤 패터닝에 민감할 수 있다. 상기 방사선 민감성 코팅은 유기 리간드 및 금속 옥소/히드록소 네트워크를 포함할 수 있다. 전구체 용액은 유기 액체, 및 금속 탄소 결합 및/또는 금속 카르복시레이트 결합을 가지는 유기 리간드를 가지는 금속 다핵 옥소-히드록소 양이온을 포함할 수 있다.

Description

유기금속 용액 기반의 고해상도 패터닝 조성물{ORGANOMETALLIC SOLUTION BASED HIGH RESOLUTION PATTERNING COMPOSITIONS}
정부 권리에 대한 언급
본 명세서에 개시된 발명의 개발은 미국 국립 과학 재단 보조금 IIP-0912921을 통해 적어도 일부 정부 자금 지원을 받았으며, 미국 정부는 본 발명에 일정한 권리를 가진다.
기술분야
본 발명은 유기금속 코팅 조성물을 사용하여 물질에 패터닝을 실행하는 방사선 기반의 방법에 관한 것이다. 또한, 본 발명은 방사선을 이용하여 매우 높은 해상도로 패터닝 할 수 있는 유기금속 코팅을 형성하기 위한 증착(deposition)에 사용될 수 있는 전구체 용액 및 코팅된 기판 및 패터닝 되기 전과 후의 전구체 용액에 의해 형성되는 코팅에 관한 것이다.
반도체 기반 소자 및 다른 전자 소자 또는 다른 복잡한 정밀 구조의 형성을 위하여, 일반적으로 물질을 패터닝하여 구조를 집적시킨다. 따라서, 구조는 일반적으로 각종 물질로 패턴이 형성되는 순차적 증착(deposition) 및 에칭(etching) 단계의 반복 공정을 통하여 형성된다. 이러한 방법으로, 다수의 소자가 작은 면적으로 형성될 수 있다. 기술의 진보는 성능의 증대에 바람직할 수 있는 소자의 차지 공간(footprint) 감소를 수반할 수 있다.
유기 조성물을 방사선 패터닝되는 레지스트로서 이용하여, 패턴으로 해당 유기 조성물의 화학적 구조를 변경시키는 데 방사선 패턴을 이용한다. 예를 들어, 반도체 웨이퍼 패터닝 공정은 방사선 감수성 유기 물질의 박막으로부터 소정 화상의 리소그래피 전사를 수반할 수 있다. 레지스트의 패터닝은 일반적으로 레지스트를, 예컨대 마스크를 통해, 선택된 에너지원에 노광시켜 잠상을 기록하는 단계 및 이어서 레지스트의 선택된 영역을 현상 및 제거하는 단계를 포함한 몇몇 단계를 수반한다. 포지티브-톤 레지스트에서, 노출된 영역은 이러한 영역이 선택적으로 제거될 수 있도록 변형되는 반면, 네거티브-톤 레지스트에서는, 비노출 영역이 더 용이하게 제거될 수 있다.
일반적으로, 패턴을 방사선, 반응성 기체 또는 액체 용액으로 현상하여 레지스트의 감수성 부분을 선택적으로 제거할 수 있는 한편, 레지스트의 다른 부분은 보호성 내에칭층(protective etch resistant layer)으로서 작용한다. 액체 현상제는 화상 현상에 특히 효과적으로 이용될 수 있다. 기판은 보호성 레지스트 층의 나머지 영역에서 윈도우 또는 갭을 통해 선택적으로 에칭될 수 있다. 선택적으로, 보호성 레지스트 층의 나머지 영역에서 현상된 윈도우 또는 갭을 통해 아래 기판의 노광된 영역으로 소정 물질을 침착시킬 수 있다. 최종적으로, 보호성 레지스트 층을 제거한다. 공정을 반복하여 패터닝된 물질의 추가의 층들을 형성할 수 있다. 작용성 무기 물질을 화학적 증기 증착, 물리적 증기 증착 또는 기타 바람직한 방법을 이용하여 침착시킬 수 있다. 전도성 물질의 침착 또는 도펀트의 주입과 같은 추가 공정 단계를 이용할 수 있다. 마이크로 및 나노 제조 분야에서, 집적 회로 내의 피처(feature) 크기는 고집적 밀도를 달성하고 회로 기능을 개선하기 위하여 매우 작아져 왔다.
제 1 태양에서, 본 발명은 방사선을 이용하여 기판에 패터닝하기 위한 방법에 관한 것으로, 상기 방법은 조사된(irradiated) 코팅 부분 및 비-조사된(un-irradiated) 코팅 부분을 갖는 조사 구조를 형성하기 위해 선택된 패턴을 따라 코팅된 기판을 조사하는 단계 및 패터닝된 기판을 형성하기 위해 조사된 코팅 또는 조사되지 않은 코팅의 상당 부분을 제거하기 위해 조사된 구조를 선택적으로 현상하는 단계를 포함한다. 상기 코팅된 기판은 일반적으로, 금속 탄소 결합 및/또는 금속 카르복실레이트 결합을 갖는 유기 리간드를 가지는 금속 옥소-히드록소 네트워크를 포함하는 코팅을 포함한다.
추가적인 태양에서, 본 발명은 평균 두께가 약 10 미크론 이하 및 코팅된 부분의 임의의 지점에서의 평균으로부터 두께 편차가 약 50% 이하인 방사선 감응 코팅을 포함하며, 상기 코팅은 금속 탄소 결합 및/또는 금속 카르복실레이트 결합을 갖는 유기 리간드를 가지는 금속 양이온과 함께 금속 옥소-히드록소 네트워크를 포함하는 코팅된 기판에 관한 것이다.
또 다른 태양에서, 본 발명은 표면 및, 표면을 따라서 선택된 부분에 존재하고 표면을 따라서 다른 부분에서는 존재하지 않는, 제1코팅을 갖는 기판을 포함하는 패터닝된 기판에 관한 것이다. 일반적으로, 상기 제1코팅은 금속 옥소-히드록소 네트워크 및, 금속 탄소 결합 및/또는 금속 카르복시레이트 결합을 갖는 유기 리간드를 가지는, 금속 양이온을 갖는 유기 리간드를 포함한다. 선택적으로, 상기 제1코팅은 적어도 몇몇 유기 액체에 용해되거나, 또는 상기 제1코팅은 수성 염기에서 용해된다.
추가적 태양에서, 본 발명은 유기 액체, 및 금속 탄소 결합 및/또는 금속 카르복실레이트 결합을 가지는 유기 리간드를 갖는 약 0 .01M 내지 약 1.4 M의 금속 다핵성 옥소/히드록소 양이온을 포함하는 전구체 용액에 있어서, 상기 전구체 용액은 약 0.5 cP(센티푸아즈) 내지 약 150 cP의 점도를 가지는 전구체 용액에 관한 것이다.
상기 유기 액체는 10°C이상의 인화점 및 20°C 에서 10 kPa 이하의 증기압을 가질수 있다.
도 1은 잠상(latent image)을 포함하는 방사선 패터닝된 구조체의 개략적인 사시도이다.
도 2는 도 1의 구조체의 측면도이다.
도 3은 비-조사된(un-irradiated) 코팅 물질을 제거하여 패터닝된 구조체를 형성하는 잠상 현상 후의 도 1의 구조체의 개략적인 사시도이다.
도 4는 도 3의 패터닝된 구조체의 측면도이다.
도 5는 조사된 코팅 물질을 제거하여 패터닝된 구조체를 형성하는 잠상 현상 후의 도 1의 구조체의 개략적인 사시도이다.
도 6은 도 5의 패터닝된 구조체의 측면도이다.
도 7은 하부층의 에칭 후 도 3 및 4의 패터닝된 구조체의 측면도이다.
도 8은 에칭하여 패터닝된 축합 코팅 물질을 제거한 후 도 7의 구조체의 측면도이다.
도 9는 "열적 동결(thermal freeze)" 이중 패터닝 공정 흐름의 측면도이다. 도 1 내지 3에 도시된 공정이 제1층이 제2층에 불용성이 되도록 하는 소성 후에 반복된다.
도 10A 는 모노부틸주석 옥사이드 수화물(monobutyltin oxide hydrate)을 갖는 전구체 용액의 자기상관 산란 강도 붕괴(autocorrelation scattering intensity decay) 대 시간의 플롯이다.
도 10B는 4-메틸-2-펜탄올에서 모노부틸주석 산화물 수화물을 포함하는 전구체 용액의 계산된 질량 평균 입자 크기 분포의 히스토그램이다.
도 11A는 1191 μC/cm2의 도즈에서 30kV 전자 빔으로 조사되고 4-메틸-2-펜탄올에서 현상된 모노부틸주석 산화물 수화물에 의해 형성된 코팅 물질에서 36 nm 피치(pitch) 18 nm 넓이 라인으로 네가티브-톤 패터닝된 코팅의 주사전자현미경 이미지이다.
도 11B는 1191 μC/cm2의 도즈에서 30kV 전자 빔으로 조사되고 에틸 락테이트에서 현상된 모노부틸주석 산화물 수화물에 의해 형성된 코팅 물질에서 36 nm 피치 18 nm 넓이 라인으로 네가티브-톤 패터닝된 코팅의 주사전자현미경 이미지이다.
도 11C는 1191 μC/cm2의 도즈에서 30kV 전자 빔으로 조사되고 프로필렌 글리콜 모노메틸 에테르(PGMEA)에서 현상된 모노부틸주석 산화물 수화물에 의해 형성된 코팅 물질에서 36 nm 피치 18 nm 넓은 라인으로 네가티브-톤 패터닝된 코팅의 주사전자현미경 이미지이다.
도 11D는 1191 μC/cm2의 도즈에서 30kV 전자 빔으로 조사되고 n-부틸 아세테이트에서 현상된 모노부틸주석 산화물 수화물에 의해 형성된 코팅 물질에서 36 nm 피치 18 nm 넓이 라인으로 네가티브-톤 패터닝된 코팅의 주사전자현미경 이미지이다.
도 12A는 101 mJ/cm2의 도즈에서 13.5 nm 방사선으로 EUV 투영 리소그래피에 의해 조사되고 PGMEA로 현상된 모노부틸주석 산화물 수화물에 의해 형성된 코팅 물질에서 44 nm 피치 22 nm 넓이 라인으로 네가티브-톤 패터닝된 코팅의 주사전자현미경 이미지이다.
도 12B는 101 mJ/cm2의 도즈에서 13.5 nm 방사선으로 EUV 투영 리소그래피에 의해 조사되고 PGMEA로 현상된 모노부틸주석 산화물 수화물에 의해 형성된 코팅 물질에서 36 nm 피치 18 nm 넓이 라인으로 네가티브-톤 패터닝된 코팅의 주사전자현미경 이미지이다.
도 13은 모노부틸주석 산화물 수화물로 형성되는 코팅 물질에 대한 C-H 연신 모드 흡광도의 FTIR 투과 측정으로부터 계산된 상대 탄화수소 농도 대 전자 빔 도즈의 플롯이다.
도 14는 511 μC/cm2의 도즈에서 30 kV 전자 빔으로 조사되고 2.38% TMAH로 현상된 모노부틸주석 옥사이드 수화물에 의해 형성된 코팅 물질에서 60 nm 피치 30 nm 넓이 라인으로 포지티브-톤 패터닝된 코팅의 주사전자현미경 이미지이다.
도 15A는 갓 제조된 전구체 용액으로부터 증착된 필름에 1191 μC/cm2의 도즈에서 30 kV 전자 빔으로 조사되고 즉시 노출된 후 PGMEA에서 현상된 모노부틸주석 산화물 수화물에 의해 형성된 코팅 물질에서 36 nm 피치 18 nm 넓이 라인 및 2.78 nm의 LWR(line-width roughness)으로 네가티브-톤 패터닝된 코팅의 주사현미경 이미지이다.
도 15B는 상온에서 39일 경과한(aged) 전구체 용액으로부터 증착된 필름에 1191 μC/cm2의 도즈에서 30 kV 전자 빔으로 조사된 직후 노출시켜 PGMEA에서 현상된 모노부틸주석 산화물 수화물에 의해 형성된 코팅 물질에서 36 nm 피치 18 nm 넓이 라인 및 2.87 nm의 LWR으로 네가티브-톤 패터닝된 코팅의 주사전자현미경 이미지이다.
도 15C는 갓 제조된 전구체 용액으로부터 증착되고 코팅된 필름으로서 상온에서 39일 경과한 레지스트 필름에 1191 μC/cm2의 도즈에서 30 kV 전자 빔이 조사된 후, 노출시켜, PGMEA에서 현상된 모노부틸주석 산화물 수화물에 의해 형성된 코팅 물질에서 36 nm 피치 18 nm 넓이 라인 및 2.68 nm의 LWR으로 네가티브-톤 패터닝된 코팅의 주사전자현미경 이미지이다.
도 16은 75 μC/cm2의 도즈에서 30 kV 전자 빔으로 조사되고, PGMEA에서 현상된 디비닐주석 수산화물/산화물 코팅 재료로 형성된 코팅에서 100 nm 피치 상의 라인으로 네가티브-톤 패터닝된 코팅의 주사전자현미경 이미지이다.
도 17은 30 kV 전자 빔에 노출된 디비닐주석 수산화물/산화물 코팅 재료로 형성된 코팅의 FTIR 투과 측정으로부터 계산된 상대적 비닐(vinyl)(C-H 연신) 흡광도 대 도즈의 플롯이다.
도 18은 1500 μC/cm2의 도즈에서 30 kV 전자 빔으로 조사되고, PGMEA에서 현상된 디부틸주석 옥소-카르복실레이트(dibutyltin oxo-carboxylate) 코팅 재료로 형성된 코팅에서 32 nm 피치 상의 라인으로 네가티브-톤 패터닝된 코팅의 주사전자현미경 이미지이다.
도 19는 노출되지 않은 상태 및 800 μC/cm2의 도즈에서 30 kV 전자 빔에 노출된 후의 디부틸주석 옥소-카르복실레이트 필름을 비교한 투과-모드 FTIR 투과 스펙트럼이다.
바람직한 유기금속 전구체 용액은 일반적으로 비수계 용매(non-aqueous solvents)로 제조되고, 고해상도 패터닝을 제공하는 리간드 구조를 가지며, 상기 용액은 우수한 방사선 감수성을 가지는 방사선 패터닝 코팅을 형성하기 위한 높은 수준의 안정성을 가진다. 금속 옥소/히드록소 복합체(metal oxo/hydroxo complexes)를 형성하는 바람직한 리간드는 M-C 결합 또는 M-O2C 결합을 포함할 수 있으며, 상기 M은 선택된 금속 원자로서, 특히 바람직하게는 상기 M이 주석, 인듐, 안티모니 또는 이들의 조합이다. 유기금속 전구체 용액에 의해 형성된 코팅의 바람직한 특성은 패터닝된 금속 산화물 코팅의 형성에 우수한 직접 패터닝을 제공한다. 특히 주목되는 구체예에서, 방사선 노출은 조사된 코팅 재료를 현상제 조성물을 이용한 제거에 내성을 가지는 물질로 전환시키거나, 노출은 선택적으로 제거 될 수 있는 코팅물질의 극성을 충분히 변화시킨다. 그러므로, 몇몇 구현예에서는, 상기 코팅은 같은 코팅에 의해 네가티브 코팅이 되거나 포지티프 코팅이 될 수 있다. 적어도 코팅 물질의 일부의 선택적 제거는 패턴을 남길 수 있고, 여기서 코팅 영역은 하부층 기판을 노출시키기 위해 제거되었다. 조사된 상기 코팅의 현상 후, 상기 패터닝된 산화물 재료는 우수한 패턴 해상도를 가지는 소자 형성의 과정을 용이하게 하기 위해 사용될 수 있다. 코팅 물질은 극자외광(extreme ultraviolet light; EUV light), 자외광 및/또는 전자 빔과 같은 선택된 방사선에 감수성을 가지도록 설계될 수 있다. 또한, 전구체 용액은 상업적 유통을 위한 적절한 유통 기한을 가지며 안정하도록 조제될 수 있다.
본원의 논의를 단순히 하면, 상기 M-C 리간드 결합 및/또는 M-O2C 리간드 결합을 갖는 금속 이온은 유기-안정화된 금속 이온일 수 있다. 또한, 일반적으로 상기 금속이온은 유기 리간드에 더하여, 하나 이상의 옥소-리간드, 즉, M-O 및/또는 히드록소-리간드, 즉 M-O-H 에 추가로 결합된다. 상기 유기-안정화 리간드(organo-stabilizing ligands) 및 상기 옥소/히드록소 리간드는 유의한 공정의 장점을 가지는 금속 산화물 축합 공정에 대한 유의한 제어를 제공함으로써 전구체 용액 및 상응하는 코팅에 바람직한 특징을 제공한다. 유기 용매의 사용은 용액의 안정성을 서포트하는데, 놀랍게도 비수성용액 기반의 공정은 포지티브-톤 패터닝 및 네가티브-톤 패터닝 모두에 우수한 현상율 콘트라스트를 가지는 잠상 형성 후 얻어지는 코팅의 선택적 현상 능력을 유지한다. 용해된 유기-안정화 금속이온을 가지는 바람직한 전구체 용액은 간편한 용액 기반의 증착을 제공하여, 높은 방사선 감수성 및 에칭 내성에 대해 우수한 콘트라스트를 갖는 코팅을 형성함으로써 미세 구조 형성을 가능하게 한다. 상기 전구체 조성물의 설계는 특정 방사선 유형 및/또는 에너지/파장에 높은 감수성을 가지는 코팅 조성물의 형성을 제공할 수 있다.
상기 방사선 감수성 코팅 재료는 포지티브 방사선 패터닝 코팅 또는 네가티브 방사선 패터닝 코팅 중 하나로서 사용될 수 있다. 네가티브 패터닝에서, 방사선 노출은 조사된 코팅 물질을, 조사되지 않은 코팅 재료에 비해, 현상제 조성물을 이용한 제거에 더 내성을 가지는 물질로 변화시킨다. 포지티브 패터닝에서, 노출은 노출된 코팅 물질의 극성을 충분히 변화시켜(예를들어, 극성의 증가), 상기 노출된 코팅 물질이 수성 용매 또는 다른 높은 극성 용매에 의해 선택적으로 제거될 수 있도록 한다. 일부 코팅 물질의 선택적 제거는, 영역이 제거되어 하부 기판을 노출시키는 패턴을 남긴다.
통합된 전자 소자 등의 형성은 일반적으로 재료를 패터닝하여 구조체 내에 개개의 요소 또는 부품을 형성하는 것을 수반한다. 이러한 패터닝은, 소정의 기능성을 유도하기 위해 서로 수직 또는 수평으로 마주하여 쌓인 층(stacked layer)의 선택된 부분을 커버하는 상이한 조성물들을 사용할 수 있다. 각종 재료는 반도체를 포함할 수 있으며, 이것은 선택된 도펀트, 유전체, 전기 전도체 및/또는 다른 유형의 물질을 가질 수 있다. 고해상 패턴을 형성하기 위하여, 방사선 감수성 유기 조성물을 사용하여 패턴을 도입할 수 있으며, 상기 조성물은 상기 조성물의 부분들이 현상/에칭에 대하여 내성을 가지도록 가공되어 선택적 물질 제거를 이용한 선택된 패턴의 유도가 가능하므로 레지스트라고 언급될 수 있다. 선택된 패턴 또는 패턴의 네거티브의 방사선 조사를 이용하여 레지스트를 노출시키고 현상제 내성 영역 및 현상제 용해성 영역을 갖는 패턴 또는 잠상을 형성할 수 있다. 본 명세서에 개시된 방사선 감수성 유기금속 조성물은 소자 내부의 소정 무기 재료 구조체의 직접 형성을 위해 및/또는 유기 레지스트의 대체물인 방사선 패터닝 가능한 무기 레지스트로서 사용될 수 있다. 어떤 경우든, 유의한 가공 개선이 활용될 수 있고, 패터닝된 물질의 구조체도 개선될 수 있다.
구체적으로, 전구체 용액은 충분한 방사선 감수성 유기 리간드를 포함할 수 있고, 금속 양이온에 대한 방사선 감수성 리간드의 몰농도 비가 약 0.1 내지 약 3일 수 있다. 상기 전구체 용액으로부터 형성되는 코팅은 전구체 용액내의 이온의 리간드 구조에 의해 영향을 받으며, 건조시 금속 주위의 동등한 리간드일 수 있거나, 상기 리간드 구조는 코팅 및/또는 건조과정에서 변경될 수 있다. 특히, 상기 유기 리간드 농도는 전구체 안정성에 있어서 놀라울 정도로 큰 향상 및 일반적으로 극성 유기용매인 유기 용매에 의해 형성되는 용액에 의한 네트워크 형성의 제어를 제공한다. 이론에 의해 한정되는 것을 원치 않으나, 방사선 감수성 리간드 농도의 증가는, 대응하는 옥소-리간드 및/또는 히드록소-리간드와 함께, 금속 양이온의 응집을 확실히 감소시켜 용액을 안정화시킨다. 따라서, 전구체 용액은 적어도 1주일 동안, 가능한 한달 이상의 현저히 긴시간 동안 추가적 교반 없이 고형분의 침강에 대하여 안정할 수 있다. 안정 시간이 길기 때문에, 개선된 전구체는 상업적 사용 가능성에 있어서 다양성(versatility)을 증가시켰다. 전체 몰농도는 원하는 코팅 두께 및 원하는 코팅 특성을 달성하도록 선택할 수 있고, 원하는 안정성 수준을 일관성있게 얻을 수 있다. 금속-탄소 또는 금속-카르복실레이트 결합을 가지는 리간드는 바람직한 방사선 감수성 리간드 및 예를들어 알킬기(e.g., 메틸, 에틸, 프로필, 부틸, t-부틸), 아릴기(e.g., 페닐, 벤질), 알케닐기(e.g., 비닐, 알릴), 카르복실레이트 기(e.g., 아세테이트, 프로파노에이트(propanoate), 부타노에이트(butanoate), 벤조에이트(benzoate)) 또는 이들의 결합을 포함하는 특정한 리간드를 제공한다.
소정 방사선 흡수를 달성하기 위하여 유기 리간드를 가지는 다원자(polyatomic) 금속 옥소/히드록소 양이온을 선택할 수 있다. 특히, 인듐 및 주석 기반의 코팅 물질은 193nm 파장의 원자외광 및 13.5nm 파장의 극자외광에서 양호한 흡수를 나타낸다. 표 1은 모노부틸주석 산화물 수화물로 형성되고 100°C에서 소성한 코팅 재료의 선택된 파장에서의 광학 상수(n=굴절률 및 k=흡광계수)를 나타낸다.
파장(nm) n k
193 1.75 0.211
248 1.79 0.0389
365 1.63 0
405 1.62 0
436 1.61 0
코팅 물질의 바람직한 전체 특성을 제공하기 위하여 일부 전구체 용액은 추가 금속의 혼합물(blend)을 효과적으로 포함한다. 전구체 용액은 리소그래피(lithography) 중요한 일부 방사선 파장의 흡수를 증가시키기 위하여 추가의 금속 양이온을 포함할 수 있다. 금속 이온 농도는 전구체 용액에 대한 원하는 특성을 제공하도록 선택될 수 있는데, 코팅 특성이 증착 기술에 의존함에도 불구하고, 더 희석된 용액은 일반적으로 더 얇은 코팅 물질의 형성과 양립한다.
상기 전구체 조성물의 리간드 구조는 바람직한 전구체 용액의 안정성뿐만 아니라 방사선 패터닝 기능까지 제공한다고 믿어진다. 특히, 방사선의 흡수는 상기 금속과 상기 유기 리간드 사이의 결합을 파괴하여 코팅 재료의 조사 및 비-조사(non-irradiated) 부분에서 조성물의 차별화를 일으킨다. 그러므로, 개선된 전구체 용액을 형성하기 위한 조성 변화는 또한 개선된 화상 현상을 제공한다. 특히, 상기 조사된 코팅 재료는 상기 현상제(e.g., 적절한 현상제 선택을 통해 포지티브 또는 네가티브-톤 이미지로 현상될 수 있다.)에 대하여 가변 응답을 가지는 안정한 무기 금속 산화물 재료가 될 수 있다. 몇몇 구현예에서는, 적절한 현상제는 예를 들어 2.38% TMAH(즉, 반도체 업계 표준)를 포함한다. 상기 코팅층은, 코팅물질을 현상 후에 유지하고자 하는 부분에서 코팅 물질의 제거 없이, 현상하는 동안 패턴 손실 없이 얇게 만들어질 수 있다. 종래의 유기 레지스트에 비하여, 본 명세서에 개시된 물질은 상업적으로 적합한 기능층을 위한 다수의 에칭 화학약품에 대하여 매우 높은 내성을 가진다. 이로써 마스크 기능과 관련하여 패터닝된 유기 레지스트를 보충하기 위하여 사용되는 중간 희생적 무기 패턴 전사층(intermdiate sacrificial inorganic pattern transfer layers)을 생략함으로써 공정을 간략화할 수 있다. 또한, 코팅 물질은 간편한 이중 패터닝을 제공할 수 있다.
구체적으로, 열처리 후, 코팅 물질의 패턴 부분은 추가의 전구체 용액을 포함하는 다수의 조성물과의 접촉에 있어서 안정하다. 따라서, 전에 증착된 하드마스크(hard-mask) 또는 레지스트 코팅 물질의 제거 없이 다중 패터닝을 수행할 수 있다.
이후 패터닝된 코팅 물질은 원하는 기능성 물질을 패터닝하는 마스크로서 사용된 후 제거될 수 있다. 선택적으로, 생성되는 패터닝된 물질은 종국적인 소자(들)의 부품으로서, 무기 금속 산화물 재료로의 적어도 일부의 축합을 통한 적절한 안정화 후 구조체에 통합될 수 있다. 패터닝된 무기 코팅 물질이 구조체(예를들어, 안정적인 유전체 층)에 통합되는 경우, 방사선에 의한 물질의 직접 패터닝을 이용함으로써 가공 절차의 많은 단계를 생략할 수 있다. 선택적으로, 단파장 전자기 방사선 및/또는 전자빔을 이용하여 노출된 얇은 무기 코팅 물질을 사용하여 매우 고해상의 구조체를 형성할 수 있고, 선폭 거칠기가, 개선된 패터닝된 구조체의 형성을 위해, 매우 낮은 수준까지 감소될 수 있음을 발견하였다.
상기 전구체 용액은 다핵(polynuclear) 금속 옥소/히드록소 양이온 및 유기 리간드를 포함한다. 금속 아산화물(suboxide) 양이온으로도 기술되는, 다핵 금속 옥소/히드록소 양이온은 금속 원소 및 공유 결합된 산소 원자를 갖는 다원자 양이온이다. 과산화물계 리간드를 가지는 금속 아산화물 양이온은 본원에 참조로 포함된 "패터닝된 무기 층, 방사선 기반 패터닝 조성물 및 그 방법"이라 표제된 Stowers 등에 의한 미국특허 8,415,000('000 특허) 에 기재되어 있다. 금속 아산화물 또는 금속 수산화물의 수용액은 겔화 및/또는 침전에 대하여 불안정한 경향이 있을 수 있다. 특히, 용액은 용매 제거시 불안정하며 금속 양이온을 갖는 옥소-수산화물 네트워크(oxo-hydroxide networks)를 형성할 수 있다. 과산화물과 같은 방사선-감수성 리간드를 그러한 용액에 포함시키는 것은 안정성을 제공할 수 있으나, 네트워크 형성과 관련된 배경 불안정성(background instability)이 지속될 수 있다. 이러한 제어되지 않는 네트워크 형성은 조사에 의존하지 않는 현상율 결정 경로(development rate determining pathway)를 제공함으로써 방사선 감수성 및/또는 코팅된 재료의 현상율 콘트라스트(development rate contrast)를 효과적으로 감소시킨다.
새로운 전구체 용액은 과산화물계 리간드를 갖는 무기 레지스트 재료에 비해 개선된 안전성, 및 네트워크 형성과 침전의 제어를 갖도록 조제되었다. 이 경우, 방사선 감수성으로서 리간드의 특성은 방사선 흡수 후의 금속-리간드 결합의 불안정성(liability)을 지칭하며, 그 결과 방사선은 상기 재료의 화학적 변화를 유도하는데 사용할 수 있다. 특히, 유기 리간드는 상기 재료의 처리에 대한 제어를 제공하는 동안 전구체 용액을 안정화시키며, 금속 이온에 대한 유리 리간드의 비율의 선택은 용액 및 생성된 코팅의 특성을 제어하도록 조절될 수 있다. 특히, 금속 양이온에 대한 유기 리간드의 몰비가 약 0.1 내지 약 3일 경우, 일반적으로 더 안정한 용액이 형성될 수 있다. 더 안정한 전구체 용액은 조사된 코팅 물질 및 조사되지 않은 코팅 물질 간의 더 커진 콘트라스트의 추가적 장점을 제공하는데, 이는 금속을 포함하는 유기 리간드 결합의 파열은 잠상을 가지는 코팅 재료에서 현상율 콘트라스트를 개선시키기 위한 방사선 조사로 성취될 수 있기 때문이다.
안정성이 더 큰 정제된 전구체 용액은 또한 기판의 방사선 노출 및 비노출 부분 사이에 더 큰 현상율 콘트라스트 잠재성을 갖는 코팅 물질을 제공하며, 놀랍게도, 포지티브 톤 패터닝 또는 네가티브 톤 패터닝 중 어느 하나와 동시에 실현될 수 있다. 구체적으로, 상기 조사된 코팅 물질 또는 상기 비-조사 코팅 물질은 적합한 현상제 조성물에 의해서 상대적으로 더 쉽게 용해될 수 있다. 그러므로, 개선된 조성물 및 그에 상응하는 재료와 함께, 포지티브- 또는 네가티브- 톤 이미지는 현상제의 선택을 통해 달성될 수 있다. 동시에, 이웃하는 요소들(elements) 사이가 적절히 단리, 일반적으로 절연(electrical isolation)되면서, 이웃하는 요소들간 피치가 매우 작게 만들어질 수 있다. 조사된 코팅 조성물은, 기판의 표면 상에 조사된 패터닝 조성물의 적절한 부분을 남기면서 코팅 조성물을 선택적으로 깨끗히 제거하는 것과 관련하여 현상 공정의 효율을 손상시키지 않으면서 매우 얇게 제조될 수 있도록, 이후의 현상/에칭 공정에 매우 민감할 수 있다. 또한 현상제에 대한 노출 시간 단축은 코팅의 패터닝 부분을 손상시키지 않고 얇은 코팅의 사용과 양립한다.
상기 전구체 용액은 아래에 추가로 개시하는 바와 같이 일반적으로 어떤 적합한 코팅 또는 프린팅 기술에 의해 증착될 수 있다. 상기 코팅은 일반적으로 건조되고, 코팅의 안정을 위해 조사하기 전에 가열이 적용될 수 있다. 일반적으로, 상기 코팅은 얇은데, 예를 들어, 평균 두께는 10 미크론 이하이며, 매우 얇은 서브 미크론 코팅은 아주 작은 피처(feature)의 패터닝에 바람직할 수 있다. 상기 건조된 코팅은, 코팅에 잠상을 형성하기 위해, 적절한 방사선(e.g., 극자외광, e-빔 또는 자외광)에 노출될 수 있다. 상기 잠상은 실제 이미지(즉, 패터닝된 코팅)를 형성하기 위해서 현상제와 접촉된다. 상기 패터닝된 코팅은 표면상에 패터닝된 잔류 코팅의 안정화를 위해 추가로 가열될 수 있다. 상기 패터닝된 코팅은 추가적인 공정(e.g., 기판의 에칭 및/또는 패턴을 따라 추가적인 물질의 증착)을 실행하기 위하여 물리적 마스크로서 사용될 수 있다. 공정의 적절한 포인트에서, 패터닝된 코팅은 최종 구조에 통합될 수 있지만, 잔류하는 패터닝된 코팅은 제거될 수 있다. 본 명세서에 개시된 패터닝 조성물에 의해 극미세 피처(very fine feature)가 효과적으로 달성될 수 있다.
전구체 용액
레지스트 코팅을 형성하기 위한 전구체 용액은 유기 용매(일반적으로 유기 용매) 중에 적절한 유기-안정화 리간드와 함께 금속 양이온을 포함한다. 상기 전구체 용액 및 상기 최종 레지스트 코팅은 금속 산화물 케미스트리에 기초하며, 유기 리간드를 가지는 금속 폴리양이온의 유기 용액은 우수한 레지스트 특성을 가지는 안정된 용액을 제공한다. 상기 리간드는 방사선 감수성을 제공하며, 리간드의 특정한 선택은 방사선 감수성에 영향을 미칠 수 있다. 특히, 전구체 용액은 금속 양이온의 선택뿐만 아니라 관련 리간드에 기초하여 선택된 방사선에 대하여 소정 수준의 방사선 흡수를 달성하도록 설계될 수 있다. 용액에서 리간드-안정화된(ligand stabilized) 금속 양이온의 농도는 스핀코팅과 같은 특정 증착 방법을 위한 적합한 용액 특성을 제공하기 위해 선택될 수 있다. 안정성 및 가공 효율성에 대하여 특히 유효한 금속은 13, 14 및 15족 금속이다. 상응하게, 일반적으로 패터닝에 사용되는 방사선의 높은 흡수율 제공하기 위해, 상기 전구체 용액에 주석(Sn), 인(In) 및 안티모니(Sb) 재료를 포함하는 것이 바람직한데, 이들 금속은, 특히 방사선 흡수와 같은, 특성을 조절하기 위해 다른 금속과 조합될 수 있다. 전구체 용액은 매우 높은 수준의 안정성을 달성하여 시판 제품을 위한 적절한 저장 수명을 갖도록 조제되어 왔다. 다음에 설명하는 바와 같이, 상기 전구체 용액은 기판 표면에 적용되고, 건조되고, 추가적인 처리 공정을 거쳐, 효과적인 방사선 레지스트를 형성할 수 있다. 전구체 용액은 적어도 부분적인 용매 제거로 코팅 조성물을 형성하도록 설계되는데, 궁극적으로는 조사 및/또는 열처리, 플라즈마 노출, 또는 유사 공정으로 금속 산화물로 대별되는 무기 고체를 형성하도록 설계된다.
전구체 수용액은 일반적으로 하나 이상의 금속 양이온을 포함한다. 수용액에 있어서, 금속 양이온은 물 분자와의 상호작용으로 수화되며, 가수분해가 일어나 금속 이온에 산소 원자를 결합시켜 히드록시드 리간드 또는 옥소 결합을 형성하면서 수소 이온을 방출할 수 있다. 상기 상호작용성은 일반적으로 pH 의존적이다. 수용액에서 추가적 가수분해가 일어날 때, 용액은 금속 산화물의 침전에 대하여 또는 겔화에 대하여 불안정해질 수 있다. 궁극적으로, 산화물 물질을 형성하는 것이 바람직하나, 이 과정은 유기 리간드로 안정화된 금속 양이온(organic ligand stabilized metal cation) 및 유기 용매을 기반으로 한 전구체 용액을 이용하면 보다 잘 제어될 수 있다. 유기 안정화 리간드(organic-stabilization ligand) 및 유기 용매를 기반으로 한 전구체 용액을 사용하여, 산화물로의 진행은 용액을 먼저 코팅 물질로 가공한 다음, 유기 리간드를 갖는 궁극적인 금속 산화물 조성물로 가공하기 위한 절차의 일부로서 제어될 수 있다. 본원에 개시된 바와 같이, 유기 리간드는, 용액을 효과적인 방사선 레지스트 조성물로 가공하기 위한 유의한 제어를 제공하는데 사용될 수 있다.
따라서, 금속 양이온의 조성물은 추가의 가공을 위해 준비된다. 특히, 용액을 금속 산화물 조성물로 준비할 수 있는 다핵 금속 옥소/히드록소 양이온을, 전구체 용액의 추가 성분으로서 사용하는 것이 유리할 수 있다. 일반적으로, 전구체 용액은 약 0.01M 내지 약 1.4M의 금속 다핵 옥소/히드록소 양이온, 다른 구체예에서 약 0.05M 내지 약 1.2M의 금속 다핵 옥소/히드록소 양이온, 추가의 구체예에서 약 0.1M 내지 약 1.0M의 금속 다핵 옥소/히드록소 양이온을 포함한다. 당업자라면 상기 명시 범위에 속하는 부가적 범위의 금속 다핵 옥소/히드록소 양이온이 고려되며 본 발명의 개시내임을 인식할 것이다.
주석, 안티모니 및/또는 인듐은 본 명세서에 개시된 상기 전구체 용액을 위한 다핵 금속 옥소/히드록소 양이온의 형성에 특히 적합한 금속이다. 특히, 주석은 유기 리간드를 기반으로 한 바람직한 케미스트리를 가진다. 추가적인 금속은 예를 들어 Ti, Zr, Hf, V, Co, Mo, W, Al, Ga, Si, Ge, P, As, Y, La, Ce, Lu 또는 이들의 조합을 포함하는, 더 복잡한 다핵 금속 옥소/히드록소 양이온 제제를 제조하기 위해 제공될 수 있다. 상기 추가적인 금속은 주석 이온, 안티모니 이온 및/또는 인듐 이온의 대체물이 되거나 이에 부가하여 사용될 수 있다. 금속 이온의 혼합물이 사용되는 경우, 몇몇 구현예에서는, 추가적인 금속 이온을 가지는 주석/안티모니/인듐의 몰비는 각 주석/안티모니/인듐 당 최대 약 1 비-주석/안티모니/인듐 금속 이온일 수 있고, 추가적인 구현예에서 각 주석/안티모니/인듐 당 약 0.1 내지 약0.75 의 비- 주석/인듐 금속 이온일 수 있다. 금속 이온의 혼합물이 사용되는 경우, 상기 금속 이온은 용액중 착물 다중 금속 옥소-히드록소 클러스터(complex multiple metal oxo-hydroxo cluster) 또는 별개의 금속 옥소-히드록소 클러스터 중에 있을 수 있다. 용액중 정확한 클러스터 형태는 알려져 있거나 알려져 있지 않을 수 있으며, 일반적으로 상기 생성된 코팅은 용액중 클러스터 구조가 알려져 있던 알려져 있지 않던 간에 원하는 기능을 제공할 수 있다. 상기 기재된 바와 같이, 용액중 양이온의 상태는 pH 의존적이어서 산소 배위결합의 초기 상태가 용액 중에서 변화될 수 있으나, 가수분해 및 축합 경향으로 산화물 형성이 유도된다. 유기 리간드는 금속-산소 네트워크의 형성을 방해하여 겔화 및 궁극적으로 침전을 유도할 수 있다는 것이 발견되었다. 따라서, 유기 리간드는 선택적 방사선 노출을 통한 산화물로의 변환을 위한 안정한 상태를 형성하는데 사용될 수 있다. 또한 유기 리간드의 사용은 전구체 용액 및 현상제의 선택범위를 확장시켜, 수계 및 유기 용매 모두를 포함하게 된다.
금속은 일반적으로 방사선의 흡수에 유의하게 영향을 준다. 따라서, 금속 양이온은 소정 방사선 및 흡수 단면을 기초로 선택될 수 있다. 인듐 및 주석은 13.5 nm의 극자외광의 강한 흡수를 제공한다. 또한 유기 리간드와 결합은 193nm 파장의 자외광의 양호한 흡수를 제공한다. 또한, Hf는 전자빔 재료 및 극자외선 방사의 양호한 흡수를 제공한다. 방사선 흡수를 위한 조성물의 추가 조정은 다른 금속 이온의 첨가를 기초로 조절될 수 있다. 예를 들어, Ti, V, Mo 또는 W 또는 이의 조합을 포함하는 하나 이상의 금속 조성물은 전구체 용액에 첨가하여 장파장으로 이동된 흡수 한계(absorption edge)를 갖는 코팅 물질을 형성함으로써, 예컨대 248 nm 파장 자외광에 대한 감수성을 제공할 수 있다. 흡수된 에너지는 금속 유기 상호작용으로 금속-리간드의 파열 및 금속 특성에 대한 바람직한 제어를 가져온다.
유기계 리간드는 축합에 대하여 조성물을 안정화시킨다. 특히, 비교적 높은 유기계 리간드 농도에서, 응축이 실온에서 자발적으로 일어나는 경우, 응축된 금속 산화물 또는 금속 수산화물의 형성은 매우 느리다. 이러한 안정화 특성의 발견에 기초하여, 용액은 고농도의 방사선 감수성 리간드와 함께 형성되어, 코팅을 형성하는 간편한 공정을 유지하면서 양호한 저장 안정성을 가질 수 있다. 방사선 감수성 리간드는 카르복실레이트 및 금속-탄소 결합(e.g., 주석-탄소 결합)을 형성하는 유기 모이어티를 포함한다. 흡수한 방사선 에너지는 금속-유기 리간드 결합을 깰 수 있다. 이러한 결합이 깨지면, 축합에 대하여 상응하는 안정도가 감소되거나 소실된다. 상기 조성물은 M--OH 형성을 통해 또는 M--O--M 결합을 형성하는 축합을 통해 변화될 수 있고, 상기 M은 금속 원자를 나타낸다. 따라서, 화학적 변화는 방사선으로 제어될 수 있다. 높은 방사선 감수성 리간드 농도를 갖는 조성물은 자발적인 수산화물 형성 및 축합의 회피에 있어서 매우 안정할 수 있다. 바람직한 리간드 구조를 갖는 몇몇 적합한 금속 조성물은 알파에이서(Alfa Aesar, MA, USA) 및 TCI 아메리카 (TCI America, OR, USA)와 같은 상업적인 출처로부터 구입할 수 있으며, 다음과 같은 예시를 참조하여, 다른 금속-리간드 조성물을 합성할 수 있다.
상기 유기 리간드는 예를들어, 알킬(e.g. 메틸, 에틸, 프로필, 부틸, t-부틸, 아릴(페닐, 벤질), 알케닐(e.g. 비닐, 알릴) 및 카르복실레이트(아세테이트, 프로파노에이트, 부타노에이트, 벤조에이트)일 수 있다. 전구체 조성물은 일반적으로 금속 양이온 농도의 약 0.25 내지 약 4배, 추가의 구체예에서 약 0.5 내지 약 3.5배, 다른 구체예에서 약 0.75 내지 약 3배, 및 다른 구체예에서 약 1 내지 약 2.75 배의 리간드 농도를 포함한다
당업자라면 상기 명시 범위에 속하는 추가 범위의 리간드 농도가 고려되며 본 발명의 개시범위 내임을 인식할 것이다.
상기 금속 이온에 대한 상기 옥소/히드록소 리간드에 대하여, 이러한 리간드는 가수분해 과정 중에 형성될 수 있다. 몇몇 구현예에서, 상기 가수분해는 염기성 수용액중 할라이드 리간드의 치환 및 유기용매로의 후속 이전을 포함한다. 구체적인 예는 아래에 나타낸다. 기본적으로, 유기 안정화 리간드 및 할로겐화물 리간드와 함께 금속이온을 포함하는 조성물은 유기 용매에서 용해되며, 그 후 염기성 수용액과 접촉시키면, 할라이드 리간드의 히드록소 리간드로의 치환이 발생할 수 있다. 히드록소 리간드를 제공하기에 충분한 시간을 제공한 후, 상기 유기 액체가 수용액에 불용성이라는 가정하에, 상기 수용액은 상기 유기층으로부터 분리될 수 있다. 몇몇 구현예에서, 상기 옥소/히드록소 리간드는 대기중의 물로부터 가수 분해를 통해 형성될 수 있다. 가수 분해성 금속 이온 조성물은 코팅 물질에서 직접적으로 옥소/히드록소 리간드를 형성하기 위해서 대기 수분의 존재하에 가열될 수 있으며, 이는 높은 표면적 때문에 상대적으로 용이하게 일어날 수 있다. 또한, 대기중의 물에서의 가수분해의 예시는 다음에서 나타낸다.
유기-안정화 리간드 형성에 있어서, 이들은 또한, 원하는 조성물을 형성하기 위해 용액 중에 형성될 수 있다. 카르복실레이트 리간드에 있어서, 대응하는 카르복실 산 또는 그들의 염은 금속 양이온을 가지는 용액에 용해될 수 있다. 바람직하게는, 용액의 pH는 카르복실레이트기가 금속에 용이하게 결합되도록 조정될 수 있으며, 상기 공정을 더 촉진하기 위해 열처리를 적용할 수 있다. 일반적으로, 반응은, 수용매에서 일어난 다음 유기용매로 이전되거나, 유기 용매에서 직접적으로 일어날 수 있다. 또한, M-C 결합은 용액상 치환 반응에서 형성될 수 있다. Sn-C 결합을 형성하는 치환 반응의 대표적인 적절한 반응은 다음과 같고, 다른 금속 이온들에 대해 유사한 반응이 일어난다:
n RCl + Sn→ RnSnCl4-n + 잔여물
4 RMgBr + SnCl4→ R4Sn + 4 MgBrCl
3 SnCl4 + 4R3Al→ 3 R4Sn + 4 AlCl3
R4Sn + SnCl4→ 2 R2SnCl2,
여기서, R은 유기 리간드를 의미한다. 일반적으로, 상기 반응에서 다른 적합한 할로겐화물이 대체 사용될 수 있다. 상기 반응은 반응물이 적합한 용해도를 가지는 적절한 유기 용매에서 수행될 수 있다.
일반적으로, 바람직한 화합물은 유기 용매(e.g., 알코올, 에스테르 또는 이들의 조합)에 용해될 수 있다. 특히, 적절한 용매는, 예를들어, 방향족 화합물(e.g., 자일렌, 톨루엔), 에스테르(e.g., 프로필렌 글리콜 모노메틸 에테르 아세테이트, 에틸 아세테이트, 에틸 락테이트), 알코올(e.g., 4-메틸-2-프로판올, 1-부탄올, 아니솔), 케톤(e.g., 메틸 에틸 케톤) 등을 포함한다. 일반적으로, 유기 용매 선택은 용해도 파라미터, 휘발성, 가연성, 독성, 점도 및 다른 공정 물질과의 잠재적인 화학적 상호작용에 의해 영향을 받을 수 있다. 용액의 성분들이 용해되고 합쳐진 후, 특히, 코팅 공정 중의 부분 수화 및 응축의 결과, 화학종들의 특성이 변화될 수 있다. 본 명세서에서 용액의 조성이 언급되는 경우, 상기 언급은 용액에 첨가되는 성분들에 대한 것이며, 이는 복합 제제는 충분히 특정되지 않은 용액에서 금속 다핵 종을 생성할 수 있기 때문이다. 특정 분야에 있어서, 유기용매는 약 10°C 이상, 추가적인 구체예에서 약 20°C 이상 및, 또 다른 구체예에서 약 25°C 이상의 발화점을 갖는 것이 바람직하며, 20°C에서 증기압은 약 10kPa 이하, 몇몇 구체예에서 약 8kPa 이하, 및 추가적인 구체예에서 약 6kPa이하인 것이 바람직하다. 본 기술 분야에서 통상의 지식을 가진 자는 상기에서 명시한 범위 내에서 다른 발화점 범위 및 증기압 범위를 도출할 수 있으며, 이들이 본 발명의 범위 내라는 것을 인식할 것이다.
일반적으로, 전구체 용액은 재료의 체적이 형성되기에 적절한 혼합장치를 이용하여 충분히 혼합되었다. 적합한 여과는 오염물질 또는 적절히 용해되지 않은 다른 구성요소의 제거에 사용될 수 있다. 일부 구체예에서, 합해져 전구체 용액을 형성할 수 있는 개별 용액들을 형성하는 것이 바람직할 수 있다. 구체적으로, 금속 다핵 옥소/히드록소 양이온, 임의의 추가의 금속 양이온, 및 유기 리간드 중 하나 이상을 포함하는 개별 용액들을 형성할 수 있다. 다수의 금속 양이온을 도입하는 경우, 다수의 금속 양이온은 동일한 용액으로 및/또는 개별 용액으로 도입될 수 있다. 일반적으로, 별개의 용액 또는 조합된 용액은 잘 혼합될 수 있다. 그 후, 일부 구체예에서, 유기계 리간드가 금속 양이온과 공액될 수 있도록 금속 양이온 용액을 유기계 리간드 용액과 혼합한다. 생성되는 용액은 안정화된 금속 양이온 용액이라 할 수 있다. 일부 구체예에서, 안정화된 금속 양이온 용액은 안정된 리간드 형성을 제공하기에 적합한 시간동안 반응하도록 허용되며, 이것은 용액내 클러스터 형성을 포함하거나 포함하지 않을 수 있으며, 혼합된 금속 이온을 도입하거나 하지 않을 수 있다. 일부 구체예에서, 추가적인 공정에 앞서, 용액의 반응 또는 안정화 시간은 약 5분 이상, 다른 구체예에서 약 1시간 이상, 및 추가적인 구체예에서 약 2시간 내지 약 48시간일 수 있다. 당업자라면 추가 범위의 안정화 기간이 고려되고 본 개시내용에 포함됨을 인식할 것이다.
전구체 용액 중의 화학종의 농도는 용액의 소정 물리적 특성을 달성하도록 선택될 수 있다. 특히, 농도가 낮으면 대체로 스핀 코팅과 같은 특정 코팅법에 바람직한 용액 특성을 얻을 수 있고, 적합한 코팅 매개변수를 이용하여 더 얇은 코팅을 달성할 수 있다. 재료 비용을 줄이기 위해서뿐만 아니라, 초 미세 패턴(ultrafine pattern)을 달성하기 위해서 더 얇은 코팅이 바람직할 수 있다. 일반적으로, 농도는 선택된 코팅법에 적절하도록 선택될 수 있다. 코팅 특성에 대해서는 후술하기로 한다.
상기 개시된 바와 같이, 금속 양이온에 대하여 상대적으로 큰 비율의 유기계 리간드를 사용하여 전구체 용액을 크게 안정화시킬 수 있다. 전구체 용액의 안정성이 초기 용액에 비한 변화로 평가될 수 있다. 구체적으로, 큰 졸(large sol) 입자의 생성으로 상분리가 일어나는 경우 또는 용액이 원하는 패턴을 형성하는 능력을 잃는 경우, 용액은 안정성을 잃었다. 본 명세서에 개시된 개선된 안정화법에 기초하여, 용액은 추가의 혼합 없이 약 1주 이상, 추가의 구체예에서 약 2주 이상, 다른 구체예에서 약 4주 이상 안정할 수 있다. 당업자라면 추가 범위의 안정화 시간이 고려되고 본 개시내용에 포함됨을 인식할 것이다. 용액은 적절한 저장 수명을 갖고 상업적으로 유통될 수 있기에 충분한 안정화 시간을 갖도록 조제될 수 있다.
코팅 물질
코팅 물질은 선택된 기재에 전구체 용액을 증착 및 후속 처리함으로써 형성된다. 기재는 일반적으로 코팅 물질이 침착될 수 있는 표면을 가지며, 복수의 층을 포함할 수 있는데, 이때의 표면은 최상층을 의미한다. 일부 구체예에서, 코팅 물질의 부착을 위한 표면을 준비하기 위하여 기재 표면을 처리할 수 있다. 또한, 적절할 경우 표면을 세정 및/또는 평활화할 수 있다. 적당한 기재 표면은 임의의 적합한 물질을 포함할 수 있다. 특히 주목되는 일부 기재는 예컨대 실리콘 웨이퍼, 실리카 기재, 기타 무기 물질, 유기 중합체와 같은 중합체 기재, 이의 복합물 및 이의 조합을 기재의 표면에 걸쳐 및/또는 기재의 층 내에 포함한다. 비교적 얇은 원통형 구조와 같은 웨이퍼가 편리할 수 있으나, 임의의 적합한 형태의 구조를 사용할 수 있다. 중합체 기재 또는 비중합체 구조 위에 중합체층을 갖는 기재가 저비용 및 융통성에 기반한 특정 제품에 바람직할 수 있으며, 적당한 중합체는 본 명세서에 개시된 패터닝 가능한 물질의 가공에 사용될 수 있는 비교적 낮은 가공 온도에 기초하여 선택될 수 있다. 적당한 중합체는 예를들어, 폴리카르보네이트, 폴리이미드, 폴리에스테르, 폴리알켄, 이들의 공중합체 및 이들의 혼합물을 포함할 수 있다. 일반적으로, 이것은 편평한 표면을 갖는 기판, 특히 고해상도 제품에 바람직하다.
일반적으로, 임의의 적당한 코팅 방법을 이용하여 기판에 전구체 용액을 수송할 수 있다. 적당한 코팅 방법은 예를들어, 스핀 코팅, 분무 코팅, 침지 코팅, 나이프 에지 코팅, 잉크젯 인쇄 및 스크린 인쇄와 같은 인쇄법 등을 포함할 수 있다. 이들 코팅법 중 일부는 코팅 공정 동안 코팅 물질의 패턴을 형성하나, 인쇄 등으로부터 현재 이용가능한 해상도는 본 명세서에 개시된 바와 같은 방사선에 기초한 패터닝으로부터 이용가능한 것보다 현저히 낮은 수준의 해상도를 가진다. 코팅 물질은 코팅 공정을 더 잘 제어할 수 있도록 다수의 코팅 단계로 도포될 수 있다. 예컨대, 다중 스핀 코팅을 수행하여 원하는 최종 코팅 두께를 얻을 수 있다. 이하에 개시된 열처리는 각 코팅 단계 후 또는 복수의 코팅 단계 후 적용될 수 있다.
방사선을 이용하여 패터닝을 수행할 경우, 스핀 코팅은 에지 효과가 있을 수 있으나 기판을 비교적 균일하게 커버하기에 바람직한 방법일 수 있다. 일부 구체예에서, 웨이퍼는 약 500 rpm 내지 약 10,000 rpm, 추가의 구체예에서 약 1000 rpm 내지 약 7500 rpm, 추가의 구체예에서 약 2000 rpm 내지 약 6000 rpm의 속도로 스피닝될 수 있다. 스피닝 속도를 조절하여 소정의 코팅 두께를 얻을 수 있다. 스핀 코팅은 약 5초 내지 약 5분, 추가의 구체예에서 약 15초 내지 약 2분의 시간 동안 수행될 수 있다. 예컨대 50 rpm 내지 250 rpm의 초기 저속 스핀을 이용하여 기판에 걸쳐 조성물의 초기 벌크 확산을 수행할 수 있다. 물 또는 에지 비드 제거에 적당한 기타 용매로 뒷면 세정, 에지비드 제거 단계 등을 수행할 수 있다. 당업자라면 상기 명시 범위에 속하는 추가 범위의 스핀 코팅 매개변수가 고려되며 본 발명의 개시내임을 인식할 것이다.
코팅의 두께는 일반적으로 전구체 용액 농도, 점도 및 스핀 코팅을 위한 스핀 속도의 함수일 수 있다. 다른 코팅 방법에서, 두께는 또한 일반적으로 코팅 매개변수의 선택에 의하여 조절될 수 있다. 일부 구체예에서, 후속의 패터닝 과정에 있어서 작은 고해상의 피처의 형성을 촉진하기 위하여 얇은 코팅을 사용하는 것이 바람직할 수 있다. 예를 들어, 건조 후의 코팅 물질의 평균 두께는 약 10 미크론 이하, 다른 구체예에서 약 1 미크론 이하, 추가의 구체예에서 약 250 나노미터(nm) 이하, 추가의 구체예에서 약 1 나노미터(nm) 내지 약 50 nm, 다른 구체예에서 약 2 nm 내지 약 40 nm , 일부 구체예에서 약 3 nm 내지 약 25 nm일 수 있다. 당해 기술 분야의 당업자라면 상기 명시적 범위들 내에서 추가의 두께 범위들을 도출할 수 있고, 이들도 본 발명의 범위 내에 있다는 것을 인지할 것이다. 두께는 필름의 광학 특성에 기초한 타원계측법 및/또는 x-선 반사의 비접촉법을 이용하여 평가할 수 있다. 일반적으로, 상기 코팅은 공정을 용이하게 하기 위해 비교적 균일하다. 일부 구현예에서, 상기 코팅의 두께의 변화는 평균 코팅 두께의 ±50% 이하, 다른 구현예에서 평균 코팅 두께의 ±40%이하, 및 또 다른 구현예에서 평균 코팅 두께의 ±25% 이하일 수 있다. 일부 구체예에서, 대형 기판상의 고 균질성 코팅과 같은, 코팅 균일성(coating uniformity)의 평가는 가장자리 1 센티미터를 제외하고 평가될 수 있는데, 즉 상기 코팅 균질성은 가장자리 1cm 이내의 코팅 부분에 대해서는 평가하지 않는다. 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자는 상기 명시된 범위 및 본 발명의 내용에 따른 추가적 범위를 이해할 것이다.
많은 코팅 공정이 증발을 자극하는 용액의 이동 및/또는 큰 표면적을 갖는 액적 또는 다른 형태의 코팅 물질을 형성하므로 코팅 공정 자체로 용매의 일부가 증발될 수 있다. 용매가 손실되면 물질 중의 화학종의 농도가 증가하므로 코팅 물질의 점도가 증가되는 경향이 있다. 코팅 공정 동안의 목적은 용매를 충분히 제거하여 코팅 물질을 추가 처리를 위하여 안정화시키는 것일 수 있다. 일반적으로, 방사선 노출 전에 코팅 물질을 가열하여 용매를 더 제거하여 코팅 물질의 치밀화를 촉진할 수 있다. 건조된 코팅물질은 일반적으로 몇몇 유기 리간드를 추가로 가지는 금속의 옥소-히드록소 리간드를 기반으로 한 금속 옥소/히드록소 네트워크, 또는 유기 리간드를 가지는 다핵 금속 옥소/히드록소종을 포함하는 분자 고체(molecular solid)를 형성할 수 있다.
용매 제거 공정은 코팅 물질 중에 잔존하는 특정량의 용매에 대해 정량적으로 제어할 수 있거나 제어할 수 없고 일반적으로 생성되는 코팅 물질 특성에 대한 실험적인 평가를 수행하여 패터닝 공정에 효과적인 처리 조건을 선택하게 된다. 공정의 성공적인 적용에 가열이 필요한 것은 아니나, 처리 속도를 높이고/높이거나 공정의 재현성을 증가시키기 위하여 코팅된 기재를 가열하는 것이 바람직할 수 있다. 용매를 제거하기 위하여 가열이 적용되는 구체예에서, 코팅 물질을 약 45℃ 내지 약 250℃, 다른 구체예에서 약 55℃ 내지 약 225℃의 온도로 가열할 수 있다. 용매 제거를 위한 가열은 일반적으로 약 0.1분 이상 동안, 추가의 구체예에서, 약 0.5분 내지 약 30분 동안, 추가의 구체예에서 약 0.75분 내지 약 10분 동안 수행될 수 있다. 당업자라면 상기 명시 범위에 속하는 추가 범위의 가열 온도 및 시간이 고려되며 본 발명의 개시내임을 인식할 것이다. 열처리 및 코팅 물질 치밀화 결과, 코팅 물질은 유의한 콘트라스트 손실 없이 방사선 흡수 및 굴절률의 증가를 나타낼 수 있다.
패터닝된 노출 및 패터닝된 코팅 물질
코팅 물질은 방사선을 이용하여 미세하게 패터닝될 수 있다. 상기 개시한 바와 같이, 전구체 용액의 조성물 및 이에 따른 상응하는 코팅 물질의 농도는 소정 형태의 방사선을 충분히 흡수하도록 설계될 수 있다. 방사선의 흡수는 금속 및 유기 리간드 사이의 결합을 깰 수 있는 에너지를 나타내어 적어도 일부 유기계 리간드는 금속의 안정화에 더이상 이용될 수 없게 한다. 충분한 양의 방사선의 흡수에 따라, 노출된 코팅 물질은 응축하며, 즉, 강화된 금속 옥소/히드록소 네트워크를 형성하며, 이는 주변 대기로부터 흡수된 물을 포함할 수 있다. 방사선은 일반적으로 선택된 패턴에 따라 전달될 수 있다. 방사선 패턴은 조사 영역 및 비-조사 영역을 갖는 코팅 물질 중의 해당 패턴 또는 잠상으로 전달된다. 조사 영역은 화학적으로 변경된 코팅 물질을 포함하며, 비-조사 영역은 일반적으로 형성된 대로의(as-formed) 코팅 물질을 포함한다. 아래 개시되는 바와 같이, 비-조사 코팅 물질의 제거 또는 조사된 코팅 물질의 선택적 제거와 함께 코팅 물질의 현상시 매우 선명한 에지가 형성될 수 있다.
방사선은 일반적으로 마스크를 통해 코팅된 기판으로 향할 수 있거나 또는 방사선 빔은 기판에 거쳐 제어 스캐닝될 수 있다. 일반적으로, 방사선은 전자기 방사선, 전자빔(베타 방사선) 또는 다른 적당한 방사선을 포함할 수 있다. 일반적으로, 전자기 방사선은 가시 방사선, 자외 방사선 또는 x-선 방사선과 같은 소정 파장 또는 파장 범위를 가질 수 있다. 방사선 패턴에 이용할 수 있는 방사선은 일반적으로 방사선 파장에 의존하며, 더 높은 해상도 패턴은 일반적으로 더 짧은 파장 방사선으로 달성될 수 있다. 따라서, 자외광, x-선 방사선 또는 전자빔을 이용하여 특히 높은 해상도 패턴을 달성하는 것이 바람직할 수 있다.
본 명세서에 참조로 포함된 국제 표준 ISO 21348 (2007)에 따르면, 자외광은 100 nm 이상 400 nm 미만의 파장 범위에 존재한다. 크립톤 불화물 레이저가 248 nm 자외광을 위한 공급원으로서 사용될 수 있다. 자외광 범위는 10 nm 이상 121 nm 미만의 극자외선(EUV) 및 122 nm 이상 200 nm 미만의 원자외선(FUV)과 같은 허용되는 표준하에 몇 가지 방식으로 나뉘어질 수 있다. 아르곤 불화물 레이저로부터의 193 nm 선을 FUV에서의 방사선 공급원으로서 사용할 수 있다. EUV광은 13.5 nm에서 리소그래피에 사용되어 왔고, 이 광은 방전 펄스(discharge pulse) 또는 고에너지 레이저를 이용하여 여기되는 Xe 또는 Sn 플라즈마 공급원으로부터 발생된다. 소프트 x-선은 0.1 nm 이상 10 nm 미만으로 정의된다.
전자기 방사선의 양은 노광 시간에 걸쳐 통합 방사선 플럭스(radiative flux)에 의하여 수득되는 선속(플루엔스, fluence) 또는 용량(dose)을 특징으로 할 수 있다. 적당한 방사선 선속은 약 1 mJ/cm2내지 약 150 mJ/cm2, 추가의 구체예에서 약 2 mJ/cm2내지 약100 mJ/cm2, 추가의 구체예에서 약 3 mJ/cm2내지 약 50 mJ/cm2일 수 있다. 당업자라면 상기 명시 범위에 속하는 추가 범위의 방사선 선속이 고려되며 본 발명의 개시내임을 인식할 것이다.
전자빔 리소그래피에서, 일반적으로 전자빔은 일반적으로 조사 물질을 변성시키는 2차 전자를 유도한다. 해상도는 적어도 부분적으로 물질 중의 2차 전자 범위의 함수일 수 있으며, 일반적으로 2차 전자 범위가 짧을수록 해상도가 높아진다고 믿어진다. 본 명세서에 개시된 무기 코팅 물질을 이용하여 전자 리소그래피로 달성될 수 있는 고해상에 기초하여, 무기 물질에서 2차 전자의 범위는 제한된다. 전자빔은 빔 에너지로 규정될 수 있으며, 적당한 에너지는 약 5 V 내지 약 200 kV(kilovolt) 범위, 추가의 구체예에서 약 7.5 V 내지 약 100 kV 범위일 수 있다. 30 kV에서 근사치 보정된 빔 용량은 약 0.1 μC/cm2내지 약 5 mC/cm2, 추가의 구체예에서 약 0.5 μC/cm2 내지 약 1 mC/cm2, 다른 구체예에서 약 1 μC/cm2 내지 약 100 μC/cm2 범위일 수 있다. 당업자라면 본 명세서의 교시에 기초하여 다른 빔 에너지에서 해당 용량을 계산할 수 있고 상기 명시 범위에 속하는 추가 범위의 전자빔 특성이 고려되며 본 발명의 개시내임을 인식할 것이다.
코팅 물질의 디자인에 기초하여, 축합된 코팅 물질을 갖는 조사 영역 및 실질적으로 손상되지 않은 유기 리간드를 갖는 코팅 물질인 비-조사영역 사이에 물성의 큰 콘트라스트가 존재한다. 일부 구체예에서는 조사후 열처리 없이 만족스러운 결과가 달성될 수 있으나, 조사후 열처리로 콘트라스트가 개선될 수 있음을 발견하였다. 노출후 열처리(post-exposure heat treatment)는 유기 리간드-금속 결합의 열 파괴(thermal breaking)에 기초하여 코팅 물질의 비-조사 영역을 유의하게 축합하지 않고 조사된 코팅 물질을 어닐링하여 축합을 증가시키는 것으로 보인다. 후조사 열처리가 이용되는 구체예에서, 후조사 열처리는 약 45℃ 내지 약 250℃, 추가의 구체예에서 약 50℃ 내지 약 190℃, 추가의 구체예에서 약 60℃ 내지 약 175℃의 온도에서 수행될 수 있다. 후조사 열처리는 일반적으로 약 0.1분 이상 동안, 추가의 구체예에서, 약 0.5분 내지 약 30분 동안, 추가의 구체예에서 약 0.75분 내지 약 10분 동안 수행될 수 있다. 당업자라면 상기 명시 범위에 속하는 추가 범위의 후조사 가열 온도 및 시간이 고려되며 본 발명의 개시내임을 인식할 것이다. 코팅 물질 특성에서 이렇게 높은 콘트라스트는 이하의 섹션에서 개시되는 바와 같이 현상 후 패턴에서 선명한 선의 형성을 보다 촉진한다.
방사선 노출 후, 코팅 물질은 조사 영역 및 비-조사 영역으로 패터닝된다. 도 1 및 도 2을 참조하면, 기판(102), 얇은 필름(103) 및 패터닝된 코팅 물질(104)을 포함하는 패터닝된 구조(100)가 도시된다. 패터닝된 코팅 물질(104)은 조사된 코팅 물질의 영역(110, 112, 114, 116) 및 비-조사 코팅 물질의 비축합 영역(118, 120, 122)을 포함한다. 축합 영역(110, 112, 114, 116) 및 비축합 영역(118, 120, 122)에 의하여 형성된 패터닝은 코팅 물질에 잠상을 나타내며, 잠상의 현상은 하기에서 논의된다.
현상 및 패터닝된 구조
화상의 현상은 현상제 조성물에 잠상을 포함하는 패터닝된 코팅 물질을 접촉시켜, 네가티브 이미지를 형성하는 조사되지 않은 코팅 물질 또는 포지티브 이미지를 형성하는 조사된 코팅 물질의 어느 하나를 제거하는 것을 수반한다. 본 명세서에 개시된 레지스트 물질을 사용하여, 일반적으로 동일한 코팅을 기반으로, 적절한 현상액을 사용하여 원하는 해상도로 효과적인 네가티브 패터닝 또는 포지티브 패터닝을 수행할 수 있다. 특히, 유기 용매에서 조사되지 않은 조성물이 용해되는 반면, 조사된 재료는 유기용매에 의한 용해에 내성을 가지게 하기 위해서, 조사 영역은 적어도 부분적으로 축합되어 금속 산화물의 특성이 증대된다.
축합된 코팅 재료는, 초기 물질에 대하여 물질의 산화 특성을 높이는 관점에서 적어도 부분 축합을 의미한다. 반면에, 상기 조사되지 않은 재료는 물질의 소수성으로 인해 약한 수성 염기 또는 산에서 용해되지 않으므로, 수성 염기가 포지티브 패터닝을 위해 조사되지 않은 물질을 유지하면서 조사된 물질의 제거에 사용될 수 있다.
유기-안정성 리간드를 가지는 코팅 조성물은 본질적으로 비교적 소수성인 재료를 제조한다. 적어도 몇몇 유기금속 결합을 깨는 조사는 재료를 소수성이 적은 재료 즉, 더 친수성을 가지는 재료로 전환시킨다. 이러한 특성의 변화는 같은 레지스트 조성물에 의한 포지티브 톤 패너팅 및 네가티브 톤 패터닝을 할 수 있는 능력을 제공하는 상기 조사된 코팅과 조사되지 않은 코팅 사이의 유의한 콘트라스트를 제공한다. 구체적으로, 상기 조사된 코팅 재료는 금속 산화물 조성물 이상으로 어느정도 응축된다. 그러나, 일반적으로 상기 응축의 정도는 상당한 가열이 없다면 중간 정도이므로, 상기 조사된 재료는 상대적으로 수월하게 간편한 현상제로 현상된다. 그에 반해서, 상기에서 언급된 '000 특허에 기술된 바와 같이, 퍼옥사이드 안정성 리간드를 가지는 금속 옥소-히드록소 케미스트리를 기반으로 한 무기 레지스트 재료는 조사 전에 본래 더 친수성이며, 상기 조사된 퍼옥사이드계 코팅은 조사에 의해 더욱 상당한 수준으로 응축될 수 있으므로, 조사된 퍼옥사이드계 코팅이 금속 산화물 재료와 유사하게 현상되는 반면, 상기 조사되지 않은 레지스트는 약산 또는 염기에 의해 제거될 수 있다.
네가티브 톤 이미징에 대하여, 도 3 및 4를 참조하면, 도 1 및 2에 도시된 구조의 잠상을 현상제와의 접촉을 통해 현상되어 패터닝된 구조(130)를 형성한다. 화상의 현상 후, 기판(102)은 개구부(132, 134)를 통해 상측 표면(top surface)을 따라 노출된다. 개구부(132, 134, 135)는 각각 비축합 영역(118, 120, 122)의 위치에 존재한다. 포지티브 톤 이미징에 대하여, 도 5 및 6을 참조하면, 도 1 및 2에 도시된 구조의 잠상은 현상되어 패터닝된 구조(140)를 형성한다. 패터닝된 구조(140)는 도 3의 패터닝된 구조의 복합 이미지(conjugated image)(130)를 가진다. 패터닝된 구조(140)는, 현상되어 개구(142, 144, 146, 148)을 형성하게 되는, 도 1 내지 4의 조사된 부분(110, 112, 114, 116)의 위치에서 노출되는 기판(102)을 가진다. 비축합 영역(118, 120 및 122)은 남아 있다.
네가티브 톤 이미징을 위해, 현상제는 전구체 용액을 형성하는데 사용되는 용매와 같은 유기 용매일 수 있다. 일반적으로, 현상제의 선택은 코팅 물질에 대한 용해도 파라미터, 조사 및 비-조사, 현상제의 점도, 가연성, 독성, 점도 및 다른 공정 물질과의 잠재적인 화학적 상호작용에 의해 영향을 받을 수 있다. 특히, 적절한 현상제는 예를들어, 방향족 화합물(e.g., 벤젠, 자일렌, 톨루엔), 에스테르(e.g., 프로필렌 글리콜 모노메틸 에스터 아세테이트, 에틸 아세테이트, 에틸 락테이트, n-부틸 아세테이트, 부티로락톤), 알코올(e.g., 4-메틸-2-프로판올, 1-부탄올, 이소프로판올, 아니솔), 케톤(e.g., 메틸에틸케톤, 아세톤, 사이클로헥사논), 에테르(e.g., 테트라하이드로퓨란, 디옥산) 등을 포함한다. 상기 현상은 약 5초 내지 약 30분, 다른 구현예에서 약 8초 내지 약 15 분, 및 또 다른 구현예에서 약 10초 내지 약 10분 동안 수행될 수 있다. 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자는 상기 명시된 범위 및 본 발명의 내용에 따른 추가적 범위를 이해할 것이다.
포지티브-톤 이미징을 위해, 일반적으로 현상제는 수성 산 또는 염기일 수 있다. 몇몇 구체예에서, 수성 염기를 사용하여 더 선명한 화상을 얻을 수 있다. 현상제로부터의 오염을 감소시키기 위하여, 금속 원자를 갖지 않는 현상제를 이용하는 것이 바람직할 수 있다. 따라서, 테트라에틸암모늄 히드록시드, 테트라프로필암모늄 히드록시드, 테트라부틸암모늄 히드록시드 또는 이들의 조합과 같은 4급 암모늄 히드록시드 조성물이 현상제로서 바람직하다. 일반적으로, 특히 주목되는 4급 암모늄 히드록시드는 화학식 R4NOH(여기서, R = 메틸기, 에틸기, 프로필기, 부틸기 또는 이의 조합)으로 표시될 수 있다. 본 명세서에 개시된 코팅 물질은 일반적으로 중합체 레지스트에 현재 통상적으로 사용되는 것과 동일한 현상제, 예를 들어 테트라메틸 암모늄 히드록시드(TMAH)로 현상될 수 있다. 시판되는 TMAH는 2.38 중량%에서 이용될 수 있으며, 이 농도는 본 명세서에 기재된 공정에 사용될 수 있다. 또한, 혼합 4급 테트라알킬암모늄 히드록시드가 사용될 수 있다. 일반적으로, 현상제는 약 0.5 내지 약 30 중량%, 추가의 구체예에서 약 1 내지 약 25 중량%, 다른 구체예에서 약 1.25 내지 약 20 중량%의 테트라알킬암모늄 히드록시드 또는 유사한 4급 암모늄 히드록시드를 포함할 수 있다. 당업자라면 상기 명시 범위에 속하는 추가 범위의 현상제 농도가 고려되며 본 발명의 개시내임을 인식할 것이다.
주요 현상제 조성물 외에, 현상제는 현상 공정을 촉진하기 위하여 추가의 조성물을 포함할 수 있다. 적당한 첨가제는 예컨대 암모늄, d-블록 금속 양이온(하프늄(hafnium), 지르코늄, 란타늄 등), f-블록 금속 양이온(세륨, 루테늄 등), p-블록 금속 양이온(알루미늄, 주석 등), 알칼리 금속(리튬, 나트륨, 칼륨 등), 및 이의 조합으로 이루어지는 군에서 선택된 양이온, 및 불화물, 염화물, 브롬화물, 요오드화물, 질산염, 황산염, 인산염, 규산염(silicate), 붕산염(borate), 퍼옥시드(peroxide), 부톡시드(butoxide), 포름산염, 에틸렌디아민-테트라아세트산(EDTA), 텅스텐산염(tungstate), 몰리브덴산염(molybdate) 등 및 이의 조합으로 이루어지는 군에서 선택되는 음이온을 갖는 용해된 염을 포함한다. 기타 사용가능한 첨가제는, 예를들어, 폴리아민, 알코올 아민, 아미노산 또는 이들의 조합과 같은 분자 킬레이트제(molecular chelating agent)를 포함한다. 임의의 첨가제가 존재하는 경우, 현상제는 약 10 중량% 이하의 첨가제, 추가의 구체예에서 약 5 중량% 이하의 첨가제를 포함할 수 있다. 당업자라면 상기 명시 범위에 속하는 추가 범위의 첨가제 농도가 고려되며 본 발명의 개시내임을 인식할 것이다. 첨가제는 콘트라스트, 감도 및 선폭 거칠기를 개선시키도록 선택될 수 있다. 현상제 중의 첨가제는 또한 금속 산화물 입자의 형성 및 침전을 억제할 수 있다.
더 약한 현상제, 예컨대 더 낮은 농도의 수성 현상제, 희석된 유기 현상제 또는 낮은 현상율(development rate)을 가지는 코팅 조성물에서는, 공정의 속도를 증가시키기 위하여 더 고온의 현상 공정을 이용할 수 있다. 더 강한 현상제에서는, 현상 공정의 온도를 낮추어 속도를 감소시키고/감소시키거나 현상의 키네틱스(kinetics)를 제어할 수 있다. 일반적으로, 현상 온도는 용매의 휘발성에 따라 적절한 값 사이에서 조절될 수 있다. 또한, 현상제-코팅 계면 근처에서 용해된 코팅 물질을 갖는 현상제는 현상중 초음파로 분산될 수 있다.
현상제는 임의의 적합한 방법을 이용하여 패터닝된 코팅 물질에 도포될 수 있다. 예컨대, 현상제는 패터닝된 코팅 물질에 분무될 수 있다. 또한, 스핀 코팅이 이용될 수 있다. 자동화된 공정에서는, 정지 포맷에서 코팅 물질에 현상제를 부어 넣는 것을 수반하는 퍼들법(puddle method)이 이용될 수 있다. 필요에 따라, 스핀 세정 및/또는 건조를 이용하여 현상 공정을 완료할 수 있다. 적당한 세정 용액은 예를들어, 네가티브 패터닝을 위한 초순수, 메틸 알콜, 에틸 알콜, 프로필 알콜 및 이의 조합 및 포지티브 패터닝을 위한 초순수를 포함한다. 화상을 현상한 후, 코팅 물질을 패턴으로서 기판에 배치한다
현상 단계의 완료 후, 코팅 물질을 열처리하여 물질을 추가로 축합하고 추가로 탈수할 수 있다. 추가의 패터닝을 촉진하기 위하여 코팅 물질의 안정화가 바람직할 경우 코팅 물질이 레지스트로서 사용되고 궁극적으로 제거되는 일부 구체예에서 열처리를 수행하는 것이 바람직할 수 있으나, 이러한 열처리는 산화물 코팅 물질이 최종 소자에 포함되는 구체예에 특히 바람직할 수 있다. 특히, 패터닝된 코팅 물질의 소성은 패터닝된 코팅 물질이 바람직한 수준의 에칭 선택성을 나타내는 조건 하에 수행될 수 있다. 일부 구체예에서, 패터닝된 코팅 물질은 약 100℃ 내지 약 600℃, 추가의 구체예에서 약 175℃ 내지 약 500℃, 추가의 구체예에서 약 200℃ 내지 약 400℃의 온도로 가열될 수 있다. 가열은 약 1분 이상 동안, 다른 구체예에서 약 2분 내지 약 1시간 동안, 추가의 구체예에서 약 2.5분 내지 약 25분 동안 수행될 수 있다. 상기 가열은 공기, 진공 또는 Ar 또는 N2와 같은 불활성 가스 분위기에서 이루어질 수 있다. 당업자라면 상기 명시 범위에 속하는 추가 범위의 열처리 온도 및 시간이 고려되며 본 발명의 개시내임을 인식할 것이다.
종래의 유기 레지스트의 경우, 구조의 종횡비(aspect ratio), 폭으로 나눈 높이가 지나치게 커지면 구조는 패턴 붕괴하기 쉽다. 패턴 붕괴는 높은 종횡비 구조의 기계적 불안정성과 연관될 수 있어, 가공 단계와 관련된 예컨대 표면 장력과 같은 힘이 구조 요소를 변형시킨다. 낮은 종횡비 구조는 잠재 변형력에 있어서 더 안정하다. 본 명세서에 개시된 패터닝 가능한 코팅 물질을 사용할 경우, 더 얇은 코팅 물질층을 갖는 구조체를 효과적으로 가공하는 능력으로 인하여, 높은 종횡비의 패터닝된 코팅 물질이 필요없이 개선된 패터닝이 달성될 수 있다. 따라서, 패터닝된 코팅 물질 중의 높은 종횡비 피처에 의존하지 않고 매우 높은 해상도의 피처가 형성되었다.
생성되는 구조는 매우 낮은 선폭 거칠기를 갖는 선명한 에지를 가질 수 있다. 특히, 선폭 거칠기 감소능 외에, 높은 콘트라스트는 또한 매우 잘 해상된 2차원 패턴(예컨대, 선명한 코너) 형성능 및 피처간 공간 및 작은 피처의 형성을 허용할 수 있다. 따라서, 일부 구체예에서, 이웃하는 구조의 인접 선형 세그먼트는 약 60 nm 이하, 일부 구체예에서 약 50 nm 이하, 추가의 구체예에서 약 40 nm 이하의 평균 피치를 가질 수 있다. 피치는 디자인으로 평가될 수 있고 역상 화상(top-down image)에 의해서와 같이 주사 전자 현미경(SEM)으로 확인할 수 있다, 본 명세서에서 사용될 때, 피치는 공간적인 주기 또는 반복 구조 요소의 중심-대-중심 거리를 의미한다. 패턴의 피처 치수는 또한 일반적으로 모서리 등으로부터 떨어져서 평가된 피처의 평균 폭으로 개시될 수 있다. 또한, 피처는 물질 요소간 및/또는 물질 요소까지의 갭을 의미할 수 있다. 일부 구체예에서, 평균 폭은 약 30 nm 이하, 추가의 구체예에서 약 25 nm 이하, 추가의 구체예에서 약 20 nm 이하일 수 있다. 평균 선폭 거칠기는 약 3.0 nm 이하, 추가의 구체예에서 약 1.5 nm 내지 약 2.5 nm일 수 있다. 선폭 거칠기 평가는 평균 선폭으로부터 3σ 편차를 유도하는 톱다운 SEM 이미지의 분석으로 수행된다. 평균은 고주파수 및 저주파수 거칠기, 즉 각각 짧은 상관(correlation) 길이 및 긴 상관 길이를 모두 포함한다. 유기 레지스트의 선폭 거칠기는 주로 긴 상관 길이를 특징으로 하는 반면, 본 발명 무기 코팅 물질은 유의한 더 짧은 상관 길이를 나타낸다. 패턴 전사 공정에서, 짧은 상관 거칠기는 에칭 공정 동안 평활화되어 신뢰성이 훨씬 더 높은 패턴을 생성할 수 있다. 당업자라면 상기 명시 범위에 속하는 추가 범위의 피치, 평균폭 및 선폭 거칠기가 고려되며 본 발명의 개시내임을 인식할 것이다.
패터닝된 코팅 물질의 추가 가공
패터닝된 코팅 물질의 형성 후, 코팅 물질을 더 가공하여 선택된 소자의 형성을 용이하게 할 수 있다. 또한, 일반적으로 추가의 물질 증착, 에칭 및/또는 패터닝을 수행하여 구조를 완성할 수 있다. 코팅 물질은 최종적으로 제거되거나 제거되지 않을 수 있다. 패터닝된 코팅 물질의 품질은 임의의 경우 더 작은 공간 치수 등을 갖는 소자와 같은 개선된 소자의 형성에 긍정적일 수 있다.
패터닝된 코팅 물질은 도 3 및 4의 실시예에 도시된 바와 같이 하부 기판에 개구부를 형성한다. 종래의 레지스트와 같이, 패터닝된 코팅 물질은 패턴을 전사하여 하부 박막을 선택적으로 제거하는 데 이용될 수 있는 에칭 마스크를 형성한다. 도 7을 참조하면, 도 1의 하부층 박막(103)은 기판(102)의 위 및 축합 영역(110, 112, 114)의 아래에 각각 피처(152, 154, 156)를 남기면서 패터닝된다. 종래의 중합체 레지스트에 비하여, 본 명세서에 개시된 물질은 유의적으로 더 큰 에칭 내성(resistance)을 제공할 수 있다. 유사한 공정이 대체적인 마스크 패턴으로부터 직접 얻어지는 패터닝된 구조의 상응하는 시프트(shifting)와 함께, 도 5 및 6에 제시된 마스크 패턴으로 수행될 수 있다.
선택적으로 또는 추가적으로, 마스크 패턴에 따른 추가 물질의 증착은 하부층 구조의 특성을 바꿀 수 있고/있거나 하부층 구조에 접촉을 제공할 수 있다. 추가의 코팅 물질은 물질의 바람직한 특성을 기초로 선택될 수 있다. 또한, 패터닝된 무기 코팅 물질의 밀도가 높은 주입(implant) 내성을 제공할 수 있으므로, 이온이 선택적으로 마스크 개구부를 통해 기본 구조에 선택적으로 주입될 수 있다. 일부 구체예에서, 추가의 증착 물질은 유전체, 반도체, 도체 또는 다른 적당한 물질일 수 있다. 추가의 증착 물질은 용액 베이스법, 화학 증기 증착(CVD), 스퍼터링, 물리적 증기 증착(PVD) 또는 다른 적당한 방법과 같은 적당한 방법을 이용하여 증착시킬 수 있다.
일반적으로, 복수의 추가층을 증착시킬 수 있다. 복수의 층의 증착과 함께, 추가의 패터닝을 수행할 수 있다. 수행할 경우, 임의의 추가의 패터닝은 본 명세서에 개시된 추가량의 코팅 물질, 중합체계 레지스트로, 다른 패터닝법으로 또는 이의 조합으로 수행될 수 있다.
상기 개시된 바와 같이, 패터닝 후 코팅(레지스트) 물질의 층을 제거하거나 제거하지 않을 수 있다. 층을 제거하지 않을 경우, 패터닝된 코팅(레지스트) 물질을 구조에 포함시킨다. 패터닝된 코팅(레지스트) 물질을 구조에 포함시킨 구체예에서, 코팅(레지스트) 물질의 특성은 구조 내에 바람직한 패터닝 특성 및 물질 특성을 제공하도록 선택될 수 있다.
패터닝된 코팅 물질을 제거하는 것이 바람직할 경우, 코팅 물질은 일반적인 레지스트로서 기능한다. 패터닝된 코팅 물질을 이용하여 레지스트/코팅 물질의 제거 전에 추후 침착되는 물질을 패터닝하고/하거나 축합된 코팅 물질 중의 스페이스를 통해 기판을 선택적으로 에칭한다. 적당한 에칭 공정을 이용하여 축합 코팅 물질을 제거할 수 있다. 구체적으로, 축합 코팅 물질을 제거하기 위하여, 예컨대 BCl3 플라즈마, Cl2 플라즈마, HBr 플라즈마, Ar 플라즈마 또는 다른 적절한 공정 가스를 이용한 플라즈마에 의하여 건식 에칭을 수행할 수 있다. 선택적으로 또는 추가적으로, 예를 들어, HF(aq) 또는 완충 HF(aq)/NH4F 또는 옥살산과 같은 수성 산 또는 염기를 이용한 습식 에칭을 이용하여 패터닝된 코팅 물질을 제거할 수 있다. 도8을 참조하면, 코팅 물질의 제거 후 도 8의 구조가 도시된다. 에칭된 구조(150)는 기판(102) 및 피처(152, 154, 156)를 포함한다.
금속 옥소/히드록소계 코팅 물질은 종래의 레지스트에 대하여, 문헌(P. Zimmerman, J. Photopolym. Sci. Technol., Vol.22, No. 5, 2009, p. 625)에 통상적인 레지스트와 함께 일반적으로 기술된 바와 같이, 열적 동결 공정을 이용하는 다중 패터닝을 수행하는 데 특히 편리하다. "열적 동결(thermal freeze)"을 이용한 이중 패터닝 공정은 도 9에 개략적으로 도시된다. 제1 단계에서, 코팅 물질은 도 3 및 4와 관련하여 기술된 리소그래피 공정 및 현상을 이용하여 기판(162) 상의 패턴(160)으로 형성된다. 가열 단계(164)는 용매를 제거하기 위하여 행해지며, 코팅물질을 응축하며, 이것은 전체 산화물 형성에 관련되거나 관련되지 않을 수 있다. 이러한 가열 단계는 상기 현상 섹션에 개시된 현상후 가열 단계와 동등하다. 이러한 "열적 동결" 공정으로 인하여 코팅 물질은 후속되는 제2 코팅 물질층의 증착에 불용성이 된다. 제2 리소그래피 및 현상 단계(166)를 수행하여 기판(162)에 이중 패터닝 구조(168)를 형성한다. 에칭 단계(170) 후, 생성물인 이중 패터닝 구조(172)가 형성된다.
이 공정을 다중 코팅 및 패턴 단계로 확장하는 것이 간단한데, 이러한 확장은 고려되고 본 발명 개시 내용에 속한다. 다중 패터닝과 관련하여, 본 명세서에 개시된 무기 코팅 물질 및 종래의 유기 레지스트 사이의 유의한 차이는 유기 레지스트가 열소성 후에도 종래의 레지스트 캐스팅 용매에 여전히 가용성이라는 것이다. 본 명세서에 개시된 레지스트 물질은 유기 용매에 녹지 않도록 열소성으로 응축된 후 후속 코팅층이 도포될 수 있다.
실시예
실시예 1 - 전구체 용액의 제조
본 실시예에서는 방사선 레지스트 코팅의 형성을 위한 주석 기반의 유기금속 조성물의 증착을 위한 전구체 용액의 제조에 대하여 설명한다.
레지스트 전구체 용액은 0.209g의 모노부틸주석 산화물 수화물(BuSnOOH) 파우더(TCI America)를 10 mL의 4-메틸-2-펜탄올(4-methyl-2-pentanol)에 추가하여 제조하였다. 상기 용액은 밀폐된 바이알(vial)에 넣고, 24시간동안 교반하였다. 얻어지는 혼합물을 4000 rpm에서 15 분간 원심분리하고, 녹지 않은 물질을 제거하기 위하여 0.45 μm PTFE 실린지 필터를 통해 여과하였다. 용매 증발 및 600°C 에서 상기 시료의 소성(calcination)은 SnO2 잔류 물질의 기반 위에 0.093 M의 주석 농도를 나타내었다. 상기 전구체 용액(도 10A 및 도 10B)의 뫼비우스 장치(Wyatt Technology)를 이용한 동적 광산란(DLS, dynamic light scattering) 분석은 ~ 2 nm의 평균 직경을 가지는 단일 형태(monomodal) 입경 분포와 일치하며, 도데카메릭 부틸 주석 수산화 산화물 다원자 양이온의 알려진 직경(Eychenne-Baron et al., Organometallics, 19, 1940-1949 (2000))과도 일치한다. 따라서, 상기 결과는 비-수성 용액 내에서 클러스터의 형성과 일치한다.
실시예 2- 레지스트 코팅, 필름 프로세싱, 네가티브 톤 이미징
본 실시예는 e-빔 노출 또는 극자외선(EUV) 노출에 의한 네가티브 톤 이미징에 의한 레지스트 패턴의 형성을 보여준다.
네이티브- 산화물 표면을 가지는 실리콘 웨이퍼(25x25 mm 사각)를 박막 필름 증착을 위한 기판으로서 사용하였다. Si 기판을 증착하기 전에 자외선 오존 클리닝 시스템에서 10분 사이클로 처리하였다. 상기 실시예 1의 레지스트 전구체 용액을 4500 rpm 으로 30 초 동안 기판 위에 스핀 코팅하고, 잔류 용매를 제거하기 위해서 핫플레이트 위에서 100°C로 2 분 간 소성하였다. 코팅 및 베이킹 후의 필름의 두께는 편광계측법(ellipsometry)을 통해 측정하였으며, ~22 nm 이었다.
레지스트 필름으로 코팅된 1차 기판을 1100μC/cm2도즈로 패턴을 형성하기 위하여 30-kV 전자-빔 레스터(raster)에 노출시켰다. 패턴 레지스트(patterned resist) 및 기판을 150°C에서 2 분간 노출-후 소성(PEB: post-exposure bake)시켰다. 그 후 노출된 필름을 30초간 극성 유기 용매에 침지하고, DI H2O에서 세정하여, 코팅의 노출되지 않은 부분을 제거하여 네가티브 톤 이미지를 형성하였다. 현상 후에 마지막으로 200°C에서 5분간 핫플레이트 소성하였다. 도 11A 내지 11D는 4-메틸-2-펜탄올(A), 에틸 락테이트(B), 프로필렌 글리콜 모노메틸 에스터(PGMEA)(C), 및 n- 부틸 아세트에이트(D)에서 현상된 레지스트 필름에서 36-nm 피치 상의 18-nm 라인의 SEM 이미지를 나타낸다.
다른 기판은 같은 전구체 용액으로 제조하였으며, 종래의 극자외선 방사선에 노출을 하기전 코팅/베이킹 공정을 사용하였으며, 이는 고해상도 패터닝에 유사 적합하다. 44 및 36 nm 피치 상의 22 및 18 nm 라인의 패턴은 각각 13.5 nm 파장에서 작동하는 0.3의 개구수(numerical aperture) 및 101mJ/cm2의 이미징 도즈를 가지는 투사(projection)에 의해 레지스트 상에 노출되었다. 2분간, 165°C 핫플레이트 PEB 후, 상기 필름은 PGMEA안에 침지, DI H2O로 린스에 의해 현상되었으며, 마지막으로 200°C에서 5분간 소성하였다. 양호한 해상도의 선-공간 패턴의 네가티브 이미지는 도 12A 및 도 12B에 나타내었다.
현상율 콘트라스트 및 레지스트 이미지 형성에서 드러난 극성 변화를 유도하는 방사선 노출로 생성된 화학적 콘트라스트는 푸리에 변환 적외선(Fourier transform infrared (FTIR)) 스펙트럼에 의해 명확하게 설명된다. 30 kV 전자빔 노출 용량의 함수로서 테트라히드로퓨란(THF) 용매로부터 도핑되지 않은 실리콘 와이퍼 위에 스핀 코팅된 부틸주석 수산화 산화물 레지스트 필름의 투과-모드 FTIR 스펙트럼을 수집하였다. 2800-2900 cm-1 에서의 알킬 C-H 연신모드에 상응하는 몇몇 흡수 피크의 분석은 도즈의 함수로서 알킬 리간드 농도의 일관된 감소를 나타낸다(도 13).
실시예 3 - 포지티브 톤 이미
본 실시예는 실시예 1의 레지스트 용액을 사용한 포지티브 톤 이미지의 형성을 보여준다.
다른 기판을 실시예 1과 동일한 전구체 용액에 의해 증착된 레지스트 필름으로 코팅하였으며, 150°C 핫플레이트에서 2분간 소성하였다. 레지스트 코팅을 가지는 기반 웨이퍼(based wafer)는 511 μC/cm2 도즈를 가지는 30kV의 전자빔에 노출시키고, 뒤이어 150°C에서 2분동안 후 노출 소성하였다. 2.38% 테트라메틸 암모늄 히드록시드(TMAH)와 같은 수성 염기에 노출된 레지스트 필름의 현상에 의해 포지티브 톤 이미징을 얻었다. 2.38% TMAH에 침지는 노출된 레지스트를 에칭하며, 도 14의 SEM 이미지에서 보여주는 30 nm(60 nm 피치) 라인을 현상한다.
실시예 4 - 레지스트 안전성
본 실시예는 에이징(aging)후 레지스트 전구체 용액 및 코팅된 필름의 일관성있는 이미지 형성에 의한 레지스트 전구체의 안정성을 보여준다.
실시예 1에 기술된 바와 같이 제조한 레지스트 전구체 용액을 1쌍의 웨이퍼 기판에 스핀 코팅을 통해 적용하였으며, 100°C 핫플레이트 상에서 2분동안 소성하였다. 상기 전구체 용액의 일부를 밀폐된 바이알에 보관하고, 코팅된 기판(제1 기판) 중 하나와 함께 대기조건의 제어되지 않은 상온(20-30°C)의 암소에 보관하였다. 코팅 직후, 레지스트 필름을 가지는 제2 기판을 30-kV의 전자 빔으로 연속적으로 패터닝하고, 2분간 150°C에서 소성하고, PGMEA에서 30초 동안 현상하고, 린스하고, 200°C에서 2분간 하드 소성하였다. 얻어진 패터닝된 제2 기판의 SEM 이미지를 도 15A에 나타낸다. 39일 후에 상기 노출 및 현상 과정을 레지스트 필름를 갖는 보관된 제1 기판 상에서 반복하였다. 상기 패터닝된 제1 기판의 SEM 이미지를 도 15B에 나타낸다. 이와 유사하게, 상기 오리지날 전구체 용액의 보존된 부분을 보관된지 39일 후 같은 날 레지스트 필름을 갖는 제3 웨이퍼 기판을 코팅하는데 사용하였으며, 상기 두 기판과 동일하게 신속하게 처리, 노출 및 현상하였다. 상기 패터닝된 제3 기판의 SEM 이미지를 도 15C에 나타낸다. 동일한 36 nm 피치 및 18 nm 선 너비의 상기 세 레지스트 필름의 이미지 형성은 기능적으로 동일한 것으로 나타났고, 코팅된 레지스트 필름 또는 전구체 용액의 39일간의 에이징(aging) 후에도 이미지 충실도, LWR 또는 민감도에서 저하가 관찰되지 않았다.
실시예 5 - 유기 리간드 선택에 기초한 방사선 감수성 조정
본 실시예는 레지스트 방사선 감수성에서의 조정이 적절한 유기 리간드의 선택에 의해 관찰되는 것을 보여준다.
디비닐주석 디클로라이드(Alpha Aesar)를 0.1M 농도의 PGMEA에 용해하였다. 15 mL 용량의 상기 용액을 분별 깔대기에 옮기고, 0.4 M NaOH(aq) 7.31 mL을 추가하였다. NaOH 추가 직후, ~1분 동안 베슬(vessel)을 잘 흔들고, 얻어진 에멀전을 ~24시간 동안 방치하여 분리시켰다. 그동안 두 매질 사이의 상 계면에 형성된 약간의 응집물이 없어진 것이 관찰되었고, 두 개의 선명한 상을 얻었다. 하층의 수상은 깔대기로부터 제거하였고, 상층의 PGMEA 상을 ~5분간 4A 분자체(Mallinkrodt, Grade 514) 상에서 흔들어 잔류물을 제거하였다. 용매 증발 및 분자체로 걸러진 조성물의 분획의 소성은 SnO2 잔류 물질의 기반 위에 0.1 M의 주석 농도를 나타내었다.
상기 분리 및 걸러진 후의 PGMEA 중의 디비닐주석 수산화 용액을 실리콘 웨이퍼 상에 1250 rpm으로 직접 스핀 캐스트하고, 100 °C 핫플레이트에서 2분간 소성하였다. 편광계측법 후-소성(ellipsometric measurement post-baking) 은 ~16 nm의 레지스트 필름 두께를 나타냈다. 상기 레지스트 필름은 75μC/cm2 도즈에서 30 kV 전자 빔에 노출시킨 후, 100°C에서 2차 핫플레이트 소성 및 PGMEA에서 현상하였다. 100 nm 피치 상의 네가티브 톤 선/면 패턴의 SEM 이미지는 도16에 나타낸다. 도 16을 생성하는데 사용하는 전자빔 도즈는 실시예 2 및 3에 사용되는 것보다 유의하게 적다.
투과-모드 FTIR 스펙트럼을 도즈의 함수로서, 도핑되지 않은 실리콘 웨이퍼 상에 에틸 아세트에이트 용매로부터 증착된 유사 레지스트 막 상에서 수집하였다. 상대 IR 흡수를 전자 빔도즈의 함수로서 도 17에 나타낸다. ~3055 1/cm 를 중심으로 비닐 C-H 신축과 일치하는 흡수 피크 영역의 분석에 기초하여, 상기 영역의 드롭(drop)은 노출로 인한 주석 리간드로서 비닐기의 손실을 나타내며, 이는 도 13에 나타난 부틸주석 수산화물 산화물 케이스에서의 알킬 리간드 소실보다 도즈에 대해 훨씬 더 신속하고 광범위하다.
실시예 6 - 유기주석 옥소-카르복실레이트 레지스트
본 실시예에서는 주석 이온에 대한 알킬 및 카르복실레이트 리간드로 형성되는 방사선 레지스트의 유효성을 보여준다.
레지스트 전구체 용액을 최종 농도 0.025 M로 n-프로판올에 디부틸주석 디아세트에이트(Alfa-Aesar)를 용해시켜 제조하였다. 그 후 상기 용액을 0.45 μm PTFE 실린지 필터를 이용하여 여과하였고, 3000rpm으로 30초 동안 실리콘 웨이퍼 기판 상에 스핀 캐스팅하였다. 그후 상기 코팅된 필름을 60°C에서 2분간 소성하여 잔류 용매를 제거하였다. 캐스팅 및 베이킹 하는 동안 상기 디부틸주석 디아세트에이트는 대기중의 물에 의해 부분적으로 가수분해되며, 휘발성 분자 액체 카르복실레이트로부터 고체 유기주석 옥소 카르복실레이트로 변환된다. 편광계측법(ellipsometric measurement)은 ~22 nm의 레지스트 필름 두께를 나타냈다.
1500 μC/cm2 도즈로 패턴을 형성하기 위한 30 kV 전자빔 래스터(raster)에 의한 필름의 후속 노출, 60°C 핫플레이트 PEB, 및 30초간 PGMEA에 침지하여 노출되지 않은 재료의 에칭에 의해 패턴을 현상하였다. 100°C에서 5분 동안 최종 하드소성을 SEM 이미징 전에 수행하였다. 도 18은 이로부터 얻어진 32-nm 피치 상의 네가티브-톤 선/면 패턴의 주사전자현미경 이미지를 포함한다.
전자 빔에 의한 방사선 노출에 대한 전술한 재료에서의 화학적 콘트라스트의 생성은 도 19에 나타낸바와 같이 투과 FTIR 스펙트럼에 의해 관찰 될수 있다. 도핑되지 않은 실리콘 웨이퍼 상에 증착되고, 50°C에서 2분간 베이킹된 유기 주석 옥소-카르복실레이트 박막 필름의 상기 투과 스펙트럼을 30 kV 전자 빔(800 μC/cm2)에 노출되기 전 및 후에 수집하였다. 단순 유기주석 옥소 수산화물과 함께, 하이드로카본 C-H 연신 및 벤딩모드(2957, 2924, 2858, 1391, 및 1331 cm- 1)에 기인한 흡수 피크에서의 강한 감소가 관찰되며, 이는 노출로 인한 알킬 리간드의 소실을 확인해준다. 또한, 카르복실레이트에 명백하고 특이적인 1605cm-1을 중심으로 한 흡광도의 유의한 감소를 나타내며, 이는 노출에 의한 카르복실레이트 리간드의 분해 및 이로 인한 카보닐 C=O 흡수의 감소에 기인한다.
상기 구체예는 예시의 의도이지 제한의 의도는 아니다. 추가의 구체예는 청구범위에 속한다. 또한, 본 발명의 특정 실시형태를 참고로 설명되었지만, 본 기술분야의 숙련자는 본 발명의 사상과 범위를 벗어나지 않고 형태와 세부 사항에서 변경될 수 있음을 알 것이다. 상기 문헌들의 참조 인용(incorporation by reference)은 본 명세서의 명시된 개시 내용에 반하는 주제가 포함되지 않도록 제한된다.

Claims (24)

  1. 선택된 패턴을 따라 코팅된 기판에 조사하여 조사된 코팅 부분 및 조사되지 않은 코팅 부분을 갖는 조사된 구조를 형성하는 단계, 및
    조사된 코팅 또는 조사되지 않은 코팅의 상당 부분을 제거하기 위해 조사된 구조를 선택적으로 현상하여 패터닝된 기판을 형성하는 단계를 포함하고,
    여기서, 상기 코팅된 기판은 금속 탄소 결합 및/또는 금속 카르복실레이트 결합을 갖는 유기 리간드를 가지는 금속 옥소-히드록소 네트워크를 포함하는 코팅을 포함하는 것인, 방사선에 의해 기판을 패터닝하는 방법.
  2. 제1항에 있어서,
    상기 조사된 구조는, 포지티프-톤 이미지 또는 네가티브-톤 이미지를 실시할 수 있도록, 수성 염기에서 가용성을 가지는 조사된 코팅 및 유기 용매에서 가용성을 가지는 조사되지 않은 코팅을 가지는 것인, 방사선에 의해 기판을 패터닝하는 방법.
  3. 제1항 또는 제2항에 있어서,
    상기 현상은 조사되지 않은 코팅을 제거하기 위해 유기용매로 수행되는 것인, 방사선에 의해 기판을 패터닝하는 방법.
  4. 제1항 또는 제2항에 있어서,
    상기 선택적 현상은 수성 염기에 의해 수행되는 것인, 방사선에 의해 기판을 패터닝하는 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 조사는 전자빔, 자외광, 극자외광(EUV light), ArF 레이저 조사, KrF 레이저 조사 또는 UV 램프로 수행되는 것인, 방사선에 의해 기판을 패터닝하는 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 에칭된 패턴은 약 60 nm 이하의 평균 피치를 가지는 피처(feature)를 포함하는 것인, 방사선에 의해 기판을 패터닝하는 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서,
    상기 조사되지 않은 코팅은 약 0.1 내지 약 4의 유기 리간드와 금속 양이온간의 몰비를 가지며, 약 1 미크론 이하의 평균 코팅 두께를 가지는 것인, 방사선에 의해 기판을 패터닝하는 방법.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서,
    상기 금속은 주석 이온, 안티모니 이온, 인듐 이온 또는 이들의 조합을 포함하며,
    상기 유기 리간드는 금속 탄소 결합을 형성하고, 상기 금속 탄소 결합을 형성하는 리간드는 알킬 리간드, 알케닐 리간드, 아릴 리간드 또는 이들의 조합을 포함하며, 각각의 리간드는 1 내지 16의 탄소 원자를 함유하고/함유하거나,
    상기 유기 리간드는 금속-카르복실 결합을 형성하고, 상기 금속-카르복실 결합은 알킬 카르복실레이트 리간드, 알케닐 카르복실레이트 리간드, 아릴 카르복실레이트 리간드 또는 이들의 조합에 의해 형성되며, 각각의 리간드는 1 내지 16의 탄소 원자를 가지는 것인, 방사선에 의해 기판을 패터닝하는 방법.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서,
    액체 전구체 용액의 증착 및 용매의 증발로 코팅을 응고시킴으로써 코팅을 형성하는 것을 추가로 포함하는, 방사선에 의해 기판을 패터닝하는 방법.
  10. 평균 두께가 약 10 미크론 이하 및 코팅된 부분의 임의의 지점에서의 평균으로부터 두께 편차가 약 50% 이하인 방사선 감응 코팅을 포함하며,
    상기 코팅은 금속 탄소 결합 및/또는 금속 카르복실레이트 결합을 갖는 유기 리간드를 가지는 금속 양이온, 및 금속 옥소-히드록소 네트워크를 포함하는 코팅된 기판.
  11. 제10항에 있어서,
    상기 유기 리간드와 상기 금속 양이온 사이의 몰비가 약 0.1 내지 약 4인 것인, 코팅된 기판.
  12. 제10항에 있어서,
    상기 유기 리간드와 상기 금속 양이온 사이의 몰비가 약 0.5 내지 약 3인 것인, 코팅된 기판.
  13. 제 10항 내지 제 12항 중 어느 한 항에 있어서,
    약 1 미크론 이하의 평균 코팅 두께를 가지는 것인, 코팅된 기판.
  14. 제10항 내지 제12항 중 어느 한 항에 있어서,
    약 5 nm 내지 약 200 nm의 평균 두께를 가지는 것인, 코팅된 기판.
  15. 제10항 내지 제14항 중 어느 한 항에 있어서,
    상기 금속 이온은 주석 이온, 안티모니 이온, 인듐 이온 또는 이들의 조합을 포함하는 것인, 코팅된 기판.
  16. 제15항에 있어서,
    상기 금속 양이온은 약 50 몰% 이하의 총 금속+준금속 함량으로 다른 금속 원소 및/또는 준금속 원소의 양이온을 추가로 포함하는 것인, 코팅된 기판.
  17. 제10항 내지 제16항 중 어느 한 항에 있어서,
    상기 유기 리간드는 금속 탄소 결합을 형성하며,
    상기 금속 탄소 결합을 형성하는 리간드는, 각각 1 내지 16의 탄소 원자를 가지는, 알킬 리간드, 알케닐 리간드, 아릴 리간드 또는 이들의 조합을 포함하는 것인, 코팅된 기판.
  18. 제10항 내지 제16항 중 어느 한 항에 있어서,
    상기 유기 리간드는 금속 탄소 결합을 형성하며,
    상기 금속 카르복실 결합은, 각각 1 내지 16의 탄소원자를 가지는, 알킬 카르복실레이트 리간드, 알케닐 카르복실레이트 리간드, 아릴 카르복실레이트 리간드 또는 이들의 조합에 의해 형성되는 것인, 코팅된 기판.
  19. 표면, 및 표면을 따라서 선택된 부분에 존재하고 표면을 따라서 다른 부분에 존재하지 않는 제1 코팅을 갖는 기판을 포함하는 패터닝된 기판에 있어서,
    상기 제1 코팅은 금속 옥소-히드록소 네트워크, 및 금속 탄소 결합 및/또는 금속 카르복실레이트 결합을 가지는 유기 리간드를 갖는 금속 양이온을 갖는 유기 리간드를 포함하며,
    상기 제1 코팅은 적어도 몇몇 유기 액체에 용해되거나, 또는 상기 제1 코팅은 수성 염기에서 용해되는 것인, 패터닝된 기판.
  20. 제19항에 있어서,
    상기 기판 표면은 코팅이 없는 기판 부분에서 노출되는 것인, 패터닝된 기판.
  21. 제19항 또는 제20항에 있어서,
    상기 코팅은 적어도 몇몇 유기 액체에서 효과적으로 용해되며,
    제1 코팅이 없는 부분의 표면을 따라 제2 코팅을 추가로 포함하며, 상기 제2코팅은 수성 염기에 용해되는 것인, 패터닝된 기판.
  22. 제19항 내지 제21항 중 어느 한 항에 있어서,
    상기 패터닝된 코팅은 약 60 nm 이하의 평균 피치를 가지는 피처(feature)를 포함하는 것인, 패터닝된 기판.
  23. 유기 액체,
    약 0.01 M 내지 약 1.4 M의 금속 다핵성 옥소/히드록소 양이온, 및
    금속 탄소 결합 및/또는 금속 카르복실레이트 결합을 갖는 유기 리간드를 포함하는 전구체 용액에 있어서,
    상기 전구체 용액은 약 0.5 cP 내지 약 150 cP의 점도를 가지며,
    상기 유기 액체는 10°C이상의 인화점 및 20°C에서 약 10 kPa 이하의 증기압을 가지는 것인, 전구체 용액.
  24. 제23항에 있어서,
    상기 금속은 주석을 포함하며, 상기 유기 액체는 알코올인 것인, 전구체 용액.
KR1020167007433A 2013-08-22 2014-07-25 유기금속 용액 기반의 고해상도 패터닝 조성물 KR101839255B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/973,098 2013-08-22
US13/973,098 US9310684B2 (en) 2013-08-22 2013-08-22 Organometallic solution based high resolution patterning compositions
PCT/US2014/048212 WO2015026482A2 (en) 2013-08-22 2014-07-25 Organometallic solution based high resolution patterning compositions

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020187006702A Division KR101974322B1 (ko) 2013-08-22 2014-07-25 유기금속 용액 기반의 고해상도 패터닝 조성물

Publications (2)

Publication Number Publication Date
KR20160082969A true KR20160082969A (ko) 2016-07-11
KR101839255B1 KR101839255B1 (ko) 2018-03-15

Family

ID=52480669

Family Applications (7)

Application Number Title Priority Date Filing Date
KR1020197011620A KR102029641B1 (ko) 2013-08-22 2014-07-25 유기금속 용액 기반의 고해상도 패터닝 조성물
KR1020167007433A KR101839255B1 (ko) 2013-08-22 2014-07-25 유기금속 용액 기반의 고해상도 패터닝 조성물
KR1020187006702A KR101974322B1 (ko) 2013-08-22 2014-07-25 유기금속 용액 기반의 고해상도 패터닝 조성물
KR1020217035402A KR102646037B1 (ko) 2013-08-22 2014-07-25 유기금속 용액 기반의 고해상도 패터닝 조성물
KR1020197028281A KR102195329B1 (ko) 2013-08-22 2014-07-25 유기금속 용액 기반의 고해상도 패터닝 조성물
KR1020207036336A KR102343945B1 (ko) 2013-08-22 2014-07-25 유기금속 용액 기반의 고해상도 패터닝 조성물
KR1020237023097A KR20230107719A (ko) 2013-08-22 2014-07-25 유기금속 용액 기반의 고해상도 패터닝 조성물

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020197011620A KR102029641B1 (ko) 2013-08-22 2014-07-25 유기금속 용액 기반의 고해상도 패터닝 조성물

Family Applications After (5)

Application Number Title Priority Date Filing Date
KR1020187006702A KR101974322B1 (ko) 2013-08-22 2014-07-25 유기금속 용액 기반의 고해상도 패터닝 조성물
KR1020217035402A KR102646037B1 (ko) 2013-08-22 2014-07-25 유기금속 용액 기반의 고해상도 패터닝 조성물
KR1020197028281A KR102195329B1 (ko) 2013-08-22 2014-07-25 유기금속 용액 기반의 고해상도 패터닝 조성물
KR1020207036336A KR102343945B1 (ko) 2013-08-22 2014-07-25 유기금속 용액 기반의 고해상도 패터닝 조성물
KR1020237023097A KR20230107719A (ko) 2013-08-22 2014-07-25 유기금속 용액 기반의 고해상도 패터닝 조성물

Country Status (6)

Country Link
US (8) US9310684B2 (ko)
JP (5) JP6484631B2 (ko)
KR (7) KR102029641B1 (ko)
CN (2) CN105579906B (ko)
TW (6) TWI781843B (ko)
WO (1) WO2015026482A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190074004A (ko) * 2017-12-19 2019-06-27 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20200079731A (ko) * 2018-12-26 2020-07-06 삼성에스디아이 주식회사 반도체 레지스트용 조성물, 및 이를 이용한 패턴 형성 방법

Families Citing this family (301)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
GB201413924D0 (en) * 2014-08-06 2014-09-17 Univ Manchester Electron beam resist composition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102319630B1 (ko) 2014-10-23 2021-10-29 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
GB201517273D0 (en) * 2015-09-30 2015-11-11 Univ Manchester Resist composition
KR102204773B1 (ko) * 2015-10-13 2021-01-18 인프리아 코포레이션 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6742748B2 (ja) * 2016-02-17 2020-08-19 株式会社Screenホールディングス 現像ユニット、基板処理装置、現像方法および基板処理方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10649328B2 (en) * 2016-03-11 2020-05-12 Inpria Corporation Pre-patterned lithography templates, processes based on radiation patterning using the templates and processes to form the templates
EP3435159A4 (en) * 2016-03-24 2019-04-10 Fujifilm Corporation ACTINIC OR RADIATION SENSITIVE COMPOSITION, PURIFICATION METHOD AND METHOD OF MANUFACTURING THE SAME, PATTERN FORMATION METHOD, AND ELECTRONIC DEVICE MANUFACTURING METHOD
KR20180104745A (ko) * 2016-03-24 2018-09-21 후지필름 가부시키가이샤 감활성광선성 또는 감방사선성 조성물, 감활성광선성 또는 감방사선성 조성물의 제조 방법, 패턴 형성 방법, 및 전자 디바이스의 제조 방법
JP6796635B2 (ja) * 2016-03-24 2020-12-09 富士フイルム株式会社 感活性光線性又は感放射線性組成物、感活性光線性又は感放射線性組成物の精製方法、パターン形成方法、及び電子デバイスの製造方法
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
JP6969889B2 (ja) * 2016-05-13 2021-11-24 住友化学株式会社 レジスト組成物及びレジストパターンの製造方法
WO2017198418A1 (en) * 2016-05-19 2017-11-23 Asml Netherlands B.V. Resist compositions
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
WO2018004646A1 (en) * 2016-07-01 2018-01-04 Intel Corporation Metal oxide resist materials
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
TWI759147B (zh) * 2016-08-12 2022-03-21 美商因普利亞公司 減少邊緣珠區域中來自含金屬光阻劑之金屬殘留物的方法
WO2018063402A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Metal oxide nanoparticles as fillable hardmask materials
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
JP6713910B2 (ja) * 2016-11-11 2020-06-24 株式会社Screenホールディングス 現像装置、基板処理装置、現像方法および基板処理方法
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6994828B2 (ja) * 2016-11-22 2022-02-04 東京エレクトロン株式会社 パターン形成方法
JP6781031B2 (ja) * 2016-12-08 2020-11-04 東京エレクトロン株式会社 基板処理方法及び熱処理装置
JP6955073B2 (ja) * 2016-12-08 2021-10-27 東京エレクトロン株式会社 熱処理方法及び熱処理装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
EP3564752A4 (en) * 2016-12-28 2020-08-26 JSR Corporation RADIATION-SENSITIVE COMPOSITION, STRUCTURE FORMATION PROCESS AND METAL OXIDE
EP3564751A4 (en) 2016-12-28 2020-10-14 JSR Corporation RADIATION SENSITIVE COMPOSITION, PATTERN FORMING PROCESS, METAL-CONTAINING RESIN AND ASSOCIATED MANUFACTURING PROCESS
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
KR20190103229A (ko) * 2017-01-26 2019-09-04 제이에스알 가부시끼가이샤 감방사선성 조성물 및 패턴 형성 방법
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
EP3367428A1 (en) 2017-02-23 2018-08-29 IMEC vzw Method for blocking a trench portion during patterning of trenches in a dielectric material, and corresponding semiconductor structure
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10741410B2 (en) * 2017-04-28 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
WO2019093145A1 (ja) * 2017-11-08 2019-05-16 Jsr株式会社 レジスト膜形成用組成物及びレジストパターン形成方法
US11098070B2 (en) 2017-11-20 2021-08-24 Inpria Corporation Organotin clusters, solutions of organotin clusters, and application to high resolution patterning
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
CN108344698B (zh) * 2018-02-24 2020-08-25 哈尔滨工业大学 基于电磁第一性原理反演粗糙表面光学常数的椭偏方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TW202348612A (zh) 2018-04-05 2023-12-16 美商英培雅股份有限公司 包含錫化合物的組合物及其應用
US11673903B2 (en) 2018-04-11 2023-06-13 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US10787466B2 (en) 2018-04-11 2020-09-29 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US10381481B1 (en) 2018-04-27 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer photoresist
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
WO2019217749A1 (en) * 2018-05-11 2019-11-14 Lam Research Corporation Methods for making euv patternable hard masks
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11972948B2 (en) 2018-06-13 2024-04-30 Brewer Science, Inc. Adhesion layers for EUV lithography
TW202404985A (zh) 2018-06-21 2024-02-01 美商英培雅股份有限公司 包含溶劑與單烷基錫三烷氧化物之混合物的溶液
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10838304B2 (en) * 2018-08-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Priming material for organometallic resist
KR102287507B1 (ko) * 2018-08-16 2021-08-09 삼성에스디아이 주식회사 하드마스크 조성물 및 패턴 형성 방법
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
TW202016279A (zh) * 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
JP6950662B2 (ja) 2018-10-30 2021-10-13 信越化学工業株式会社 基板保護膜形成用材料及びパターン形成方法
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR20210095218A (ko) * 2018-12-20 2021-07-30 램 리써치 코포레이션 레지스트들의 건식 현상 (dry development)
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11498934B2 (en) 2019-01-30 2022-11-15 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with particulate contamination and corresponding methods
US11966158B2 (en) 2019-01-30 2024-04-23 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with low metal contamination and/or particulate contamination, and corresponding methods
KR102385745B1 (ko) * 2019-02-01 2022-04-11 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7208813B2 (ja) * 2019-02-08 2023-01-19 東京エレクトロン株式会社 基板処理装置及び基板処理方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11327398B2 (en) 2019-04-30 2022-05-10 Samsung Electronics Co., Ltd. Photoresist compositions and methods for fabricating semiconductor devices using the same
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US20210011383A1 (en) * 2019-07-12 2021-01-14 Inpria Corporation Stabilized interfaces of inorganic radiation patterning compositions on substrates
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
EP4004649A4 (en) 2019-07-22 2023-03-29 Inpria Corporation ORGANOMETALLIC METAL CHALCOGENIDE AGGREGATES AND APPLICATION TO LITHOGRAPHY
JP7359680B2 (ja) 2019-07-22 2023-10-11 東京エレクトロン株式会社 熱処理装置及び処理方法
CN112289701A (zh) 2019-07-22 2021-01-29 东京毅力科创株式会社 热处理装置和热处理方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
JP7149241B2 (ja) 2019-08-26 2022-10-06 信越化学工業株式会社 レジスト材料及びパターン形成方法
US11681221B2 (en) 2019-08-28 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photoresist with low-activation-energy ligands or high-developer-solubility ligands
DE102019133965A1 (de) * 2019-08-28 2021-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Euv-fotoresist mit liganden mit niedriger aktivierungsenergie oder liganden mit hoher entwicklerlöslichkeit
JP7264771B2 (ja) 2019-08-30 2023-04-25 信越化学工業株式会社 レジスト材料及びパターン形成方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20220076498A (ko) * 2019-10-08 2022-06-08 램 리써치 코포레이션 Cvd euv 레지스트 막들의 포지티브 톤 현상 (positive tone development)
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR102431292B1 (ko) * 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
WO2021178302A1 (en) * 2020-03-02 2021-09-10 Inpria Corporation Process environment for inorganic resist patterning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202147454A (zh) 2020-03-24 2021-12-16 日商東京威力科創股份有限公司 熱處理裝置及熱處理方法
US11822237B2 (en) 2020-03-30 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US11705332B2 (en) 2020-03-30 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US20230152701A1 (en) * 2020-03-30 2023-05-18 Lam Research Corporation Structure and method to achieve positive tone dry develop by a hermetic overlayer
US11784046B2 (en) 2020-03-30 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
US11886116B2 (en) 2020-05-06 2024-01-30 Inpria Corporation Multiple patterning with organometallic photopatternable layers with intermediate freeze steps
US11776811B2 (en) 2020-05-12 2023-10-03 Applied Materials, Inc. Selective deposition of carbon on photoresist layer for lithography applications
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11942322B2 (en) * 2020-05-22 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices and pattern formation method
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20220002869A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Vapor phase photoresists deposition
US20220004105A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Dry develop process of photoresist
US20220005687A1 (en) * 2020-07-02 2022-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method
WO2022006501A1 (en) 2020-07-03 2022-01-06 Entegris, Inc. Process for preparing organotin compounds
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
JP7158549B2 (ja) * 2020-10-15 2022-10-21 東京エレクトロン株式会社 基板処理方法、基板処理システム及びコンピュータ読み取り可能な記憶媒体
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US20220163889A1 (en) * 2020-11-20 2022-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Metallic photoresist patterning and defect improvement
JP2022086528A (ja) * 2020-11-30 2022-06-09 東京応化工業株式会社 レジスト組成物及びレジストパターン形成方法
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US20220199406A1 (en) * 2020-12-17 2022-06-23 Applied Materials, Inc. Vapor deposition of carbon-doped metal oxides for use as photoresists
KR20220088011A (ko) * 2020-12-18 2022-06-27 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물, 이의 제조 방법 및 이를 이용한 패턴 형성 방법
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220197146A1 (en) * 2020-12-22 2022-06-23 Applied Materials, Inc. Photoresists by physical vapor deposition
US11685752B2 (en) * 2021-01-28 2023-06-27 Entegris, Inc. Process for preparing organotin compounds
US20220262625A1 (en) * 2021-02-18 2022-08-18 Applied Materials, Inc. Chemical vapor condensation deposition of photoresist films
US20220269169A1 (en) 2021-02-19 2022-08-25 Inpria Corporation Organometallic radiation patternable coatings with low defectivity and corresponding methods
US20220308453A1 (en) * 2021-03-24 2022-09-29 Applied Materials, Inc. Oxidation treatment for positive tone photoresist films
JPWO2022209950A1 (ko) * 2021-03-31 2022-10-06
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
KR20240012409A (ko) * 2021-05-25 2024-01-29 도쿄엘렉트론가부시키가이샤 극자외선 패터닝을 위한 유기금속 막
EP4095604A1 (en) * 2021-05-28 2022-11-30 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Hybrid photoresist composition for extreme ultraviolet photolithography applications
KR20240050299A (ko) 2021-09-06 2024-04-18 도쿄엘렉트론가부시키가이샤 열처리 장치, 열처리 방법 및 기억 매체
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230154750A1 (en) * 2021-11-12 2023-05-18 Taiwan Semiconductor Manufacturing Co.,Ltd. Photoresist and Method
WO2023114730A1 (en) * 2021-12-16 2023-06-22 Lam Research Corporation Aqueous acid development or treatment of organometallic photoresist
JP2023094359A (ja) 2021-12-23 2023-07-05 信越化学工業株式会社 密着膜形成材料、パターン形成方法、及び密着膜の形成方法
WO2023225046A1 (en) * 2022-05-18 2023-11-23 Inpria Corporation Radiation sensitive organotin compositions having oxygen heteroatoms in hydrocarbyl ligand
KR20240018108A (ko) 2022-08-02 2024-02-13 석찬휘 전동 스쿠터 단속기
JP2024027460A (ja) 2022-08-17 2024-03-01 信越化学工業株式会社 パターン形成方法
JP2024027459A (ja) 2022-08-17 2024-03-01 信越化学工業株式会社 密着膜形成用組成物、パターン形成方法、及び密着膜の形成方法
IL305619A (en) 2022-09-14 2024-04-01 Shinetsu Chemical Co Compound for forming a metal-containing layer, composition for forming a metal-containing layer, printing method, and semiconductor masking sensitizer
WO2024085016A1 (ja) * 2022-10-20 2024-04-25 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Family Cites Families (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3385915A (en) 1966-09-02 1968-05-28 Union Carbide Corp Process for producing metal oxide fibers, textiles and shapes
US4014858A (en) 1975-05-12 1977-03-29 Standard Oil Company Polybutylene terephthalate
US4174346A (en) 1976-01-30 1979-11-13 Albright & Wilson Limited Process for preparing organotin compounds
JPS5331761A (en) 1976-09-07 1978-03-25 Toray Silicone Co Ltd Thermosetting silicone resin composition
US4104292A (en) 1976-11-02 1978-08-01 M&T Chemicals Inc. Method for preparing organotin compounds
US4102683A (en) 1977-02-10 1978-07-25 Rca Corp. Nonreflecting photoresist process
JPS6019610B2 (ja) * 1979-12-14 1985-05-17 株式会社日立製作所 透明導電膜形成法
US4380559A (en) 1980-09-25 1983-04-19 Murata Manufacturing Co., Ltd. Method for producing boundary layer semiconductor ceramic capacitors
JPS57123126A (en) 1981-01-23 1982-07-31 Adeka Argus Chem Co Ltd Stabilized allyl chloride composition
US4370405A (en) 1981-03-30 1983-01-25 Hewlett-Packard Company Multilayer photoresist process utilizing an absorbant dye
DE3366408D1 (en) * 1982-05-19 1986-10-30 Ciba Geigy Ag Photopolymerisation with organometal salts
US4910122A (en) 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4639208A (en) 1984-04-03 1987-01-27 Matsushita Electric Industrial Co., Ltd. Pulse combustion apparatus with a plurality of pulse burners
US4601917A (en) 1985-02-26 1986-07-22 M&T Chemicals Inc. Liquid coating composition for producing high quality, high performance fluorine-doped tin oxide coatings
US5025094A (en) 1985-07-10 1991-06-18 Union Carbide Chemicals And Plastics Technology Corporation Heterogeneous alkoxylation using anion-bound metal oxides
US4732841A (en) 1986-03-24 1988-03-22 Fairchild Semiconductor Corporation Tri-level resist process for fine resolution photolithography
US4827137A (en) 1986-04-28 1989-05-02 Applied Electron Corporation Soft vacuum electron beam patterning apparatus and process
DE3738634C2 (de) 1986-11-13 1996-11-14 Sunstar Engineering Inc Epoxyharzmasse mit darin dispergierten Siliconharzteilchen
JPH07733B2 (ja) 1986-11-13 1995-01-11 サンスタ−技研株式会社 エポキシ樹脂組成物
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH03148659A (ja) 1989-11-06 1991-06-25 Fujitsu Ltd 電離放射線感応性ネガ型レジスト材料組成物
JP2606652B2 (ja) * 1993-08-17 1997-05-07 日本電気株式会社 珪素含有高分子化合物及びそれを用いたレジスト材料
US5672243A (en) 1995-11-28 1997-09-30 Mosel Vitelic, Inc. Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide
JPH10237078A (ja) 1996-10-14 1998-09-08 Dainippon Printing Co Ltd 金属錯体溶液、感光性金属錯体溶液及び金属酸化物膜の形成方法
WO2002057812A2 (en) 2001-01-17 2002-07-25 Neophotonics Corporation Optical materials with selected index-of-refraction
US6183716B1 (en) 1997-07-30 2001-02-06 State Of Oregon Acting By And Through The State Board Of Higher Education Of Behalf Of Oregon State University Solution method for making molybdate and tungstate negative thermal expansion materials and compounds made by the method
US6060380A (en) 1998-11-06 2000-05-09 Advanced Micro Devices, Inc. Antireflective siliconoxynitride hardmask layer used during etching processes in integrated circuit fabrication
US6020269A (en) 1998-12-02 2000-02-01 Advanced Micro Devices, Inc. Ultra-thin resist and nitride/oxide hard mask for metal etch
US6287951B1 (en) 1998-12-07 2001-09-11 Motorola Inc. Process for forming a combination hardmask and antireflective layer
US6194323B1 (en) 1998-12-16 2001-02-27 Lucent Technologies Inc. Deep sub-micron metal etch with in-situ hard mask etch
US6268457B1 (en) 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6197896B1 (en) 1999-07-12 2001-03-06 International Business Machines Corporation Graft polymers and use thereof
EP1094506A3 (en) 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
JP2001194780A (ja) * 2000-01-11 2001-07-19 Nippon Sheet Glass Co Ltd パターン膜被覆物品の製造方法および感光性組成物
US6696363B2 (en) * 2000-06-06 2004-02-24 Ekc Technology, Inc. Method of and apparatus for substrate pre-treatment
EP1305824A4 (en) * 2000-06-06 2007-07-25 Univ Fraser Simon METHOD FOR MANUFACTURING ELECTRONIC MATERIALS
US7074640B2 (en) * 2000-06-06 2006-07-11 Simon Fraser University Method of making barrier layers
US6420088B1 (en) 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
JP2002015631A (ja) 2000-06-29 2002-01-18 Sumitomo Osaka Cement Co Ltd 感光性透明導電膜形成用塗布液、パターン化された透明導電膜および該透明導電膜の製造方法
WO2002054416A1 (fr) 2000-12-28 2002-07-11 Nissan Chemical Industries, Ltd. Procede de modelage des contours d'une couche d'oxyde d'etain electro-conductrice
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
KR20030057133A (ko) 2001-12-28 2003-07-04 삼성전자주식회사 금속 패턴 형성용 유기금속 전구체 및 이를 이용한 금속패턴 형성방법
KR20030059872A (ko) * 2002-01-03 2003-07-12 삼성전자주식회사 금속 또는 금속산화물 미세 패턴의 제조방법
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6946677B2 (en) 2002-06-14 2005-09-20 Nokia Corporation Pre-patterned substrate for organic thin film transistor structures and circuits and related method for making same
JP2004051672A (ja) 2002-07-16 2004-02-19 Nippon Shokubai Co Ltd ポリイミド微粒子およびその用途
JP2004172272A (ja) * 2002-11-19 2004-06-17 Nikon Corp Euv露光装置及びeuv露光方法
KR100520961B1 (ko) 2003-05-30 2005-10-17 엘지전자 주식회사 인쇄회로기판의 제조방법
US6927108B2 (en) 2003-07-09 2005-08-09 Hewlett-Packard Development Company, L.P. Solution-processed thin film transistor formation method
DE10345455A1 (de) 2003-09-30 2005-05-04 Infineon Technologies Ag Verfahren zum Erzeugen einer Hartmaske und Hartmasken-Anordnung
US7071121B2 (en) 2003-10-28 2006-07-04 Hewlett-Packard Development Company, L.P. Patterned ceramic films and method for producing the same
US7001821B2 (en) 2003-11-10 2006-02-21 Texas Instruments Incorporated Method of forming and using a hardmask for forming ferroelectric capacitors in a semiconductor device
US7773365B2 (en) 2004-04-30 2010-08-10 Hewlett-Packard Development Company, L.P. Dielectric material
US20060088962A1 (en) 2004-10-22 2006-04-27 Herman Gregory S Method of forming a solution processed transistor having a multilayer dielectric
US8709705B2 (en) 2004-12-13 2014-04-29 Pryog, Llc Metal-containing compositions and method of making same
CN1800988B (zh) 2005-01-06 2010-04-07 新应材股份有限公司 光阻清洗剂
JP2006225476A (ja) * 2005-02-16 2006-08-31 Shin Etsu Chem Co Ltd ポジ型レジスト材料及びパターン形成方法
JP2006284947A (ja) 2005-03-31 2006-10-19 Fuji Photo Film Co Ltd 遮光膜用感光性樹脂組成物、遮光膜の作製方法、転写材料及びその製造方法
US7393560B2 (en) 2005-05-03 2008-07-01 Braggone Oy Organo-metal compounds
KR100643570B1 (ko) 2005-06-28 2006-11-10 주식회사 하이닉스반도체 반도체 소자 제조 방법
JP4699140B2 (ja) 2005-08-29 2011-06-08 東京応化工業株式会社 パターン形成方法
US8969865B2 (en) 2005-10-12 2015-03-03 Hewlett-Packard Development Company, L.P. Semiconductor film composition
JP2007178452A (ja) 2005-12-26 2007-07-12 Kao Corp 電子写真用トナーの製造方法
EP1992665B1 (en) 2006-02-16 2010-12-15 Kaneka Corporation Curable composition
KR100823718B1 (ko) * 2006-04-13 2008-04-21 주식회사 엘지화학 전자파 차폐층 제조시 무전해도금에 대한 촉매 전구체수지조성물, 이를 이용한 금속패턴 형성방법 및 이에 따라제조된 금속패턴
JP2007298841A (ja) * 2006-05-01 2007-11-15 Tohoku Univ 感光性重合体組成物
JP5100646B2 (ja) 2006-06-09 2012-12-19 本州化学工業株式会社 新規なトリス(ホルミルフェニル)類及びそれから誘導される新規な多核ポリフェノール類
JP5362176B2 (ja) 2006-06-12 2013-12-11 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20080055597A1 (en) 2006-08-29 2008-03-06 Jie-Wei Sun Method for characterizing line width roughness (lwr) of printed features
JP2008091215A (ja) 2006-10-02 2008-04-17 Nitto Kasei Co Ltd 酸化錫膜形成剤、該酸化錫膜形成剤を用いる酸化錫膜形成方法、及び該形成方法により形成される酸化錫膜
US7611751B2 (en) * 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
KR101207381B1 (ko) 2006-11-01 2012-12-05 더 스테이트 오브 오레곤 액팅 바이 앤드 쓰루 더 스테이트 보드 오브 하이어 에쥬케이션 온 비해프 오브 오레곤 스테이트 유니버시티 용액 처리된 박막들 및 적층체들, 상기 박막들 및적층체들을 포함하는 장치들, 및 그들의 사용 방법 및 제조방법
TWI334177B (en) 2007-03-29 2010-12-01 Nanya Technology Corp Method for forming a semiconductor device
US7799503B2 (en) 2007-05-17 2010-09-21 International Business Machines Corporation Composite structures to prevent pattern collapse
US7718546B2 (en) 2007-06-27 2010-05-18 Sandisk 3D Llc Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon
JP5045314B2 (ja) 2007-08-30 2012-10-10 富士通株式会社 液浸露光用レジスト組成物、及びそれを用いた半導体装置の製造方法
US7858144B2 (en) * 2007-09-26 2010-12-28 Eastman Kodak Company Process for depositing organic materials
US20100279228A1 (en) * 2007-12-21 2010-11-04 The Regents Of The University Of California Organo-metallic hybrid materials for micro- and nanofabrication
US20090174036A1 (en) 2008-01-04 2009-07-09 International Business Machines Corporation Plasma curing of patterning materials for aggressively scaled features
US7906031B2 (en) 2008-02-22 2011-03-15 International Business Machines Corporation Aligning polymer films
WO2009120169A1 (en) 2008-03-27 2009-10-01 State Of Oregon Acting By And Through The State Board Of Higher Education On Behalf Of Oregon State University Solution processed thin films and laminates, devices comprising such thin films and laminates, and method for the use and manufacture
JP5238023B2 (ja) * 2008-05-14 2013-07-17 東京応化工業株式会社 ポジ型感光性組成物
EP2123659A1 (en) 2008-05-15 2009-11-25 Arkema France High purity monoalkyltin compounds and uses thereof
JP5171422B2 (ja) 2008-06-19 2013-03-27 ルネサスエレクトロニクス株式会社 感光性組成物、これを用いたパターン形成方法、半導体素子の製造方法
US8257910B1 (en) 2008-06-24 2012-09-04 Brewer Science Inc. Underlayers for EUV lithography
GB0811930D0 (en) 2008-06-30 2008-07-30 Imec Inter Uni Micro Electr Polymerisable compounds for making opto-electronic devices
US8158338B2 (en) 2008-07-08 2012-04-17 Massachusetts Institute Of Technology Resist sensitizer
DE102008041940A1 (de) 2008-09-10 2010-03-11 Wacker Chemie Ag Siliconelastomere mit verbesserter Einreissfestigkeit
IL200996A0 (en) * 2008-10-01 2010-06-30 Bayer Materialscience Ag Photopolymer formulations having a low crosslinking density
JP5264393B2 (ja) 2008-10-01 2013-08-14 東京応化工業株式会社 レジストパターン形成方法
JP2010094583A (ja) * 2008-10-14 2010-04-30 Nippon Soda Co Ltd 有機薄膜の製造方法
GB2466486A (en) 2008-12-23 2010-06-30 Dow Corning Moisture curable composition
US8728710B2 (en) 2009-03-31 2014-05-20 Sam Xunyun Sun Photo-imageable hardmask with dual tones for microphotolithography
JP5399116B2 (ja) * 2009-04-06 2014-01-29 三洋化成工業株式会社 光塩基発生剤を含有する感光性組成物
JP5627195B2 (ja) 2009-04-27 2014-11-19 東海旅客鉄道株式会社 感光性組成物、感光性金属錯体、塗布液、及び金属酸化物薄膜パターンの製造方法
JP2011053566A (ja) 2009-09-03 2011-03-17 Sony Corp 現像液、エッチング液および微細加工体の製造方法
KR20110064153A (ko) 2009-12-07 2011-06-15 삼성전자주식회사 금속 유기 전구체, 이의 제조방법, 및 이를 이용한 전도성 금속막 또는 패턴 형성방법
US8828493B2 (en) 2009-12-18 2014-09-09 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
US8366967B2 (en) 2010-02-22 2013-02-05 Inpria Corporation Metal chalcogenide aqueous precursors and processes to form metal chalcogenide films
JP6144000B2 (ja) * 2010-03-30 2017-06-07 サム シュンユン スンSam Xunyun Sun マイクロフォトリソグラフィ用の多階調の感光性ハードマスク
JP5582843B2 (ja) * 2010-03-30 2014-09-03 東海旅客鉄道株式会社 金属酸化物膜パターンの製造方法
JP2011215205A (ja) 2010-03-31 2011-10-27 Toray Ind Inc 湿し水不要平版印刷版の製造方法
US8435728B2 (en) 2010-03-31 2013-05-07 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications
US9176377B2 (en) * 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
EP2649135A1 (en) 2010-12-08 2013-10-16 Dow Corning Toray Co., Ltd. Methods of modifying metal-oxide nanoparticles
JP5708521B2 (ja) * 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
WO2012118847A2 (en) 2011-02-28 2012-09-07 Inpria Corportion Solution processible hardmarks for high resolusion lithography
JP5650086B2 (ja) 2011-06-28 2015-01-07 信越化学工業株式会社 レジスト下層膜形成用組成物、及びパターン形成方法
US9362126B2 (en) * 2011-09-22 2016-06-07 Agency For Science, Technology And Research Process for making a patterned metal oxide structure
US8703386B2 (en) * 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
JP5988151B2 (ja) * 2012-08-31 2016-09-07 学校法人関東学院 3次元多層構造体の製造方法
US20140303283A1 (en) 2013-03-15 2014-10-09 The Sherwin-Williams Company Curable compositions
JP6333604B2 (ja) 2013-07-09 2018-05-30 富士フイルム株式会社 着色組成物、硬化膜、カラーフィルタ、カラーフィルタの製造方法、固体撮像素子、および画像表示装置
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
WO2016043198A1 (ja) 2014-09-17 2016-03-24 Jsr株式会社 パターン形成方法
WO2016043200A1 (ja) 2014-09-17 2016-03-24 Jsr株式会社 パターン形成方法
KR102319630B1 (ko) 2014-10-23 2021-10-29 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
JP2018017780A (ja) 2016-07-25 2018-02-01 Jsr株式会社 感放射線性組成物及びパターン形成方法
EP3564751A4 (en) 2016-12-28 2020-10-14 JSR Corporation RADIATION SENSITIVE COMPOSITION, PATTERN FORMING PROCESS, METAL-CONTAINING RESIN AND ASSOCIATED MANUFACTURING PROCESS
EP3564752A4 (en) 2016-12-28 2020-08-26 JSR Corporation RADIATION-SENSITIVE COMPOSITION, STRUCTURE FORMATION PROCESS AND METAL OXIDE
KR20190103229A (ko) 2017-01-26 2019-09-04 제이에스알 가부시끼가이샤 감방사선성 조성물 및 패턴 형성 방법
JPWO2018168221A1 (ja) 2017-03-13 2020-01-16 Jsr株式会社 感放射線性組成物及びパターン形成方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190074004A (ko) * 2017-12-19 2019-06-27 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20200079731A (ko) * 2018-12-26 2020-07-06 삼성에스디아이 주식회사 반도체 레지스트용 조성물, 및 이를 이용한 패턴 형성 방법

Also Published As

Publication number Publication date
TW202130648A (zh) 2021-08-16
TW201527872A (zh) 2015-07-16
TWI781843B (zh) 2022-10-21
KR102646037B1 (ko) 2024-03-08
TWI728353B (zh) 2021-05-21
US20230004083A1 (en) 2023-01-05
JP2022123115A (ja) 2022-08-23
US20160216606A1 (en) 2016-07-28
US20190369489A1 (en) 2019-12-05
US9310684B2 (en) 2016-04-12
US20230004082A1 (en) 2023-01-05
JP2021047426A (ja) 2021-03-25
US20150056542A1 (en) 2015-02-26
US20180307137A1 (en) 2018-10-25
TW201931011A (zh) 2019-08-01
CN105579906B (zh) 2020-04-03
KR102029641B1 (ko) 2019-10-07
WO2015026482A2 (en) 2015-02-26
US20220365429A1 (en) 2022-11-17
US20230004081A1 (en) 2023-01-05
US10416554B2 (en) 2019-09-17
TWI781842B (zh) 2022-10-21
JP2024026361A (ja) 2024-02-28
KR20180039677A (ko) 2018-04-18
TWI768844B (zh) 2022-06-21
KR20190112845A (ko) 2019-10-07
KR101974322B1 (ko) 2019-04-30
JP6484631B2 (ja) 2019-03-13
TW202309058A (zh) 2023-03-01
JP7095060B2 (ja) 2022-07-04
JP7404448B2 (ja) 2023-12-25
KR20190045401A (ko) 2019-05-02
KR102343945B1 (ko) 2021-12-24
KR20210135616A (ko) 2021-11-15
KR20200143524A (ko) 2020-12-23
KR101839255B1 (ko) 2018-03-15
KR20230107719A (ko) 2023-07-17
CN111240158A (zh) 2020-06-05
WO2015026482A3 (en) 2015-05-14
US10025179B2 (en) 2018-07-17
CN111240158B (zh) 2022-06-07
JP6801027B2 (ja) 2020-12-16
TW202210961A (zh) 2022-03-16
JP2019113855A (ja) 2019-07-11
KR102195329B1 (ko) 2020-12-24
JP2016530565A (ja) 2016-09-29
CN105579906A (zh) 2016-05-11
TW202210495A (zh) 2022-03-16
TWI662361B (zh) 2019-06-11
US11966159B2 (en) 2024-04-23

Similar Documents

Publication Publication Date Title
JP7404448B2 (ja) 有機金属溶液に基づいた高解像度パターニング組成物
EP4050014A2 (en) Organometallic solution based high resolution patterning compositions

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant