TWI781842B - 以有機金屬溶液為主之高解析度圖案化組合物 - Google Patents

以有機金屬溶液為主之高解析度圖案化組合物 Download PDF

Info

Publication number
TWI781842B
TWI781842B TW110145505A TW110145505A TWI781842B TW I781842 B TWI781842 B TW I781842B TW 110145505 A TW110145505 A TW 110145505A TW 110145505 A TW110145505 A TW 110145505A TW I781842 B TWI781842 B TW I781842B
Authority
TW
Taiwan
Prior art keywords
metal
coating
precursor solution
radiation
patterned
Prior art date
Application number
TW110145505A
Other languages
English (en)
Other versions
TW202210961A (zh
Inventor
史堤芬 T 密爾斯
道格拉斯 A 克斯爾
蔣凱
傑洛米 安德森
安德魯 格倫維爾
Original Assignee
美商英培雅股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=52480669&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=TWI781842(B) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 美商英培雅股份有限公司 filed Critical 美商英培雅股份有限公司
Publication of TW202210961A publication Critical patent/TW202210961A/zh
Application granted granted Critical
Publication of TWI781842B publication Critical patent/TWI781842B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions

Abstract

已發現有機金屬溶液可提供使用薄塗層之以高解析度輻射為主之圖案化。該圖案化可涉及輻照具有選擇圖案之經塗佈表面及用顯影劑使該圖案顯影以形成經顯影之影像。基於有機顯影劑或水性酸或鹼顯影劑之使用,該等可圖案化塗層可易於正型圖案化或負型圖案化。輻射敏感性塗層可包含具有有機配體之金屬氧/羥(oxo/hydroxo)網絡。前體溶液可包含有機液體及金屬多核氧-羥陽離子,其包含具有金屬碳鍵及/或金屬羧酸酯鍵之有機配體。

Description

以有機金屬溶液為主之高解析度圖案化組合物
本發明係關於使用有機金屬塗料組合物之圖案化材料之性能的以輻射為主之方法。本發明進一步係關於可沈積以形成可利用輻射以極高解析度圖案化之有機金屬塗層的前體溶液,且係關於在圖案化之前及之後利用該前體溶液形成之經塗佈基板及塗層。
對於以半導體為主之器件以及其他電子器件或其他複雜精細結構之形成,通常將材料圖案化以整合結構。因此,該等結構通常係經由依序沈積及蝕刻步驟之反覆製程(經由其,自各種材料形成圖案)形成。以此方式,可在小區域中形成大量器件。業內之一些進展可涉及器件之覆蓋區減少,此可為合意的以增強性能。 可使用有機組合物作為輻射圖案化抗蝕劑,以使輻射圖案用於改變與圖案相應之有機組合物之化學結構。舉例而言,半導體晶圓之圖案化製程可需要將期望影像自有機輻射敏感性材料之薄膜微影轉移。抗蝕劑之圖案化通常涉及若干步驟,包括(例如)經由遮罩將抗蝕劑暴露於選擇能源以記錄潛在影像及隨後顯影並移除抗蝕劑之選擇區。對於正型抗蝕劑,暴露區經轉變以使得該等區可選擇性移除,而對於負型抗蝕劑,未暴露區更易於移除。 通常,可用輻射、反應氣體或液體溶液使圖案顯影以移除抗蝕劑之選擇性敏感部分,而抗蝕劑之其他部分用作保護性抗蝕刻層。液體顯影劑對於使影像顯影可尤其有效。可經由保護性抗蝕層之剩餘區域中之窗或空隙選擇性蝕刻基板。或者,可經由保護性抗蝕層之剩餘區域中之窗或空隙將期望材料沈積至下伏基板之暴露區中。最後,移除保護性抗蝕層。可重複該過程以形成圖案化材料之其他層。可使用化學氣相沈積、物理氣相沈積或其他期望方法沈積功能性無機材料。可使用其他處理步驟,例如導電材料之沈積或摻雜劑之植入。在微型製作及奈米製作領域中,積體電路中之特徵大小已變得極小以達成高整合密度並改良電路功能。
在第一態樣中,本發明係關於利用輻射圖案化基板之方法,該方法包含以下步驟:沿選擇圖案輻照經塗佈基板以形成具有經輻照塗層之區及具有未經輻照之塗層之區的經輻照結構及使經輻照結構選擇性顯影以移除一大部分經輻照塗層或未經輻照之塗層以形成圖案化基板。經塗佈基板通常包含塗層,該塗層包含金屬氧-羥(oxo-hydroxo)網絡,其包括具有金屬碳鍵及/或金屬羧酸酯鍵之有機配體。 在又一態樣中,本發明係關於經塗佈基板,其包含具有不超過約10微米之平均厚度及沿塗層在任何點處與平均值相差不超過約50%之厚度變化的輻射敏感性塗層,該塗層包含金屬氧-羥網絡,其中金屬陽離子包括具有金屬碳鍵及/或金屬羧酸酯鍵之有機配體。 在另一態樣中,本發明係關於圖案化基板,其包含具有表面及第一塗層的基板,該第一塗層在沿表面之選擇區中且在沿表面之其他區中不存在。通常,第一塗層包含金屬氧-羥網絡及有機配體,其中金屬陽離子包括具有金屬碳鍵及/或金屬羧酸酯鍵之有機配體。備選地,第一塗層可溶於至少一些有機液體中,或第一塗層可溶於鹼水溶液中。 在其他態樣中,本發明係關於前體溶液,該前體溶液包含有機液體及約0.01 M至約1.4 M金屬多核氧/羥陽離子,該陽離子包括具有金屬碳鍵及/或金屬羧酸酯鍵之有機配體,該前體溶液具有約0.5厘泊(cP)至約150cP之黏度。該有機液體可具有至少10℃之閃點及於20℃下小於約10 kPa之蒸氣壓力。
關於政府權利之說明 本文所述本發明研發至少部分係經由U.S. National Science Foundation授予之IIP-0912921並由政府提供資助支持,且美國政府享有本發明之某些權利。 合意之有機金屬前體溶液通常具有非水性溶劑,且具有提供高解析度圖案化之配體結構,其中該等溶液具有高穩定度以供形成具有良好輻射敏感性之可輻射圖案化塗層。用於形成金屬氧/羥錯合物之合意之配體可包含M-C鍵或M-O2 C鍵,其中M係選擇金屬原子,其中M係錫、銦、銻或其組合可獲得尤其合意之結果。利用有機金屬前體溶液形成之塗層之合意之特徵提供優異之直接圖案化用於形成圖案化金屬氧化物塗層。在尤其感興趣之實施例中,於輻射中暴露會將經輻照塗層材料轉化成對利用顯影劑組合物移除具有抗性之材料,或暴露足夠改變塗層材料之極性,使得其可選擇性經移除。因此,在一些實施例中,塗層可對於同一塗層經負性圖案化或經正性圖案化。至少一部分塗層材料之選擇性移除可留下圖案,其中已移除塗層之區以暴露下伏基板。在輻照後使塗層顯影後,圖案化氧化物材料可在以優良圖案解析度之器件形成中用於製作處理。塗層材料可經設計以對選擇輻射(例如極紫外光、紫外光及/或電子束)敏感。此外,前體溶液可經調配以在適當存架壽命中穩定用於商業流通。 為簡化本文中之論述,具有M-C配體鍵及/或M-O2 C配體鍵之金屬離子可稱作有機-穩定之金屬離子。除有機配體外,金屬離子通常亦進一步鍵結至一或多個氧-配體(即M-O)及/或羥-配體(即M-O-H)。有機-穩定配體及氧/羥配體藉由提供對具有所得明顯處理優點之金屬氧化物提供關於凝結過程之明顯控制為前體溶液及相應塗層提供合意之特徵。有機溶劑之使用支持溶液之穩定性,而對於正型圖案化及負型圖案化二者,以非水溶液為主之處理驚人地維持在潛在影像形成後以優良顯影速率對比度使所得塗層選擇性顯影的能力。具有溶解之有機-穩定之金屬離子之合意之前體溶液提供便利之以溶液為主之沈積以形成可具有高輻射敏感性及關於蝕刻抗性之優良對比度以容許精細結構形成之塗層。前體組合物之設計可形成對特定輻射類型及/或能量/波長具有高敏感性之塗料組合物。 輻射敏感性塗層材料可用作正性輻射圖案化塗層或負性輻射圖案化塗層。在負性圖案化中,於輻射中暴露會將經輻照塗層材料轉化成相對於未經輻照之塗層材料對利用顯影劑組合物之移除更具抗性的材料。在正性圖案化中,暴露足夠改變暴露塗層材料之極性,例如增加極性,使得可利用水性溶劑或其他高度極性溶劑選擇性移除暴露塗層材料。至少一部分塗層材料之選擇性移除留下圖案,其中已移除區以暴露下伏基板。 積體電子器件及諸如此類之形成通常涉及材料之圖案化以在結構內形成個別元件或組件。此圖案化可涉及彼此垂直及/或平行干擾以誘導期望功能的堆疊層之不同組合物覆蓋選擇部分。各種材料可包含半導體,其可具有選擇摻雜劑、介電質、電導體及/或其他類型之材料。為形成高解析度圖案,可使用輻射敏感性有機組合物以引入圖案,且組合物可稱作抗蝕劑,此乃因組合物之部分經處理以對顯影/蝕刻具有抗性,使得可使用選擇性材料移除以引入選擇圖案。可使用利用選擇圖案或圖案之負片之輻射暴露抗蝕劑並形成具有顯影劑抗性區及顯影劑可溶解區之圖案或潛在影像。本文所述輻射敏感性有機金屬組合物可用於在器件內直接形成期望無機材料結構及/或用作替代有機抗蝕劑之輻射可圖案化無機抗蝕劑。在任一情形下,可開發明顯處理改良,且亦可改良圖案化材料之結構。 具體而言,前體溶液可包含足夠輻射敏感性有機配體,使得溶液具有約0.1至約3之輻射敏感性配體對金屬陽離子之莫耳濃度比率。自前體溶液形成之塗層受前體溶液中之離子之配體結構影響且在乾燥後可為金屬附近之等效配體結構或可在塗佈及/或乾燥過程期間改變配體結構。具體而言,有機配體濃度提供驚人大之前體穩定性改良及利用有機溶劑(通常極性有機溶劑)形成之溶液之網絡形成的控制。儘管不希望受限於理論,但輻射敏感性配體濃度增加明顯降低金屬陽離子與相應氧-配體及/或羥-配體聚集,以穩定溶液。因此,前體溶液可在未進一步攪拌情況下相對於固體沉降穩定至少一週及可能足夠較長時間段(例如大於1個月)。由於長穩定時間,改良之前體關於潛在商業使用具有增加之通用性。總體莫耳濃度可經選擇以達成期望塗層厚度及其他塗層性質,其可與期望穩定性程度一致地獲得。具有金屬-碳或金屬-羧酸酯鍵之配體提供合意之輻射敏感性配體,且具體配體包括(例如)烷基(例如,甲基、乙基、丙基、丁基、第三丁基)、芳基(例如,苯基、苄基)、烯基(例如,乙烯基、烯丙基)、羧酸酯(例如,乙酸酯、丙酸酯、丁酸酯、苯甲酸酯)基團或其組合。 具有有機配體之多原子金屬氧/羥陽離子可經選擇以達成期望輻射吸收。具體而言,以銦及錫為主之塗層材料展現193 nm波長下之遠紫外光及13.5 nm波長下之極紫外光之良好吸收。表1列舉自單丁基氧化錫水合物形成且於100℃下烘烤之塗層材料於選擇波長下之光學常數(n=折射率且k=消光係數)。 表1
波長 (nm) n k
193 1.75 0.211
248 1.79 0.0389
365 1.63 0
405 1.62 0
436 1.61 0
一些前體溶液有效地納入其他金屬之摻合物以提供塗層材料之合意之總體性質。前體溶液可包含其他金屬陽離子以增加對微影術重要之一些輻射波長之吸收。金屬離子濃度可經選擇以為前體溶液提供期望性質,其中更稀釋溶液通常與更薄塗層材料之形成一致,但塗層性質亦取決於沈積技術。 據信前體組合物之配體結構可提供前體溶液之合意穩定性以及輻射圖案化功能。具體而言,據信輻射之吸收可破壞金屬與有機配體之間之鍵以生成經塗佈材料之經輻照及未經輻照部分處之組合物之分化。因此,組成變化以形成改良之前體溶液亦提供影像之改良顯影。具體而言,經輻照塗層材料可以對顯影劑可調諧之反應產生穩定之無機金屬氧化物材料,例如,可經由適當顯影劑選擇使正型或負型影像顯影。在一些實施例中,適宜顯影劑包括(例如) 2.38% TMAH,即半導體工業標準。可使得塗佈層較薄,而自在顯影後意欲保留之塗層材料之區移除塗層材料在顯影期間無圖案損失。與習用有機抗蝕劑相比,本文所述材料對商業上有關之功能層之許多蝕刻化學具有極高抗性。此經由避免中間犧牲無機圖案轉移層使得製程簡化,該等轉移層原本可用於關於遮罩功能補充圖案化有機抗蝕劑。塗層材料亦可提供便利之雙重圖案化。具體而言,在熱處理後,塗層材料之圖案化部分關於與包括其他前體溶液之許多組合物接觸穩定。因此,可在未移除先前沈積之硬遮罩或抗蝕劑塗層材料情況下實施多重圖案化。 在使用圖案化材料作為遮罩以圖案化期望功能材料之後,可隨後移除圖案化塗層材料。或者,可在適當穩定後經由至無機金屬氧化物材料之至少一定凝結向結構中納入所得圖案化材料,作為最終器件之組件。若向結構中納入圖案化無機塗層材料作為(例如)穩定介電層,則可經由使用利用輻射之材料之直接圖案化消除處理程序之許多步驟。或者,已發現,可使用利用短波長電磁輻射及/或電子束暴露之薄無機塗層材料形成極高解析度結構,且可將線-寬度粗糙度降低至極低程度用於形成改良之圖案化結構。 前體溶液包含多核金屬氧/羥陽離子及有機配體。多核金屬氧/羥陽離子(亦闡述為金屬低氧化物陽離子)係具有金屬元素及共價鍵結之氧原子之多原子陽離子。具有以過氧化物為主之配體之金屬低氧化物陽離子闡述於頒予Stowers等人且標題為「Patterned Inorganic Layers, Radiation Based Patterning Compositions and Corresponding Methods」之以引用方式併入本文中之美國專利8,415,000 ('000專利)中。金屬低氧化物或金屬氫氧化物之水溶液往往可對於膠凝及/或沈澱不穩定。具體而言,溶液在溶劑移除後不穩定且可與金屬陽離子形成氧-氫氧化物網絡。向該溶液中納入輻射敏感性配體(例如過氧化物)可改良穩定性,但與網絡形成相關之背景不穩定性可持續。此不受控制之網絡形成藉由提供獨立於輻照之顯影速率測定途徑有效地降低經塗佈材料之輻射敏感性及/或顯影速率對比度。 新前體溶液已經調配相對於具有以過氧化物為主之配體之無機抗蝕劑材料具有改良之穩定性及控制網絡形成及沈澱。在此情形下作為輻射敏感性之配體之表徵係指在輻射吸收後金屬-配體鍵之不穩定性,以使輻射可用於誘導材料中之化學變化。具體而言,有機配體使前體溶液穩定,同時亦提供關於材料之處理之控制,且有機配體對金屬離子之比率之選擇可經調節以控制溶液及所得塗層之性質。具體而言,若有機配體對金屬陽離子之莫耳比介於約0.1與約3之間,則通常可形成更穩定溶液。更穩定前體溶液提供最終經輻照塗層材料與未經輻照塗層材料之間之更大對比度之增加優點,此乃因可利用輻射完成利用金屬使有機配體鍵破裂以改良具有潛在影像之塗層材料中之顯影速率對比度。 具有更大穩定性之精製前體溶液亦提供在基板之經輻射暴露及未經暴露部分之間具有更大顯影速率對比度之潛能的塗層材料,其可驚人地利用正型圖案化或負型圖案化同時達成。具體而言,可藉由適宜顯影劑組合物相對更容易地溶解經輻照塗層材料或未經輻照塗層材料。因此,利用改良之組合物及相應材料,可經由顯影劑之選擇達成正型或負型成像。同時,可使得具有適當絕緣(通常毗鄰元件之間之電絕緣)之毗鄰元件之間之節距極小。經輻照塗料組合物可對後續顯影/蝕刻製程極為敏感,以便可使得塗料組合物極薄,而不損害關於塗料組合物之選擇性及清潔移除之顯影製程之效能,同時在基板表面上留下經輻照圖案化組合物之適當部分。進一步縮短顯影劑中之暴露時間之能力與薄塗層之使用一致,而不損害塗層之圖案化部分。 通常可利用如下文進一步闡述之任何合理塗層或印刷技術沈積前體溶液。通常乾燥塗層,且在輻照之前可施加熱以穩定塗層。通常,塗層較薄,例如平均厚度小於10微米,且可期望極薄之亞微米塗層以圖案化極小特徵。經乾燥塗層可經受適當輻射(例如極紫外光、電子束或紫外光)以在塗層中形成潛在影像。使潛在影像與顯影劑接觸以形成實體影像,即圖案化塗層。可進一步加熱圖案化塗層以穩定在表面上圖案化之剩餘塗層。可使用圖案化塗層作為物理掩膜以實施進一步處理,例如根據圖案蝕刻基板及/或沈積其他材料。於處理之適當時刻,可移除剩餘圖案化塗層,但可將圖案化塗層納入最終結構中。可利用本文所述圖案化組合物有效地完成極精細特徵。前體溶液 用於形成抗蝕劑塗層之前體溶液通常包含有機溶劑(通常有機溶劑)中具有適當有機-穩定配體之金屬陽離子。前體溶液及最終抗蝕劑塗層係基於金屬氧化物化學,且具有有機配體之金屬聚陽離子之有機溶液提供具有良好抗蝕劑性質之穩定溶液。配體提供輻射敏感性,且配體之特定選擇可影響輻射敏感性。具體而言,可基於金屬陽離子以及相關配體之選擇設計前體溶液以達成期望輻射吸收程度用於選擇輻射。可選擇溶液中之配體穩定之金屬陽離子之濃度以為特定沈積方法(例如旋塗)提供適宜溶液性質。關於穩定性及處理之特定效率之金屬係13、14及15族金屬。為相應地提供通常用於圖案化之輻射之高吸收,期望前體溶液中包括Sn、In及Sb金屬,但該等金屬可與其他金屬組合以調節性質、尤其輻射吸收。前體溶液已經調配以達成極高穩定性程度,使得前體溶液具有商業產品之適當存架壽命。如以下部分中所述,前體溶液可施加至基板表面、經乾燥並進一步處理以形成有效輻射抗蝕劑。前體溶液經設計以在至少部分溶劑移除後形成塗料組合物及最終在輻照及/或熱處理、暴露於電漿或類似處理後由金屬氧化物主導之無機固體。 前體溶液通常包含一或多種金屬陽離子。在水溶液中,金屬陽離子由於與水分子之相互作用而水合,且可發生水解以將氧原子鍵結至金屬離子以形成氫氧化物配體或氧鍵且相應釋放氫離子。相互作用之性質通常具有pH依賴性。在水溶液中發生額外水解時,溶液可關於金屬氧化物之沈澱或關於膠凝不穩定。最終,期望形成氧化物材料,但此進展可經基於具有有機配體穩定之金屬陽離子之有機溶劑的前體溶液更好地控制。利用基於有機-穩定之配體及有機溶劑之前體溶液,可控制至氧化物之進展作為用於首先將溶液處理成塗層材料且隨後處理成具有有機配體之最終金屬氧化物組合物的程序之部分。如本文所述,有機配體可用於提供對溶液處理成有效輻射抗蝕劑組合物之明顯控制。 因此,準備金屬陽離子之溶液用於進一步處理。具體而言,可期望使用可準備溶液進一步朝向金屬氧化物組合物之多核金屬氧/羥陽離子作為前體溶液之增加組份。一般而言,前體溶液包含約0.01 M至約1.4 M金屬多核氧/羥陽離子,在其他實施例中約0.05 M至約1.2 M,且在其他實施例中約0.1 M至約1.0 M。熟習此項技術者應認識到,本發明揭示內容涵蓋上述明確範圍內的金屬多核氧/羥陽離子之其他範圍且其屬本發明揭示內容。 錫、銻及/或銦係尤其適用於形成本文所述前體溶液之多核金屬氧/羥陽離子之金屬。具體而言,錫具有基於有機配體之合意之化學性質。可提供其他金屬以產生更複雜的多核金屬氧/羥陽離子調配物,其包括(例如) Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce、Lu或其組合。其他金屬可為錫離子、銻離子及/或銦離子(錫/銻/銦離子)之替代或除該等離子外亦可具有其他金屬。若使用金屬離子之摻合物,則在一些實施例中,錫/銻/銦與其他金屬離子之莫耳比可為高達約1非錫/銻/銦金屬離子/每一錫/銻/銦離子,且在其他實施例中為約0.1至約0.75非錫/銦金屬離子/錫/銻/銦金屬離子。若使用金屬離子之摻合物,則金屬離子可存於溶液中之複雜多重金屬氧-羥簇中或不同金屬氧-羥簇中。溶液中之精確簇形式可為已知或未知,且所得塗層通常可提供是否已知溶液中之簇結構之期望功能。如上文所述,溶液中之陽離子之狀態具有pH依賴性,使得氧配位之初始狀態可在溶液中改變,但趨勢係朝向水解及凝結,從而導致氧化物形成。已發現,有機配體可妨礙形成導致膠凝且最終沈澱之金屬-氧網絡。因此,有機配體可用於形成準備經由選擇性輻射暴露轉變成氧化物的穩定狀態。有機配體之使用亦擴大前體溶劑及顯影劑之選擇以包括以水為主之溶劑及有機溶劑。 金屬通常顯著影響輻射之吸收。因此,可基於期望輻射及吸收橫截面選擇金屬陽離子。銦及錫提供13.5 nm下極紫外光之強吸收。其與有機配體組合亦提供193 nm波長下紫外光之良好吸收。Hf亦提供電子束材料及極UV輻射之良好吸收。可基於其他金屬離子之添加調節用於輻射吸收之組合物之進一步調諧。舉例而言,可向前體溶液中添加一或多種包含Ti、V、Mo或W或其組合之金屬組合物以形成具有移動至更長波長之吸收邊限之塗層材料,以提供(例如)對248 nm波長紫外光之敏感性。藉由金屬-有機相互作用調節所吸收能量,該等金屬-有機相互作用可引起金屬-配體破裂及關於材料性質之期望控制。 有機配體關於凝結使組合物穩定。具體而言,若凝結於室溫下一點也不自發發生,則於有機配體之高相對濃度下,凝結金屬氧化物或金屬氫氧化物之形成極緩慢。基於此穩定性質之發現,可利用高濃度之輻射敏感性配體形成溶液,該等輻射敏感性配體具有良好存架穩定性同時保留便利處理以形成塗層。輻射敏感性配體包括羧酸酯及形成金屬-碳鍵(例如錫-碳鍵)之有機部分。所吸收輻射之能量可使金屬-有機配體鍵斷裂。在該等鍵斷裂時,關於凝結之相應穩定降低或損失。組合物可經由形成M--OH或經由凝結以形成M--O--M鍵發生改變,其中M代表金屬原子。因此,可利用輻射控制化學變化。具有高輻射敏感性配體濃度之組合物可關於避免氫氧化物之自發形成及凝結高度穩定。具有期望配體結構之一些適宜金屬組合物可自商業來源(例如Alfa Aesar (MA, USA)及TCI America (OR, USA))購得,參見以下實例,且可如下文所述合成其他金屬-配體組合物。 有機配體可為(例如)烷基(例如,甲基、乙基、丙基、丁基、第三丁基、芳基(苯基、苄基))、烯基(例如,乙烯基、烯丙基)及羧酸酯(乙酸酯、丙酸酯、丁酸酯、苯甲酸酯)。前體組合物通常包含係金屬陽離子濃度之約0.25至約4倍之配體濃度,在其他實施例中金屬陽離子濃度之約0.5至約3.5倍,在其他實施例中約0.75至約3倍且在其他實施例中約1至約2.75倍。熟習此項技術者應認識到,本發明揭示內容涵蓋屬上述明確範圍內之配體濃度之其他範圍且其屬本發明揭示內容。 關於金屬離子之氧/羥配體,該等配體可在處理期間經由水解形成。在一些實施例中,水解可涉及在鹼性水溶液中替代鹵化物配體且隨後轉移至有機溶劑。下文提供具體實例。基本上,將包含金屬離子及有機穩定配體及鹵化物配體之組合物溶解於有機溶劑中,隨後使其與鹼性水溶液接觸,隨後可發生用羥配體取代鹵化物配體。在提供足夠時間以形成羥配體後,可自有機相分離水溶液,假定有機液體不可溶於水性液體中。在一些實施例中,氧/羥配體可經由自大氣水水解而形成。可在大氣水分存在下加熱可水解之金屬離子組合物,以使氧/羥配體直接在塗層材料中形成,此可由於高表面積相對容易。下文亦闡述自大氣水水解之實例。 關於有機-穩定配體之形成,該等配體亦可在溶液中形成以形成期望組合物。關於羧酸酯配體,可將相應羧酸或其鹽溶解於具有金屬陽離子之溶液中。若期望,可調節溶液之pH以有利於羧酸酯基團鍵結至金屬,且可施加熱以進一步驅動製程。一般而言,該反應可在水性溶劑中實施且隨後轉移至有機溶劑中或直接在有機溶劑中實施。同樣,M-C鍵可在溶液相取代反應中形成。以下反應係用以形成Sn-C鍵之取代反應之代表性適宜反應,且對其他金屬離子遵循類似反應: n RCl + Sn → Rn SnCl4-n + 殘餘物 4 RMgBr + SnCl4 → R4 Sn + 4 MgBrCl 3 SnCl4 + 4R3 Al → 3 R4 Sn + 4 AlCl3 R4 Sn + SnCl4 → 2 R2 SnCl2 , 其中R代表有機配體。通常,在上述反應中可取代不同適宜鹵化物。該等反應可在適宜有機溶劑中實施,其中反應物具有合理溶解性。 一般而言,期望化合物可溶解於有機溶劑(例如醇、酯或其組合)中。具體而言,適宜溶劑包括(例如)芳香族化合物(例如,二甲苯、甲苯)、酯(丙二醇單甲基醚乙酸酯、乙酸乙酯、乳酸乙酯)、醇(例如,4-甲基-2-丙醇、1-丁醇、茴香醚)、酮(例如,甲基乙基酮)及諸如此類。一般而言,有機溶劑選擇可受溶解性參數、揮發性、可燃性、毒性、黏度及與其他處理材料之潛在化學相互作用影響。在將溶液之組份溶解並組合時,物質之特性可由於尤其塗佈過程期間之部分水合及凝結而變化。在本文中提及溶液之組合物時,提及如添加至溶液中之組份,此乃因複雜調配物可在溶液中產生可不充分表徵之金屬多核物質。對於某些應用,期望有機溶劑具有不小於約10℃、在其他實施例中不小於約20℃且在又一實施例中不小於約25℃之閃點及於20℃下不超過約10 kPa、在一些實施例中不超過約8 kPa且在其他實施例中不超過約6 kPa之蒸氣壓力。熟習此項技術者應認識到,本發明揭示內容亦涵蓋屬上述明確範圍之閃點及蒸氣壓力之其他範圍且其屬本發明揭示內容。 一般而言,使用適於所形成材料之體積之適當混合裝置充分混合前體溶液。可使用適宜過濾以移除任何污染物或不適當地溶劑之其他組份。在一些實施例中,可期望形成可經組合以自組合形成前體溶液之單獨溶液。具體而言,可形成包含以下中之一或多者之單獨溶液:金屬多核氧/羥陽離子、任何其他金屬陽離子及有機配體。若引入多種金屬陽離子,則可向同一溶液中及/或在單獨溶液中引入多種金屬陽離子。通常,可充分混合單獨溶液或組合溶液。在一些實施例中,隨後使金屬陽離子溶液與有機配體溶液混合,使得有機配體可與金屬陽離子結合。所得溶液可稱作穩定金屬陽離子溶液。在一些實施例中,使穩定金屬陽離子溶液反應適宜時間段,以提供穩定配體形成,其亦可或可不涉及溶液中之簇形成,引入或不引入混合金屬離子。在一些實施例中,溶液之反應或穩定時間可為至少約5分鐘、在其他實施例中至少約1小時且在其他實施例中約2小時至約48小時,之後進一步處理。熟習此項技術者應認識到,本發明揭示內容亦涵蓋穩定時段之其他範圍且其屬本發明揭示內容。 前體溶液中之物質之濃度可經選擇以達成溶液之期望物理性質。具體而言,整體較低濃度可產生用於可使用合理塗佈參數獲得更薄塗層之某些塗層方法(例如旋塗)的溶液之期望性質。可期望使用更薄塗層以達成超精細圖案化以及降低材料成本。一般而言,濃度可經選擇以適於所選塗佈方法。下文將進一步闡述塗層性質。 如上文所述,可使用有機配體相對於金屬陽離子之相對大之比率以大大穩定前體溶液。可關於相對於初始溶液之變化評估前體溶液之穩定性。具體而言,若在產生大溶膠粒子下發生相分離或若溶液損失其實施期望圖案形成之能力,則溶液損失穩定性。基於本文所述之改良穩定方法,在未額外混合情況下,溶液可穩定至少約1週、在其他實施例中至少約2週、在其他實施例中至少約4週。熟習此項技術者應認識到,本發明揭示內容亦涵蓋穩定時間之其他範圍且其屬本發明揭示內容。可以溶液可在適當存架壽命中商業流通之足夠穩定時間調配溶液。塗層材料 塗層材料係經由前體溶液至所選基板上之沈積及隨後處理來形成。基板通常提供可沈積塗層材料之表面,且基板可包含複數個層,其中表面與最上層相關。在一些實施例中,基板表面可經處理以為塗層材料之黏附準備表面。同樣,若適當,可清潔表面及/或使其光滑。適宜基板表面可包含任何合理材料。尤其感興趣之一些基板包括 (例如)橫跨表面及/或基板之層中之矽晶圓、二氧化矽基板、其他無機材料、聚合物基板(例如有機聚合物)、其複合物及其組合。晶圓(例如相對薄之圓柱形結構)可為便利的,但可使用任何合理形狀之結構。聚合物基板或在非聚合物結構上具有聚合物層之基板基於其低成本及撓性可期望用於某些應用,且可基於可用於處理本文所述可圖案化材料之相對低處理溫度選擇適宜聚合物。適宜聚合物可包括(例如)聚碳酸酯、聚醯亞胺、聚酯、聚烯烴、其共聚物及其混合物。一般而言,尤其對於高解析度應用,期望基板具有平坦表面。 一般而言,可使用任何適宜塗佈過程以遞送前體溶液至基板。適宜塗層方法可包括(例如)旋塗、噴塗、浸塗、刀刃塗佈、印刷方法(例如噴墨印刷及絲網印刷)及諸如此類。該等塗佈方法中之一些在塗佈過程期間形成塗層材料之圖案,但目前可自印刷或諸如此類獲得之解析度較可自如本文所述以輻射為主之圖案化獲得之解析度具有明顯更低之量。可在多個塗層步驟中施加塗層材料以提供關於塗佈過程之更大控制。舉例而言,可實施多次旋塗以產生期望最終塗層厚度。可在每一塗佈步驟之後或在複數個塗佈步驟之後施加下述熱處理。 若使用輻射實施圖案化,則旋塗可為相對均勻地覆蓋基板之合意方法,但可存在邊緣效應。在一些實施例中,晶圓可以約500 rpm至約10,000 rpm、在其他實施例中約1000 rpm至約7500 rpm且在其他實施例中約2000 rpm至約6000 rpm之速率旋轉。旋轉速度可經調節以獲得期望塗層厚度。旋塗可實施約5秒至約5分鐘且在其他實施例中約15秒至約2分鐘之時間。可使用初始低速旋轉(例如以50 rpm至250 rpm)以實施組合物橫跨基板之初始體積擴散。可利用水或其他適宜溶劑實施背側沖洗、邊緣珠粒移除步驟或諸如此類以移除任何邊緣珠粒。熟習此項技術者應認識到,本發明揭示內容涵蓋屬上述明確範圍內之旋塗參數之其他範圍且其屬本發明揭示內容。 塗層之厚度通常可隨前體溶液濃度、黏度及旋塗之旋轉速度變化。對於其他塗佈過程,通常亦可經由塗層參數之選擇調節厚度。在一些實施例中,可期望使用薄塗層以有利於在後續圖案化製程中形成小的高度解析之特徵。舉例而言,乾燥後之塗層材料之平均厚度可為不超過約10微米、在其他實施例中不超過約1微米、在其他實施例中不超過約250奈米(nm)、在其他實施例中約1奈米(nm)至約50 nm、在其他實施例中約2 nm至約40 nm且在一些實施例中約3 nm至約25 nm。熟習此項技術者應認識到,本發明揭示內容涵蓋屬上述明確範圍內之厚度之其他範圍且其屬本發明揭示內容。可使用基於膜之光學性質之x射線反射率之非接觸方法及/或橢圓量測法評估厚度。一般而言,塗層相對均勻以有利於處理。在一些實施例中,塗層之厚度變化自平均塗層厚度變化不超過±50%、在其他實施例中不超過±40%且在其他實施例中相對於平均塗層厚度不超過約25%。在一些實施例中,例如較大基板上之高均勻度塗層,可利用1公分邊緣排除評估塗層均勻度之評估,即,對於1公分邊緣內之塗層之部分,未評估塗層均勻度。熟習此項技術者應認識到,本發明揭示內容涵蓋屬上述明確範圍內的其他範圍且其屬本發明揭示內容。 塗佈過程本身可引起一部分溶劑蒸發(此乃因許多塗佈過程形成小滴或具有較大表面積之其他形式之塗層材料)及/或刺激蒸發之溶液之移動。溶劑之損失往往增加塗層材料之黏度,此乃因材料中之物質之濃度增加。塗佈過程期間之目的可為移除足夠溶劑以穩定塗層材料用於進一步處理。一般而言,可在輻射暴露致癌潛能加熱塗層材料以驅逐溶劑並促進塗層材料緻密化。經乾燥之塗層材料通常可形成基於針對金屬之氧-羥配體之聚合金屬氧/羥網絡,其中金屬亦具有一些有機配體或包括具有有機配體之多核金屬氧/羥物質的分子固體。 可或可不關於塗層材料中剩餘之溶劑之具體量定量控制溶劑移除過程,且通常可實施所得塗層材料性質之經驗評估以選擇對圖案化製程有效之處理條件。儘管製程之成功應用無需加熱,但可期望加熱經塗佈基板以加速處理及/或增加製程之再現性。在施加熱以移除溶劑之實施例中,可將塗層材料加熱至約45℃至約250℃且在其他實施例中約55℃至約225℃之溫度。用於溶劑移除之加熱通常可實施至少約0.1分鐘、在其他實施例中約0.5分鐘至約30分鐘且在其他實施例中約0.75分鐘至約10分鐘。熟習此項技術者應認識到,本發明揭示內容涵蓋屬上述明確範圍內之加熱溫度及時間之其他範圍且其屬本發明揭示內容。由於塗層材料之熱處理及緻密化,塗層材料可展現折射率及輻射吸收增加,而無明顯對比度損失。圖案化暴露及圖案化塗層材料 可輻射精細圖案化塗層材料。如上文所述,前體溶液及藉此相應塗層材料之組成可經設計用於足夠吸收期望形式之輻射。輻射之吸收產生可使金屬與有機配體之間之鍵斷裂以使至少一些有機配體不再可用於穩定材料的能量。在吸收足夠量之輻射下,暴露之塗層材料凝結,即形成增強之金屬氧/羥網絡,其可涉及自環境氣氛吸收之水。通常可根據所選圖案遞送輻射。將輻射圖案轉移至具有經輻照區域及未經輻照區域之塗層材料中的相應圖案或潛在影像。經輻照區域包含化學上改變之塗層材料,且未經輻照區域通常包含原樣形成之塗層材料。如下文所述,可在塗層材料顯影後形成極尖銳邊緣,且移除未經輻照塗層材料或另一選擇為選擇性移除經輻照塗層材料。 通常可經由遮罩將輻射引導至經塗佈基板或可橫跨基板可控地掃描輻射束。一般而言,輻射可包含電磁輻射、電子束(β輻射)或其他適宜輻射。一般而言,電磁輻射可具有期望波長或波長範圍,例如可見輻射、紫外輻射或x射線輻射。輻射圖案可達成之解析度通常取決於輻射波長,通常可利用較短波長輻射達成較高解析度圖案。因此,可期望使用紫外光、x射線輻射或電子束以達成尤其高解析度圖案。 遵循以引用方式併入本文中之國際標準ISO 21348 (2007),紫外光在大於或等於100 nm且小於400 nm之波長之間延伸。可使用氟化氪作為248 nm紫外光之來源。可在公認標準下以若干方式細分紫外範圍,例如極紫外(EUV)為大於或等於10 nm至小於121 nm且遠紫外(FUV)為大於或等於122 nm至小於200 nm。可使用氟化氬雷射之193 nm線作為FUV中之輻射來源。EUV光於13.5 nm下用於微影術,且此光係自使用高能量雷射或放電脈衝激發之Xe或Sn電漿來源生成。軟x射線可界定為大於或等於0.1 nm至小於10 nm。 電磁輻射之量可由注量或劑量表徵,該注量或劑量係藉由在暴露時間內之積分輻射流量獲得。適宜輻射注量可為約1 mJ/cm2 至約150 mJ/cm2 、在其他實施例中約2 mJ/cm2 至約100 mJ/cm2 且在其他實施例中約3 mJ/cm2 至約50 mJ/cm2 。熟習此項技術者應認識到,屬以上明確範圍內之輻射注量之其他範圍涵蓋於且屬本發明內。 利用電子束微影術,電子束通常誘導通常改良輻照材料之二次電子。解析度可至少部分隨材料中之二次電子之範圍變化,其中通常據信較高解析度係自二次電子之較短範圍產生。基於可利用電子微影術使用本文所述無機塗層材料獲得之高解析度,無機材料中之二次電子之範圍受限。電子束可由束之能量表徵,且適宜能量可介於約5 V至約200 kV (千伏)且在其他實施例中約7.5 V至約100 kV之範圍內。30 kV之鄰近校正之術劑量可介於約0.1微庫/平方公分至約5毫庫/平方公分(mC/cm2 )、在其他實施例中約0.5 µC/cm2 至約1 mC/cm2 且在其他實施例中約1 µC/cm2 至約100 µC/cm2 之範圍內。熟習此項技術者可基於本文中之教示計算其他術能量下之相應劑量且應認識到,本發明揭示內容涵蓋屬上述明確範圍內的電子束性質之其他範圍且其屬本發明揭示內容。 基於塗層材料之設計,具有凝結塗層材料之經輻照區與具有實質上完整有機配體之未經輻照塗層材料之間之材料性質顯著不同。已發現,該差異可利用輻照後熱處理改良,但在一些實施例中在無輻照後熱處理下可達成令人滿意之結果。暴露後熱處理似乎使經輻照塗層材料退火以增加其凝結,而基於有機配體-金屬鍵之熱斷裂,不明顯凝結塗層材料之未經輻照區。對於使用輻照後熱處理之實施例,輻照後熱處理可於約45℃至約250℃、在其他實施例中約50℃至約190℃且在其他實施例中約60℃至約175℃之溫度下實施。暴露後加熱可通常實施至少約0.1分鐘、在其他實施例中約0.5分鐘至約30分鐘且在其他實施例中約0.75分鐘至約10分鐘。熟習此項技術者應認識到,本發明揭示內容涵蓋屬上述明確範圍內的輻照後加熱溫度及時間之其他範圍且其屬本發明揭示內容材料性質之此高差異進一步有利於在顯影後在圖案中形成尖銳線,如以下部分中所述。 在利用輻射暴露後,圖案化具有經輻照區及未經輻照區之塗層材料。參照圖1及2,顯示圖案化結構100包含基板102、薄膜103及圖案化塗層材料104。圖案化塗層材料104包含經輻照塗層材料之區110、112、114、116及未經輻照塗層材料之未凝結區118、120、122。由凝結區110、112、114、116及未凝結區118、120、122形成之圖案化代表塗層材料中之潛在影像,且在以下部分中論述潛在影像之顯影。顯影及圖案化結構 影像之顯影涉及包括潛在影像之圖案化塗層材料與顯影劑組合物接觸以移除未經輻照塗層材料以形成負性影像或移除經輻照塗層以形成正性影像。使用本文所述抗蝕劑材料,通常基於同一塗層可使用適當顯影溶液以合意之解析度實施有效負性圖案化或正性圖案化。具體而言,至少部分凝結經輻照區以增加金屬氧化物特性,以使經輻照材料抵抗由有機溶劑溶解,而未經輻照組合物保持可溶於有機溶劑中。在提及凝結塗層材料時係指在增加材料相對於初始材料之氧化物特性意義上至少部分凝結。另一方面,未經輻照之材料由於材料之疏水性質不可溶於弱水性鹼或酸中,以使水性鹼可用於移除經輻照材料,同時維持未經輻照材料用於正性圖案化。 具有有機-穩定配體之塗料組合物產生固有地相對疏水之材料。輻照以使至少一些有機金屬鍵斷裂會將材料轉化成疏水性教學、即親水性較大之材料。特性之此變化提供經輻照塗層與未經輻照塗層之間之明顯對比度,其提供利用相同抗蝕劑組合物進行正型圖案化及負型圖案化二者之能力。具體而言,經輻照塗層材料凝結至一定程度成為另一金屬氧化物組合物;然而,凝結程度通常係中等的,而無明顯加熱,以使經輻照材料對於利用便利顯影劑顯影簡單直接。相比之下,如上文引用之'000專利中所述,基於具有過氧化物穩定配體之金屬氧-羥化學之無機抗蝕劑材料在輻照之前固有地更具親水性,且經輻照之以過氧化物為主之塗層可藉由輻照凝結至更明顯程度,以使可利用弱酸或鹼移除未經輻照之抗蝕劑,同時可類似於金屬氧化物材料使經輻照之以過氧化物為主之塗層顯影。 關於負型成像,參照圖3及4,已經由與顯影劑接觸使圖1及2中所示之結構之潛在影像顯影以形成圖案化結構130。在使影像顯影後,沿上表面穿過開口132、134暴露基板102。開口132、134、135分別位於未凝結區118、120、122之位置處。關於正型成像,參照圖5及6,使圖1及2中所示結構之潛在影像顯影以形成圖案化結構140。圖案化結構140具有圖3中之圖案化結構130之結合影像。圖案化結構140具有暴露於圖1-4中經顯影以形成開口142、144、146、148之經輻照區110、112、114、116之位置處之基板102。保留未凝結區118、120及122。 對於負型成像,顯影劑可為有機溶劑,例如用於形成前體溶液之溶劑。一般而言,顯影劑選擇可受關於經輻照及未經輻照塗層材料之溶解性參數、以及顯影劑揮發性、可燃性、毒性、黏度及與其他製程材料之潛在化學相互作用影響。具體而言,適宜顯影劑包括(例如)芳香族化合物(例如,苯、二甲苯、甲苯)、酯(例如,丙二醇單甲基酯乙酸酯、乙酸乙酯、乳酸乙酯、乙酸正丁酯、丁內酯)、醇(例如,4-甲基-2-丙醇、1-丁醇、異丙醇、茴香醚)、酮(例如,甲基乙基酮、丙酮、環己酮)、醚(例如,四氫呋喃、二噁烷)及諸如此類。顯影可實施約5秒至約30分鐘、在其他實施例中約8秒至約15分鐘且在額外實施例中約10秒至約10分鐘。熟習此項技術者應認識到,本發明揭示內容涵蓋上述明確範圍內的其他範圍且其屬本發明揭示內容。 對於正型成像,顯影劑通常可為水性酸或鹼。在一些實施例中,可使用水性鹼以獲得較鮮明影像。為降低來自顯影劑之污染,可能期望使用不具有金屬原子之顯影劑。因此,氫氧化四級銨組合物(例如四乙基氫氧化銨、四丙基氫氧化銨、四丁基氫氧化銨或其組合)為合意的顯影劑。一般而言,尤其感興趣之氫氧化四級銨可由式R4 NOH表示,其中R =甲基、乙基、丙基、丁基或其組合。本文所述塗層材料通常可利用目前常用於聚合物抗蝕劑之相同顯影劑(具體而言四甲基氫氧化銨(TMAH))顯影。市售TMAH可以2.38重量%獲得,且此濃度可用於本文所述處理。此外,可使用混合四級四烷基-氫氧化銨。一般而言,顯影劑可包含約0.5重量%至約30重量%、在其他實施例中約1重量%至約25重量%且在其他實施例中約1.25重量%至約20重量%四-烷基氫氧化銨或類似的氫氧化四級銨。熟習此項技術者應認識到,本發明揭示內容涵蓋上述明確範圍內的顯影劑濃度之其他範圍且其屬本發明揭示內容。 除主要顯影劑組合物外,顯影劑亦可包含其他組合物以有利於顯影過程。適宜添加劑包括(例如)具有選自由以下組成之群之陽離子之溶解鹽:銨、d-區金屬陽離子(鉿、鋯、鑭或諸如此類)、f-區金屬陽離子(鈰、鑥或諸如此類)、p-區金屬陽離子(鋁、錫或諸如此類)、鹼金屬(鋰、鈉、鉀或諸如此類)及其組合;及具有選自由以下組成之群之陰離子之溶解鹽:氟、氯、溴、碘、硝酸根、硫酸根、磷酸根、矽酸根、硼酸根、過氧化物、丁氧化物、甲酸根、乙二胺-四乙酸(EDTA)、鎢酸根、鉬酸根或諸如此類及其組合。其他潛在可用之添加劑包括(例如)分子螯合劑,例如多胺、醇胺、胺基酸或其組合。若存在可選添加劑,則顯影劑可包含不超過約10重量%添加劑且在其他實施例中不超過約5重量%添加劑。熟習此項技術者應認識到,本發明揭示內容涵蓋屬上述明確範圍內的添加劑濃度之其他範圍且其屬本發明揭示內容。添加劑可經選擇以改良對比度、敏感性及線寬度粗糙度。顯影劑中之添加劑亦可抑制金屬氧化物粒子之形成及沈澱。 利用較弱顯影劑(例如較低濃度水性顯影劑、稀釋之有機顯影劑或塗層具有較低顯影速率之組合物),可使用較高溫度顯影製程以增加製程之速率。利用較強顯影劑,顯影製程之溫度可較低以降低速率及/或顯影之動力學。一般而言,可將顯影之溫度調節在與溶劑之揮發性一致之適當值之間。另外,在顯影期間可利用超音波處理分散在顯影劑-塗層界面附近具有溶解塗層材料之顯影劑。 顯影劑可使用任何合理方法適於圖案化塗層材料。舉例而言,可將顯影劑噴霧至圖案化塗層材料上。亦可使用旋塗。對於自動化處理,可使用攪動方法,其涉及在固定格式中將顯影劑傾倒至塗層材料上。若期望,可使用旋轉沖洗及/或乾燥以完成顯影製程。適宜沖洗溶液包括(例如)用於負性圖案化之超純水、甲基醇、乙基醇、丙基醇及其組合及用於正性圖案化之超純水。在使影像顯影後,將塗層材料以圖案形式佈置於基板上。 在完成顯影步驟後,可對塗層材料進行熱處理以進一步凝結材料並進一步使材料脫水。此熱處理尤其可對於向最終器件中納入氧化物塗層材料之實施例尤其合意,但對於塗層材料用作抗蝕劑且若期望穩定塗層材料以有利於進一步圖案化而最終移除的一些實施例,可期望實施熱處理。具體而言,圖案化塗層材料之烘烤可在圖案化塗層材料展現期望時刻選擇性程度之條件下實施。在一些實施例中,可將圖案化塗層材料加熱至約100℃至約600℃、在其他實施例中約175℃至約500℃且在其他實施例中約200℃至約400℃之溫度。加熱可實施至少約1分鐘、在另一實施例中約2分鐘至約1小時、在其他實施例中約2.5分鐘至約25分鐘。加熱可在空氣、真空或惰性氣體環境(例如Ar或N2 )中實施。熟習此項技術者應認識到,本發明揭示內容涵蓋屬上述明確範圍內的用於熱處理之溫度及時間之其他範圍且其屬本發明揭示內容。 在習用有機抗蝕劑下,若結構之縱橫比(高度除以寬度)變得過大,則結構易於圖案塌陷。圖案塌陷可與高縱橫比結構之機械不穩定相關,使得與處理步驟相關之力(例如,表面張力)使結構元件扭曲。關於潛在扭曲力,低縱橫比結構更穩定。利用本文所述可圖案化塗層材料,由於有效處理具有塗層材料之較薄層之結構的能力,可完成改良之圖案化,而無需高縱橫比圖案化塗層材料。因此,已形成極高解析度特徵,而在圖案化塗層材料中不採取高縱橫比特徵。 所得結構可具有含極低線-寬度粗糙度之尖銳邊緣。具體而言,除降低線-寬度粗糙度之能力外,高對比度亦容許形成小的特徵及特徵之間之空間以及形成極為充分拆分之二維圖案(例如,銳角)之能力。因此,在一些實施例中,相鄰結構之毗鄰直鏈片段之平均節距可為不超過約60 nm、在一些實施例中不超過約50 nm且在其他實施例中不超過約40 nm。節距可藉由設計評估且利用掃描電子顯微鏡(SEM) (例如利用俯視影像)確認。如本文所用,節距係指空間週期或重複結構元件之中心-至-中心距離。亦可關於特徵之平均寬度(其通常遠離中心評估)或諸如此類闡述圖案之特徵維度。特徵亦可係指材料元件之間之空隙及/或係指材料元件。在一些實施例中,平均寬度可為不超過約30 nm、在其他實施例中不超過約25 nm且在其他實施例中不超過約20 nm。平均線-寬度粗糙度可為不超過約3.0 nm且在其他實施例中約1.5 nm至約2.5 nm。藉由俯視SEM影像之分析評估線-寬度粗糙度以驅動偏離平均線-寬度3σ偏差。平均值含有高頻及低頻粗糙度,即,分別短的相關長度及長的相關長度。有機抗蝕劑之線-寬度粗糙度之特徵主要在於長的相關長度,而本發明無機塗層材料展現明顯較短之相關長度。在圖案轉移過程中,可在蝕刻製程期間平滑化短的相關粗糙度,從而產生遠更高保真度圖案。熟習此項技術者應認識到,本發明揭示內容涵蓋屬上述明確範圍內的節距、平均寬度及線-寬度粗糙度之其他範圍且其屬本發明揭示內容。圖案化塗層材料之進一步處理 在形成圖案化塗層材料後,可進一步處理塗層材料以有利於形成選擇器件。此外,通常可實施其他材料沈積、蝕刻及/或圖案化以完成結構。最終可移除或可不移除塗層材料。在任何情形下,可推估圖案化塗層材料之品質用於形成改良之器件,例如具有較小覆蓋區之器件及諸如此類。 圖案化塗層材料形成至下伏基板之開口,如(例如)圖3及4中所述。如同習用抗蝕劑,圖案化塗層材料形成蝕刻遮罩,其可用於轉移圖案以選擇性移除下伏薄膜。參照圖7,圖1中之下伏薄膜103經圖案化,分別在基板102上且在凝結區110、112、114下留下特徵152、154、156。與習用聚合物抗蝕劑相比,本文所述材料可提供明顯更大之蝕刻抗性。可用圖5及6中所示之遮罩圖案進行類似處理,且所遵循之圖案化結構之相應改變直接來自替代遮罩圖案。 或者或另外,根據遮罩圖案之又一材料之沈積可改變下伏結構之性質及/或與下伏結構接觸。又一塗層材料可基於材料之期望性質加以選擇。另外,可經由遮罩中之開口向下伏結構中選擇性植入離子,此時圖案化無機塗層材料之密度可提供高植入體抗性。在一些實施例中,又一沈積材料可為介電材料、半導體、導體或其他適宜材料。又一沈積材料可使用適宜方法(例如以溶液為主之方法、化學氣相沈積(CVD)、濺鍍、物理氣相沈積(PVD)或其他適宜方法)沈積。 一般而言,可沈積複數個額外層。結合複數個層之沈積,可實施額外圖案化。任何額外圖案化(若實施)皆可利用額外量之本文所述塗層材料、利用以聚合物為主之抗蝕劑、利用其他圖案化方法或其組合實施。 如上文所述,可移除或可不移除圖案化後之塗層(抗蝕劑)材料之層。若未移除該層,則向結構中納入圖案化塗層(抗蝕劑)材料。對於向結構中納入圖案化塗層(抗蝕劑)材料之實施例,塗層(抗蝕劑)材料之性質可經選擇以提供期望圖案化性質以及結構內之材料之性質。 若期望移除圖案化塗層材料,則塗層材料起習用抗蝕劑之作用。圖案化塗層材料用於圖案化在移除抗蝕劑/塗層材料之前隨後沈積之材料及/或穿過凝結塗層材料中之空間選擇性蝕刻基板。可使用適宜蝕刻製程移除凝結塗層材料。具體而言,為移除凝結塗層材料,可利用(例如) BCl3 電漿、Cl2 電漿、HBr電漿、Ar電漿或具有其他適當製程氣體之電漿實施乾式蝕刻。或者或另外,可使用利用(例如)水性酸或鹼(例如HF (水性)或緩衝HF (水性)/NH4 F或草酸)之濕式蝕刻以移除圖案化塗層材料。參照圖8,顯示在移除塗層材料後圖8之結構。經蝕刻結構150包含基板102及特徵152、154、156。 對於實施使用熱冷凍製程之多重圖案化,以金屬氧/羥為主之塗層材料尤其便利,如通常關於P. Zimmerman, J. Photopolym. Sci. Technol., 第22卷,第5期, 2009, 第625頁中之習用抗蝕劑所述。具有「熱冷凍」之雙重圖案化製程概述於圖9中。在第一步驟中,使用微影製程使塗層材料在基板162上形成圖案160且如關於圖3及4所述顯影。實施加熱步驟164以移除溶劑並凝結塗層材料,其可涉及或可不涉及全氧化物形成。此加熱步驟等效於上述顯影部分中所述之顯影後加熱步驟。此「熱冷凍」製程使得塗層材料不可溶於塗層材料之第二層之後續沈積。實施第二微影及顯影步驟166以在基板162上形成雙重圖案化結構168。在蝕刻步驟170後,形成產物雙重圖案化結構172。應注意,簡單直接地將此製程延伸至多重塗佈及圖案化步驟,且本發明揭示內容涵蓋該等延伸且其屬本發明揭示內容。關於多重圖案化,本文所述無機塗層材料與習用有機抗蝕劑之間之明顯差異在於即使在熱烘烤後,有機抗蝕劑仍可溶於習用抗蝕劑塗佈溶劑中。本文所述抗蝕劑材料可利用熱烘烤凝結,使得其不可溶於有機溶劑中可施加後續塗料層。 實例 實例1 -前體溶液之製備 此實例闡述用於形成輻射抗蝕劑塗層之以Tin為主之有機金屬組合物之沈積的前體溶液之製備。 藉由向10 mL 4-甲基-2-戊醇中添加0.209 g單丁基氧化錫水合物(BuSnOOH)粉劑(TCI America)製備抗蝕劑前體溶液。將溶液放置於密封小瓶中並使其攪拌24 h。將所得混合物以4000 rpm離心15分鐘,且經由0.45 μm PTFE注射器過濾器過濾以移除不可溶物質。於600℃下此試樣之溶劑蒸發及煅燒揭示0.093 M之錫濃度(基於SnO2 殘餘物質)。利用Möbius裝置(Wyatt Technology)之前體溶液之動態光散射(DLS)分析(圖10A及10B)與平均直徑為約2 nm之粒子之單峰分佈一致,與十二聚體丁基錫氫氧化物氧化物多原子陽離子之報導直徑(Eychenne-Baron等人,Organometallics, 19, 1940-1949 (2000))一致。因此,結果與非水溶液內之簇形成一致。 實例2 -抗蝕劑塗層、膜處理、負型成像 此實例證實基於負型成像(基於電子束暴露或極紫外暴露)之抗蝕劑圖案的形成。 使用具有天然氧化物表面之矽晶圓(25×25 mm2 )作為薄膜沈積之基板。在沈積之前,將Si基板在超紫外臭氧清潔系統中處理10分子週期。隨後將實例1之抗蝕劑前體溶液在基板上以4500 rpm旋塗30 s並於100℃下在熱板上烘烤2 min以移除殘餘溶劑。塗佈及烘烤後之膜厚度經由橢偏測量術量測為約22 nm。 將經抗蝕劑膜塗佈之第一基板暴露於經光柵掃描以形成圖案之1100 μC/cm2 劑量之30 kV電子束。隨後使圖案化抗蝕劑及基板經受150℃下暴露後烘烤(PEB) 2 min。隨後將經暴露之膜於極性有機溶劑中浸沒30秒並用DI H2 O沖洗以形成移除塗層之未暴露部分負型影像。在顯影後於200℃下最終5-min熱板烘烤。圖11A-11D展現於4-甲基-2-戊醇(A)、乳酸乙酯(B)、丙二醇單甲基醚(PGMEA) (C)及乙酸正丁酯(D)中顯影之抗蝕劑膜中以36-nm節距之18-nm線的SEM影像。 利用相同前體溶液製備另一基板且在暴露於極紫外輻射之前使用塗佈/烘烤製程,此類似地適於高解析度圖案化。使用於13.5 nm波長及101 mJ/cm2 之成像劑量下操作之具有0.3之數值孔徑的投射分別在抗蝕劑上暴露以44-nm及36-nm節距之22-nm及18-nm線之圖案。2-min後,藉由浸沒於PGMEA中使165℃熱板PEB膜顯影,用DI H2 O沖洗,並於200℃下烘烤5 min之最終時間。充分拆分之線-空間圖案之負性影像示於圖12A及12B中。 利用Fourier轉變紅外(FTIR)光譜明確闡釋在輻射暴露後生成之化學對比度,其誘導以顯影速率對比度及抗蝕劑成像性能揭示之極性變化。隨具有30 kV電子束之暴露劑量變化收集在未經摻雜矽晶圓上自四氫呋喃(THF)溶劑旋塗之丁基錫氫氧化物氧化物抗蝕劑膜的透射模式FTIR譜。2800-2900 cm-1 之對應於烷基C-H伸縮模式之若干吸收峰之分析指示隨劑量變化之烷基配體之濃度的一致減少(圖13)。 實例3 -正型成像 此實例證實使用實例1之抗蝕劑溶液之正型影像的形成。 將另一基板用自實例1之相同前體溶液沈積之抗蝕劑膜塗佈且於150℃下在熱板上烘烤2 min。用30 kV下之電子束以511 μC/cm2 之劑量暴露具有抗蝕劑塗層之經烘烤晶圓,之後2-min 150℃暴露後烘烤。藉由使暴露之抗蝕劑膜在水性鹼(例如2.38%四甲基氫氧化銨(TMAH))中顯影達成正型成像。於2.38% TMAH中浸沒會蝕刻暴露之抗蝕劑,從而使圖14中之SEM影像所示之30-nm (60 nm節距)線顯影。 實例4 -抗蝕劑穩定性 此實例藉由抗蝕劑前體溶液及老化後之經塗佈膜之一致成像性能證實抗蝕劑前體穩定性。 經由旋塗將如實例1中先前所述製備之抗蝕劑前體溶液施加至一對晶圓基板,將其於100℃下在熱板上烘烤2 min。將一部分前體溶液保留於密封小瓶中並在黑暗中於不受控室溫(20℃至30℃)下在大氣環境下與經塗佈基板之一(第一基板)一起儲存。塗佈後立刻將具有抗蝕劑膜之第二基板利用30-kV電子束連續圖案化,於150℃下烘烤2 min,在PGMEA中顯影30 s,沖洗並於200℃下硬烘烤2 min。所得圖案化第二基板之SEM影像示於圖15A中。39天後,在具有抗蝕劑膜之儲存之第一基板上重複此暴露及顯影製程。圖案化第一基板之SEM影像示於圖15B中。同樣,在儲存39天後同一天使用初始前體溶液之保留部分以用抗蝕劑膜塗佈第三晶圓基板,其與前兩個基板相同地經立刻處理、暴露並顯影。圖案化第三基板之SEM影像示於圖15C中。發現以相同36 nm節距及18 nm線寬度之三種抗蝕劑膜之成像性能在功能上相同,在經39天時段老化經塗佈抗蝕劑膜或前體溶液後,影像保真度、LWR或敏感性無可觀察到之降格。 實例5 -基於有機配體選擇之輻射敏感性調節 此實例證實藉由選擇適當有機配體觀察抗輻射敏感性中之調節。 將二乙烯基錫二氯化物(Alpha Aesar)溶解於PGMEA中至0.1 M之濃度。將15 mL之量之此溶液放置於分液漏斗中,向其中添加7.31 mL 0.4 M NaOH (水性)。在NaOH添加後立刻將容器徹底振盪約1分鐘,且使所得乳液分離約24 h。在此時段期間,觀察到兩種介質之間之相界面處形成之光絮凝物消散,且獲得兩個澄清相。自漏斗移出下方水性相且將上方PGMEA相經4A分子篩(Mallinkrodt,514級)振盪約5分鐘以移除殘餘水。經篩選組合物之等份試樣之溶劑蒸發及煅燒揭示0.1 M之錫濃度(基於殘餘質量SnO2 )。 將分離及篩選後PGMEA中之前述二乙烯基錫氫氧化物溶液以1250 rpm直接旋轉澆注於矽晶圓上並經受100℃熱板烘烤2 min。烘烤後之橢圓量測指示抗蝕劑膜厚度為約16 nm。將抗蝕劑膜利用30 kV電子束以75 μC/cm2 之劑量暴露,之後於100℃下第二次熱板烘烤並,在PGMEA中顯影。以100 nm節距之負型線/空間圖案之SEM影像展示於圖16中。應注意,用於生成圖16之電子束劑量明顯小於實例2及3中所用。 在未經摻雜矽晶圓上自乙酸乙酯溶劑沈積之類似抗蝕劑膜上收集隨劑量變化之FTIR譜。隨電子束劑量變化之相對IR吸收繪製於圖17中。基於與以約3055 1/cm為中心之乙烯基C-H伸縮一致之吸收峰面積分析,面積減小指示在暴露時作為錫配體之乙烯基損失,其較圖13中所示之丁基錫氫氧化物氧化物情形中之烷基配體之損失顯著更快速且與劑量之關係更複雜。 實例6 -有機錫氧 - 羧酸酯抗蝕劑 此實例證實利用用於錫離子之烷基及羧酸酯配體形成之抗輻射的效率。 藉由將二乙酸二丁基錫(Alfa-Aesar)溶解於正丙醇中至0.025 M之最終濃度製備抗蝕劑前體溶液。隨後經由0.45 μm PTFE注射器過濾器過濾溶液,且在以3000 rpm旋轉之矽晶圓基板上旋轉澆注30 s。隨後將經塗佈膜於60℃下烘烤2 min以移除殘餘溶劑。在澆注及烘烤期間,藉由大氣水部分水解二乙酸二丁基錫,從而自揮發性分子液體羧酸酯轉化成固體有機錫氧羧酸酯。橢圓量測指示抗蝕劑膜厚度為約22 nm。 隨後使膜暴露於經光柵掃描以形成圖案之1500 μC/cm2 劑量之30 kV電子束,之後暴露於60℃熱板PEB,且於PGMEA中浸沒30s以藉由蝕刻未經暴露材料使圖案顯影。在SEM成像之前於100℃下最終硬烘烤5 min。圖18含有以32-nm節距之所得負型線/空間圖案的電子顯微照片。 可藉由使用如圖19中圖解說明之FTIR光譜學觀察到經由電子束輻射暴露後上述材料中生成化學對比度。在暴露於30 kV電子束(800 μC/cm2 )之前及之後收集沈積於未經摻雜之矽晶圓上且於50℃下烘烤2 min之有機錫氧-羧酸酯薄膜之透射光譜。關於簡單有機錫氧氫氧化物,觀察到歸因於烴C-H伸縮及彎曲模式之吸附峰強烈減少(2957、2924、2858、1391及1331 cm-1 ),此確認暴露時烷基配體損失。對於羧酸酯,亦容易明瞭且獨特之處係以1605 cm-1 為中心之吸光度之顯著降低,此歸因於在暴露時羧酸酯配體之分解及伴隨的羰基C=O吸收之降低。 上述實施例意欲進行闡釋而非限制。額外實施例屬申請專利範圍。另外,儘管本文已參照特定實施例對本發明進行闡述,但彼等熟習此項技術者將認識到,可在形式及細節上作出改動,此並不背離本發明之精神及範疇。提及上述文獻之任何納入皆受限以便不會納入與本文明確揭示內容相反的標的物。
100:圖案化結構 102:基板 103:薄膜 104:圖案化塗層材料 110:凝結區 112:凝結區 114:凝結區 116:凝結區 118:未凝結區 120:未凝結區 122:未凝結區 130:圖案化結構 132:開口 134:開口 135:開口 140:圖案化結構 142:開口 144:開口 146:開口 148:開口 150:經蝕刻結構 152:特徵 154:特徵 156:特徵 160:圖案 162:基板 164:加熱步驟 166:第二微影及顯影步驟 168:雙重圖案化結構 170:蝕刻步驟 172:雙重圖案化結構
圖1係具有潛在影像之輻射圖案化結構的示意性透視圖。 圖2係圖1之結構之側視平面圖。 圖3係在使潛在影像顯影以移除未經輻照之塗層材料以形成圖案化結構後,圖1之結構之示意性透視圖。 圖4係圖3之圖案化結構之側視圖。 圖5係在使潛在影像顯影以移除經輻照塗層材料以形成圖案化結構後,圖1之結構之示意性透視圖。 圖6係圖5之圖案化結構之側視圖。 圖7係在蝕刻底層後圖3及4之圖案化結構之側視平面圖。 圖8係在蝕刻以移除圖案化之凝結塗層材料後,圖7之結構之側視平面圖。 圖9係「熱冷凍」雙重圖案化製程流程之側視平面圖。在使得第一層不溶於第二層之烘烤後,重複圖1-3中所示之製程。 圖10A係具有單丁基氧化錫水合物之前體溶液之自相關性散射強度衰減對時間的曲線。 圖10B係包含單丁基氧化錫水合物於4-甲基-2-戊醇中之前體溶液之計算之質量平均粒徑分佈的直方圖。 圖11A係負型圖案化塗層的掃描電子顯微照片,其在塗層材料中具有節距為36 nm之18 nm寬線,該塗層材料係利用單丁基氧化錫水合物在以1191 μC/cm2 劑量之30kV電子束輻照且在4-甲基-2-戊醇中顯影後形成。 圖11B係負型圖案化塗層的掃描電子顯微照片,其在塗層材料中具有節距為36 nm之18 nm寬線,該塗層材料係利用單丁基氧化錫水合物在以1191 μC/cm2 劑量之30kV電子束輻照且在乳酸乙酯中顯影後形成。 圖11C係負型圖案化塗層的掃描電子顯微照片,其在塗層材料中具有節距為36 nm之18 nm寬線,該塗層材料係利用單丁基氧化錫水合物在以1191 μC/cm2 劑量之30kV電子束輻照且在丙二醇單甲基醚(PGMEA)中顯影後形成。 圖11D係負型圖案化塗層的掃描電子顯微照片,其在塗層材料中具有節距為36 nm之18 nm寬線,該塗層材料係利用單丁基氧化錫水合物在以1191 μC/cm2 劑量之30kV電子束輻照且在乙酸正丁基酯中顯影後形成。 圖12A係負型圖案化塗層的掃描電子顯微照片,其在塗層材料中具有節距為44 nm之22 nm寬線,該塗層材料係利用單丁基氧化錫水合物在以101 mJ cm-2 劑量之13.5 nm輻射通過EUV投射微影術輻照且利用PGMEA顯影後形成。 圖12B係負型圖案化塗層的掃描電子顯微照片,其在塗層材料中具有節距為36 nm之18 nm寬線,該塗層材料係利用單丁基氧化錫水合物在以101 mJ cm-2 劑量之13.5 nm輻射通過EUV投射微影術輻照且利用PGMEA顯影後形成。 圖13係自利用單丁基氧化錫水合物形成之塗層材料之C-H伸縮模式吸光度之FTIR透射量測計算的相對烴濃度對電子束劑量的曲線。 圖14係正型圖案化塗層的掃描電子顯微照片,其在塗層材料中具有30-nm寬線及60 nm節距,該塗層材料係利用單丁基氧化錫水合物在以511 μC/cm2 劑量之30 kV電子束輻照且利用2.38% TMAH顯影後形成。 圖15A係負型圖案化塗層之掃描電子顯微照片,其在塗層材料中具有節距為36 nm之18 nm寬線及2.78 nm之線-寬度粗糙度(LWR),該塗層材料係利用單丁基氧化錫水合物在以1191 μC/cm2 劑量之30 kV電子束輻照自新鮮製備之前體溶液沈積之膜且立刻在PGMEA中暴露並顯影後形成。 圖15B係負型圖案化塗層之掃描電子顯微照片,其在塗層材料中具有節距為36 nm之18 nm寬線及2.87 nm之LWR,該塗層材料係利用單丁基氧化錫水合物在以1191 μC/cm2 劑量之30 kV電子束輻照自前體溶液沈積之膜、於室溫下老化39天且隨後立刻在PGMEA中暴露並顯影後形成。 圖15C係負型圖案化塗層之掃描電子顯微照片,其在塗層材料中具有節距為36 nm之18 nm寬線及2.68 nm之LWR,該塗層材料係利用單丁基氧化錫水合物在以1191 μC/cm2 劑量之30 kV電子束輻照自新鮮製備之前體溶液沈積之抗蝕劑膜且作為經塗佈膜於室溫下老化39天且隨後在PGMEA中暴露並顯影後形成。 圖16係掃描電子顯微照片,其在塗層中具有節距為100 nm之線,該塗層係利用二乙烯基錫氫氧化物/氧化物塗層材料在以75 μC/cm2 劑量之30 kV電子束且在PGMEA中顯影後形成。 圖17係如自塗層之FTIR透射光譜計算之相對乙烯基(C-H伸縮)吸光度對劑量的曲線,該塗層係利用二乙烯基錫氫氧化物/氧化物塗層材料且利用30 kV電子束暴露形成。 圖18係負型圖案化塗層的掃描電子顯微照片,其在該塗層中具有為節距32 nm之線,該塗層係利用二丁基錫氧-甲酸酯塗層材料在以1500 μC/cm2 劑量之30 kV電子束輻照且在PGMEA中顯影後形成之。 圖19係未暴露狀態中及在用30 kV電子束以800 μC/cm2 之劑量暴露後二丁基錫氧-甲酸酯膜之比較透射型FTIR透射光譜。

Claims (22)

  1. 一種前體溶液,其包含有機液體及具有支鏈烷基配體之金屬多核氧/羥陽離子,該支鏈烷基配體之金屬碳鍵之金屬濃度為約0.01M至約1.4M,其中該金屬多核氧/羥陽離子具有一或多個M-O-H鍵、M-O-M鍵或其組合,其中M代表金屬原子,且M係錫、銦、銻或其組合。
  2. 如請求項1之前體溶液,其中該有機液體包括醇、酯、芳香族化合物或酮。
  3. 如請求項1之前體溶液,其中該有機液體包括醇及/或芳香族溶劑之混合物。
  4. 如請求項3之前體溶液,其中該芳香族溶劑為二甲苯或甲苯。
  5. 如請求項1或2之前體溶液,其中該支鏈烷基配體包括3至16個碳原子。
  6. 如請求項1或2之前體溶液,其中該支鏈烷基配體包括第三丁基配體。
  7. 如請求項1或2之前體溶液,其中M係錫。
  8. 如請求項1或2之前體溶液,其中該金屬碳鍵係在暴露於輻射時可裂解之Sn-C鍵。
  9. 如請求項1或2之前體溶液,其中該金屬碳鍵係在暴露於3mJ/cm2至100mJ/cm2之劑量之EUV輻射時可裂解之Sn-C鍵。
  10. 如請求項1或2之前體溶液,其中該金屬多核氧/羥陽離子具有M-O-H及M-O-M鍵二者。
  11. 如請求項1或2之前體溶液,其中該金屬多核氧/羥陽離子包含可水解配體。
  12. 如請求項1或2之前體溶液,其中該金屬多核氧/羥陽離子形成具有複數個錫原子之簇。
  13. 如請求項1或2之前體溶液,其中該金屬多核氧/羥陽離子形成可藉由動態光散射偵測之簇。
  14. 如請求項1或2之前體溶液,其中該前體溶液對於膠凝或沈澱穩定至少1週。
  15. 一種經圖案化之基板,其包括具有表面之基板,在沿著該表面之選定區域處且在沿著該表面之其他區域處不存在之第一塗層,及沿不存在該 第一塗層之區之該表面之第二塗層,該第一塗層包括具有金屬陽離子之金屬氧-羥網絡,該金屬陽離子具有支鏈烷基配體,該支鏈烷基配體具有金屬-碳鍵及/或金屬-羧酸酯鍵,其中該第一塗層可溶於至少一種有機液體中,並且其中該第二塗層可溶於水性鹼。
  16. 如請求項15之經圖案化之基板,其中該支鏈烷基配體包含3至16個碳原子。
  17. 如請求項15之經圖案化之基板,其中該支鏈烷基配體包括第三丁基配體。
  18. 如請求項15或16之經圖案化之基板,其中該第一塗層具有不超過約10微米之平均厚度,以及在沿該塗層之任一處自平均值不超過約50%之厚度變化。
  19. 如請求項15或16之經圖案化之基板,其中該支鏈烷基配體藉由金屬-碳鍵與該金屬結合。
  20. 如請求項15或16之經圖案化之基板,其中結合至具有金屬-碳鍵之該金屬陽離子之該支鏈烷基配體與該金屬陽離子之間之莫耳比為約0.5至約3,且其中該氧-羥網絡包含M-O-H鍵、M-O-M鍵或其之組合,其中M代表金屬。
  21. 如請求項15或16之經圖案化之基板,其中結合至具有金屬-碳鍵之該金屬陽離子之該支鏈烷基配體與該金屬陽離子之間之莫耳比為約1,且其中該氧-羥網絡包含M-O-H鍵、M-O-M鍵或其之組合,其中M代表金屬。
  22. 如請求項15或16之經圖案化之基板,其中該金屬包括錫。
TW110145505A 2013-08-22 2014-08-06 以有機金屬溶液為主之高解析度圖案化組合物 TWI781842B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/973,098 2013-08-22
US13/973,098 US9310684B2 (en) 2013-08-22 2013-08-22 Organometallic solution based high resolution patterning compositions

Publications (2)

Publication Number Publication Date
TW202210961A TW202210961A (zh) 2022-03-16
TWI781842B true TWI781842B (zh) 2022-10-21

Family

ID=52480669

Family Applications (6)

Application Number Title Priority Date Filing Date
TW110145506A TWI781843B (zh) 2013-08-22 2014-08-06 以有機金屬溶液為主之高解析度圖案化組合物
TW110145505A TWI781842B (zh) 2013-08-22 2014-08-06 以有機金屬溶液為主之高解析度圖案化組合物
TW108116014A TWI728353B (zh) 2013-08-22 2014-08-06 以有機金屬溶液為主之高解析度圖案化組合物
TW103126978A TWI662361B (zh) 2013-08-22 2014-08-06 以有機金屬溶液為主之高解析度圖案化組合物
TW111139709A TW202309058A (zh) 2013-08-22 2014-08-06 經塗佈基板
TW110114728A TWI768844B (zh) 2013-08-22 2014-08-06 以有機金屬溶液為主之高解析度圖案化組合物

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW110145506A TWI781843B (zh) 2013-08-22 2014-08-06 以有機金屬溶液為主之高解析度圖案化組合物

Family Applications After (4)

Application Number Title Priority Date Filing Date
TW108116014A TWI728353B (zh) 2013-08-22 2014-08-06 以有機金屬溶液為主之高解析度圖案化組合物
TW103126978A TWI662361B (zh) 2013-08-22 2014-08-06 以有機金屬溶液為主之高解析度圖案化組合物
TW111139709A TW202309058A (zh) 2013-08-22 2014-08-06 經塗佈基板
TW110114728A TWI768844B (zh) 2013-08-22 2014-08-06 以有機金屬溶液為主之高解析度圖案化組合物

Country Status (6)

Country Link
US (8) US9310684B2 (zh)
JP (5) JP6484631B2 (zh)
KR (7) KR102029641B1 (zh)
CN (2) CN105579906B (zh)
TW (6) TWI781843B (zh)
WO (1) WO2015026482A2 (zh)

Families Citing this family (303)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
GB201413924D0 (en) * 2014-08-06 2014-09-17 Univ Manchester Electron beam resist composition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102319630B1 (ko) 2014-10-23 2021-10-29 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
GB201517273D0 (en) * 2015-09-30 2015-11-11 Univ Manchester Resist composition
KR102204773B1 (ko) * 2015-10-13 2021-01-18 인프리아 코포레이션 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6742748B2 (ja) * 2016-02-17 2020-08-19 株式会社Screenホールディングス 現像ユニット、基板処理装置、現像方法および基板処理方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10649328B2 (en) * 2016-03-11 2020-05-12 Inpria Corporation Pre-patterned lithography templates, processes based on radiation patterning using the templates and processes to form the templates
EP3435159A4 (en) * 2016-03-24 2019-04-10 Fujifilm Corporation ACTINIC OR RADIATION SENSITIVE COMPOSITION, PURIFICATION METHOD AND METHOD OF MANUFACTURING THE SAME, PATTERN FORMATION METHOD, AND ELECTRONIC DEVICE MANUFACTURING METHOD
KR20180104745A (ko) * 2016-03-24 2018-09-21 후지필름 가부시키가이샤 감활성광선성 또는 감방사선성 조성물, 감활성광선성 또는 감방사선성 조성물의 제조 방법, 패턴 형성 방법, 및 전자 디바이스의 제조 방법
JP6796635B2 (ja) * 2016-03-24 2020-12-09 富士フイルム株式会社 感活性光線性又は感放射線性組成物、感活性光線性又は感放射線性組成物の精製方法、パターン形成方法、及び電子デバイスの製造方法
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
JP6969889B2 (ja) * 2016-05-13 2021-11-24 住友化学株式会社 レジスト組成物及びレジストパターンの製造方法
WO2017198418A1 (en) * 2016-05-19 2017-11-23 Asml Netherlands B.V. Resist compositions
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
WO2018004646A1 (en) * 2016-07-01 2018-01-04 Intel Corporation Metal oxide resist materials
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
TWI759147B (zh) * 2016-08-12 2022-03-21 美商因普利亞公司 減少邊緣珠區域中來自含金屬光阻劑之金屬殘留物的方法
WO2018063402A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Metal oxide nanoparticles as fillable hardmask materials
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
JP6713910B2 (ja) * 2016-11-11 2020-06-24 株式会社Screenホールディングス 現像装置、基板処理装置、現像方法および基板処理方法
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6994828B2 (ja) * 2016-11-22 2022-02-04 東京エレクトロン株式会社 パターン形成方法
JP6781031B2 (ja) * 2016-12-08 2020-11-04 東京エレクトロン株式会社 基板処理方法及び熱処理装置
JP6955073B2 (ja) * 2016-12-08 2021-10-27 東京エレクトロン株式会社 熱処理方法及び熱処理装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
EP3564752A4 (en) * 2016-12-28 2020-08-26 JSR Corporation RADIATION-SENSITIVE COMPOSITION, STRUCTURE FORMATION PROCESS AND METAL OXIDE
EP3564751A4 (en) 2016-12-28 2020-10-14 JSR Corporation RADIATION SENSITIVE COMPOSITION, PATTERN FORMING PROCESS, METAL-CONTAINING RESIN AND ASSOCIATED MANUFACTURING PROCESS
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
KR20190103229A (ko) * 2017-01-26 2019-09-04 제이에스알 가부시끼가이샤 감방사선성 조성물 및 패턴 형성 방법
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
EP3367428A1 (en) 2017-02-23 2018-08-29 IMEC vzw Method for blocking a trench portion during patterning of trenches in a dielectric material, and corresponding semiconductor structure
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10741410B2 (en) * 2017-04-28 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
WO2019093145A1 (ja) * 2017-11-08 2019-05-16 Jsr株式会社 レジスト膜形成用組成物及びレジストパターン形成方法
US11098070B2 (en) 2017-11-20 2021-08-24 Inpria Corporation Organotin clusters, solutions of organotin clusters, and application to high resolution patterning
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102226068B1 (ko) * 2017-12-19 2021-03-09 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
CN108344698B (zh) * 2018-02-24 2020-08-25 哈尔滨工业大学 基于电磁第一性原理反演粗糙表面光学常数的椭偏方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TW202348612A (zh) 2018-04-05 2023-12-16 美商英培雅股份有限公司 包含錫化合物的組合物及其應用
US11673903B2 (en) 2018-04-11 2023-06-13 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US10787466B2 (en) 2018-04-11 2020-09-29 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US10381481B1 (en) 2018-04-27 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer photoresist
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
WO2019217749A1 (en) * 2018-05-11 2019-11-14 Lam Research Corporation Methods for making euv patternable hard masks
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11972948B2 (en) 2018-06-13 2024-04-30 Brewer Science, Inc. Adhesion layers for EUV lithography
TW202404985A (zh) 2018-06-21 2024-02-01 美商英培雅股份有限公司 包含溶劑與單烷基錫三烷氧化物之混合物的溶液
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10838304B2 (en) * 2018-08-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Priming material for organometallic resist
KR102287507B1 (ko) * 2018-08-16 2021-08-09 삼성에스디아이 주식회사 하드마스크 조성물 및 패턴 형성 방법
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
TW202016279A (zh) * 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
JP6950662B2 (ja) 2018-10-30 2021-10-13 信越化学工業株式会社 基板保護膜形成用材料及びパターン形成方法
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR20210095218A (ko) * 2018-12-20 2021-07-30 램 리써치 코포레이션 레지스트들의 건식 현상 (dry development)
KR102296818B1 (ko) * 2018-12-26 2021-08-31 삼성에스디아이 주식회사 반도체 레지스트용 조성물, 및 이를 이용한 패턴 형성 방법
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11498934B2 (en) 2019-01-30 2022-11-15 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with particulate contamination and corresponding methods
US11966158B2 (en) 2019-01-30 2024-04-23 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with low metal contamination and/or particulate contamination, and corresponding methods
KR102385745B1 (ko) * 2019-02-01 2022-04-11 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7208813B2 (ja) * 2019-02-08 2023-01-19 東京エレクトロン株式会社 基板処理装置及び基板処理方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11327398B2 (en) 2019-04-30 2022-05-10 Samsung Electronics Co., Ltd. Photoresist compositions and methods for fabricating semiconductor devices using the same
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US20210011383A1 (en) * 2019-07-12 2021-01-14 Inpria Corporation Stabilized interfaces of inorganic radiation patterning compositions on substrates
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
EP4004649A4 (en) 2019-07-22 2023-03-29 Inpria Corporation ORGANOMETALLIC METAL CHALCOGENIDE AGGREGATES AND APPLICATION TO LITHOGRAPHY
JP7359680B2 (ja) 2019-07-22 2023-10-11 東京エレクトロン株式会社 熱処理装置及び処理方法
CN112289701A (zh) 2019-07-22 2021-01-29 东京毅力科创株式会社 热处理装置和热处理方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
JP7149241B2 (ja) 2019-08-26 2022-10-06 信越化学工業株式会社 レジスト材料及びパターン形成方法
US11681221B2 (en) 2019-08-28 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photoresist with low-activation-energy ligands or high-developer-solubility ligands
DE102019133965A1 (de) * 2019-08-28 2021-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Euv-fotoresist mit liganden mit niedriger aktivierungsenergie oder liganden mit hoher entwicklerlöslichkeit
JP7264771B2 (ja) 2019-08-30 2023-04-25 信越化学工業株式会社 レジスト材料及びパターン形成方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20220076498A (ko) * 2019-10-08 2022-06-08 램 리써치 코포레이션 Cvd euv 레지스트 막들의 포지티브 톤 현상 (positive tone development)
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR102431292B1 (ko) * 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
WO2021178302A1 (en) * 2020-03-02 2021-09-10 Inpria Corporation Process environment for inorganic resist patterning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202147454A (zh) 2020-03-24 2021-12-16 日商東京威力科創股份有限公司 熱處理裝置及熱處理方法
US11822237B2 (en) 2020-03-30 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US11705332B2 (en) 2020-03-30 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US20230152701A1 (en) * 2020-03-30 2023-05-18 Lam Research Corporation Structure and method to achieve positive tone dry develop by a hermetic overlayer
US11784046B2 (en) 2020-03-30 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
US11886116B2 (en) 2020-05-06 2024-01-30 Inpria Corporation Multiple patterning with organometallic photopatternable layers with intermediate freeze steps
US11776811B2 (en) 2020-05-12 2023-10-03 Applied Materials, Inc. Selective deposition of carbon on photoresist layer for lithography applications
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11942322B2 (en) * 2020-05-22 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices and pattern formation method
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20220002869A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Vapor phase photoresists deposition
US20220004105A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Dry develop process of photoresist
US20220005687A1 (en) * 2020-07-02 2022-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method
WO2022006501A1 (en) 2020-07-03 2022-01-06 Entegris, Inc. Process for preparing organotin compounds
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
JP7158549B2 (ja) * 2020-10-15 2022-10-21 東京エレクトロン株式会社 基板処理方法、基板処理システム及びコンピュータ読み取り可能な記憶媒体
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US20220163889A1 (en) * 2020-11-20 2022-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Metallic photoresist patterning and defect improvement
JP2022086528A (ja) * 2020-11-30 2022-06-09 東京応化工業株式会社 レジスト組成物及びレジストパターン形成方法
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US20220199406A1 (en) * 2020-12-17 2022-06-23 Applied Materials, Inc. Vapor deposition of carbon-doped metal oxides for use as photoresists
KR20220088011A (ko) * 2020-12-18 2022-06-27 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물, 이의 제조 방법 및 이를 이용한 패턴 형성 방법
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220197146A1 (en) * 2020-12-22 2022-06-23 Applied Materials, Inc. Photoresists by physical vapor deposition
US11685752B2 (en) * 2021-01-28 2023-06-27 Entegris, Inc. Process for preparing organotin compounds
US20220262625A1 (en) * 2021-02-18 2022-08-18 Applied Materials, Inc. Chemical vapor condensation deposition of photoresist films
US20220269169A1 (en) 2021-02-19 2022-08-25 Inpria Corporation Organometallic radiation patternable coatings with low defectivity and corresponding methods
US20220308453A1 (en) * 2021-03-24 2022-09-29 Applied Materials, Inc. Oxidation treatment for positive tone photoresist films
JPWO2022209950A1 (zh) * 2021-03-31 2022-10-06
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
KR20240012409A (ko) * 2021-05-25 2024-01-29 도쿄엘렉트론가부시키가이샤 극자외선 패터닝을 위한 유기금속 막
EP4095604A1 (en) * 2021-05-28 2022-11-30 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Hybrid photoresist composition for extreme ultraviolet photolithography applications
KR20240050299A (ko) 2021-09-06 2024-04-18 도쿄엘렉트론가부시키가이샤 열처리 장치, 열처리 방법 및 기억 매체
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230154750A1 (en) * 2021-11-12 2023-05-18 Taiwan Semiconductor Manufacturing Co.,Ltd. Photoresist and Method
WO2023114730A1 (en) * 2021-12-16 2023-06-22 Lam Research Corporation Aqueous acid development or treatment of organometallic photoresist
JP2023094359A (ja) 2021-12-23 2023-07-05 信越化学工業株式会社 密着膜形成材料、パターン形成方法、及び密着膜の形成方法
WO2023225046A1 (en) * 2022-05-18 2023-11-23 Inpria Corporation Radiation sensitive organotin compositions having oxygen heteroatoms in hydrocarbyl ligand
KR20240018108A (ko) 2022-08-02 2024-02-13 석찬휘 전동 스쿠터 단속기
JP2024027460A (ja) 2022-08-17 2024-03-01 信越化学工業株式会社 パターン形成方法
JP2024027459A (ja) 2022-08-17 2024-03-01 信越化学工業株式会社 密着膜形成用組成物、パターン形成方法、及び密着膜の形成方法
IL305619A (en) 2022-09-14 2024-04-01 Shinetsu Chemical Co Compound for forming a metal-containing layer, composition for forming a metal-containing layer, printing method, and semiconductor masking sensitizer
WO2024085016A1 (ja) * 2022-10-20 2024-04-25 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW513745B (en) * 2000-06-06 2002-12-11 Ekc Technology Inc Method of fabricating a hard mask
JP2003218118A (ja) * 2002-01-03 2003-07-31 Samsung Electronics Co Ltd 金属または金属酸化物微細パターンの製造方法
TW200831695A (en) * 2006-11-01 2008-08-01 Asm Inc Vapor deposition of metal carbide films
JP2009533521A (ja) * 2006-04-13 2009-09-17 エルジー・ケム・リミテッド 電磁波遮蔽層の製造時に無電解メッキに対する触媒前駆体樹脂組成物、これを用いた金属パターンの形成方法及びこれにより製造された金属パターン
WO2009139421A1 (ja) * 2008-05-14 2009-11-19 東京応化工業株式会社 ポジ型感光性組成物
TW201222144A (en) * 2010-06-01 2012-06-01 Inpria Corp Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US20120223418A1 (en) * 2011-02-28 2012-09-06 Stowers Jason K Solution processible hardmasks for high resolution lithography

Family Cites Families (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3385915A (en) 1966-09-02 1968-05-28 Union Carbide Corp Process for producing metal oxide fibers, textiles and shapes
US4014858A (en) 1975-05-12 1977-03-29 Standard Oil Company Polybutylene terephthalate
US4174346A (en) 1976-01-30 1979-11-13 Albright & Wilson Limited Process for preparing organotin compounds
JPS5331761A (en) 1976-09-07 1978-03-25 Toray Silicone Co Ltd Thermosetting silicone resin composition
US4104292A (en) 1976-11-02 1978-08-01 M&T Chemicals Inc. Method for preparing organotin compounds
US4102683A (en) 1977-02-10 1978-07-25 Rca Corp. Nonreflecting photoresist process
JPS6019610B2 (ja) * 1979-12-14 1985-05-17 株式会社日立製作所 透明導電膜形成法
US4380559A (en) 1980-09-25 1983-04-19 Murata Manufacturing Co., Ltd. Method for producing boundary layer semiconductor ceramic capacitors
JPS57123126A (en) 1981-01-23 1982-07-31 Adeka Argus Chem Co Ltd Stabilized allyl chloride composition
US4370405A (en) 1981-03-30 1983-01-25 Hewlett-Packard Company Multilayer photoresist process utilizing an absorbant dye
DE3366408D1 (en) * 1982-05-19 1986-10-30 Ciba Geigy Ag Photopolymerisation with organometal salts
US4910122A (en) 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4639208A (en) 1984-04-03 1987-01-27 Matsushita Electric Industrial Co., Ltd. Pulse combustion apparatus with a plurality of pulse burners
US4601917A (en) 1985-02-26 1986-07-22 M&T Chemicals Inc. Liquid coating composition for producing high quality, high performance fluorine-doped tin oxide coatings
US5025094A (en) 1985-07-10 1991-06-18 Union Carbide Chemicals And Plastics Technology Corporation Heterogeneous alkoxylation using anion-bound metal oxides
US4732841A (en) 1986-03-24 1988-03-22 Fairchild Semiconductor Corporation Tri-level resist process for fine resolution photolithography
US4827137A (en) 1986-04-28 1989-05-02 Applied Electron Corporation Soft vacuum electron beam patterning apparatus and process
DE3738634C2 (de) 1986-11-13 1996-11-14 Sunstar Engineering Inc Epoxyharzmasse mit darin dispergierten Siliconharzteilchen
JPH07733B2 (ja) 1986-11-13 1995-01-11 サンスタ−技研株式会社 エポキシ樹脂組成物
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH03148659A (ja) 1989-11-06 1991-06-25 Fujitsu Ltd 電離放射線感応性ネガ型レジスト材料組成物
JP2606652B2 (ja) * 1993-08-17 1997-05-07 日本電気株式会社 珪素含有高分子化合物及びそれを用いたレジスト材料
US5672243A (en) 1995-11-28 1997-09-30 Mosel Vitelic, Inc. Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide
JPH10237078A (ja) 1996-10-14 1998-09-08 Dainippon Printing Co Ltd 金属錯体溶液、感光性金属錯体溶液及び金属酸化物膜の形成方法
WO2002057812A2 (en) 2001-01-17 2002-07-25 Neophotonics Corporation Optical materials with selected index-of-refraction
US6183716B1 (en) 1997-07-30 2001-02-06 State Of Oregon Acting By And Through The State Board Of Higher Education Of Behalf Of Oregon State University Solution method for making molybdate and tungstate negative thermal expansion materials and compounds made by the method
US6060380A (en) 1998-11-06 2000-05-09 Advanced Micro Devices, Inc. Antireflective siliconoxynitride hardmask layer used during etching processes in integrated circuit fabrication
US6020269A (en) 1998-12-02 2000-02-01 Advanced Micro Devices, Inc. Ultra-thin resist and nitride/oxide hard mask for metal etch
US6287951B1 (en) 1998-12-07 2001-09-11 Motorola Inc. Process for forming a combination hardmask and antireflective layer
US6194323B1 (en) 1998-12-16 2001-02-27 Lucent Technologies Inc. Deep sub-micron metal etch with in-situ hard mask etch
US6268457B1 (en) 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6197896B1 (en) 1999-07-12 2001-03-06 International Business Machines Corporation Graft polymers and use thereof
EP1094506A3 (en) 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
JP2001194780A (ja) * 2000-01-11 2001-07-19 Nippon Sheet Glass Co Ltd パターン膜被覆物品の製造方法および感光性組成物
US6696363B2 (en) * 2000-06-06 2004-02-24 Ekc Technology, Inc. Method of and apparatus for substrate pre-treatment
US7074640B2 (en) * 2000-06-06 2006-07-11 Simon Fraser University Method of making barrier layers
US6420088B1 (en) 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
JP2002015631A (ja) 2000-06-29 2002-01-18 Sumitomo Osaka Cement Co Ltd 感光性透明導電膜形成用塗布液、パターン化された透明導電膜および該透明導電膜の製造方法
WO2002054416A1 (fr) 2000-12-28 2002-07-11 Nissan Chemical Industries, Ltd. Procede de modelage des contours d'une couche d'oxyde d'etain electro-conductrice
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
KR20030057133A (ko) 2001-12-28 2003-07-04 삼성전자주식회사 금속 패턴 형성용 유기금속 전구체 및 이를 이용한 금속패턴 형성방법
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6946677B2 (en) 2002-06-14 2005-09-20 Nokia Corporation Pre-patterned substrate for organic thin film transistor structures and circuits and related method for making same
JP2004051672A (ja) 2002-07-16 2004-02-19 Nippon Shokubai Co Ltd ポリイミド微粒子およびその用途
JP2004172272A (ja) * 2002-11-19 2004-06-17 Nikon Corp Euv露光装置及びeuv露光方法
KR100520961B1 (ko) 2003-05-30 2005-10-17 엘지전자 주식회사 인쇄회로기판의 제조방법
US6927108B2 (en) 2003-07-09 2005-08-09 Hewlett-Packard Development Company, L.P. Solution-processed thin film transistor formation method
DE10345455A1 (de) 2003-09-30 2005-05-04 Infineon Technologies Ag Verfahren zum Erzeugen einer Hartmaske und Hartmasken-Anordnung
US7071121B2 (en) 2003-10-28 2006-07-04 Hewlett-Packard Development Company, L.P. Patterned ceramic films and method for producing the same
US7001821B2 (en) 2003-11-10 2006-02-21 Texas Instruments Incorporated Method of forming and using a hardmask for forming ferroelectric capacitors in a semiconductor device
US7773365B2 (en) 2004-04-30 2010-08-10 Hewlett-Packard Development Company, L.P. Dielectric material
US20060088962A1 (en) 2004-10-22 2006-04-27 Herman Gregory S Method of forming a solution processed transistor having a multilayer dielectric
US8709705B2 (en) 2004-12-13 2014-04-29 Pryog, Llc Metal-containing compositions and method of making same
CN1800988B (zh) 2005-01-06 2010-04-07 新应材股份有限公司 光阻清洗剂
JP2006225476A (ja) * 2005-02-16 2006-08-31 Shin Etsu Chem Co Ltd ポジ型レジスト材料及びパターン形成方法
JP2006284947A (ja) 2005-03-31 2006-10-19 Fuji Photo Film Co Ltd 遮光膜用感光性樹脂組成物、遮光膜の作製方法、転写材料及びその製造方法
US7393560B2 (en) 2005-05-03 2008-07-01 Braggone Oy Organo-metal compounds
KR100643570B1 (ko) 2005-06-28 2006-11-10 주식회사 하이닉스반도체 반도체 소자 제조 방법
JP4699140B2 (ja) 2005-08-29 2011-06-08 東京応化工業株式会社 パターン形成方法
US8969865B2 (en) 2005-10-12 2015-03-03 Hewlett-Packard Development Company, L.P. Semiconductor film composition
JP2007178452A (ja) 2005-12-26 2007-07-12 Kao Corp 電子写真用トナーの製造方法
EP1992665B1 (en) 2006-02-16 2010-12-15 Kaneka Corporation Curable composition
JP2007298841A (ja) * 2006-05-01 2007-11-15 Tohoku Univ 感光性重合体組成物
JP5100646B2 (ja) 2006-06-09 2012-12-19 本州化学工業株式会社 新規なトリス(ホルミルフェニル)類及びそれから誘導される新規な多核ポリフェノール類
JP5362176B2 (ja) 2006-06-12 2013-12-11 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20080055597A1 (en) 2006-08-29 2008-03-06 Jie-Wei Sun Method for characterizing line width roughness (lwr) of printed features
JP2008091215A (ja) 2006-10-02 2008-04-17 Nitto Kasei Co Ltd 酸化錫膜形成剤、該酸化錫膜形成剤を用いる酸化錫膜形成方法、及び該形成方法により形成される酸化錫膜
KR101207381B1 (ko) 2006-11-01 2012-12-05 더 스테이트 오브 오레곤 액팅 바이 앤드 쓰루 더 스테이트 보드 오브 하이어 에쥬케이션 온 비해프 오브 오레곤 스테이트 유니버시티 용액 처리된 박막들 및 적층체들, 상기 박막들 및적층체들을 포함하는 장치들, 및 그들의 사용 방법 및 제조방법
TWI334177B (en) 2007-03-29 2010-12-01 Nanya Technology Corp Method for forming a semiconductor device
US7799503B2 (en) 2007-05-17 2010-09-21 International Business Machines Corporation Composite structures to prevent pattern collapse
US7718546B2 (en) 2007-06-27 2010-05-18 Sandisk 3D Llc Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon
JP5045314B2 (ja) 2007-08-30 2012-10-10 富士通株式会社 液浸露光用レジスト組成物、及びそれを用いた半導体装置の製造方法
US7858144B2 (en) * 2007-09-26 2010-12-28 Eastman Kodak Company Process for depositing organic materials
US20100279228A1 (en) * 2007-12-21 2010-11-04 The Regents Of The University Of California Organo-metallic hybrid materials for micro- and nanofabrication
US20090174036A1 (en) 2008-01-04 2009-07-09 International Business Machines Corporation Plasma curing of patterning materials for aggressively scaled features
US7906031B2 (en) 2008-02-22 2011-03-15 International Business Machines Corporation Aligning polymer films
WO2009120169A1 (en) 2008-03-27 2009-10-01 State Of Oregon Acting By And Through The State Board Of Higher Education On Behalf Of Oregon State University Solution processed thin films and laminates, devices comprising such thin films and laminates, and method for the use and manufacture
EP2123659A1 (en) 2008-05-15 2009-11-25 Arkema France High purity monoalkyltin compounds and uses thereof
JP5171422B2 (ja) 2008-06-19 2013-03-27 ルネサスエレクトロニクス株式会社 感光性組成物、これを用いたパターン形成方法、半導体素子の製造方法
US8257910B1 (en) 2008-06-24 2012-09-04 Brewer Science Inc. Underlayers for EUV lithography
GB0811930D0 (en) 2008-06-30 2008-07-30 Imec Inter Uni Micro Electr Polymerisable compounds for making opto-electronic devices
US8158338B2 (en) 2008-07-08 2012-04-17 Massachusetts Institute Of Technology Resist sensitizer
DE102008041940A1 (de) 2008-09-10 2010-03-11 Wacker Chemie Ag Siliconelastomere mit verbesserter Einreissfestigkeit
IL200996A0 (en) * 2008-10-01 2010-06-30 Bayer Materialscience Ag Photopolymer formulations having a low crosslinking density
JP5264393B2 (ja) 2008-10-01 2013-08-14 東京応化工業株式会社 レジストパターン形成方法
JP2010094583A (ja) * 2008-10-14 2010-04-30 Nippon Soda Co Ltd 有機薄膜の製造方法
GB2466486A (en) 2008-12-23 2010-06-30 Dow Corning Moisture curable composition
US8728710B2 (en) 2009-03-31 2014-05-20 Sam Xunyun Sun Photo-imageable hardmask with dual tones for microphotolithography
JP5399116B2 (ja) * 2009-04-06 2014-01-29 三洋化成工業株式会社 光塩基発生剤を含有する感光性組成物
JP5627195B2 (ja) 2009-04-27 2014-11-19 東海旅客鉄道株式会社 感光性組成物、感光性金属錯体、塗布液、及び金属酸化物薄膜パターンの製造方法
JP2011053566A (ja) 2009-09-03 2011-03-17 Sony Corp 現像液、エッチング液および微細加工体の製造方法
KR20110064153A (ko) 2009-12-07 2011-06-15 삼성전자주식회사 금속 유기 전구체, 이의 제조방법, 및 이를 이용한 전도성 금속막 또는 패턴 형성방법
US8828493B2 (en) 2009-12-18 2014-09-09 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
US8366967B2 (en) 2010-02-22 2013-02-05 Inpria Corporation Metal chalcogenide aqueous precursors and processes to form metal chalcogenide films
JP6144000B2 (ja) * 2010-03-30 2017-06-07 サム シュンユン スンSam Xunyun Sun マイクロフォトリソグラフィ用の多階調の感光性ハードマスク
JP5582843B2 (ja) * 2010-03-30 2014-09-03 東海旅客鉄道株式会社 金属酸化物膜パターンの製造方法
JP2011215205A (ja) 2010-03-31 2011-10-27 Toray Ind Inc 湿し水不要平版印刷版の製造方法
US8435728B2 (en) 2010-03-31 2013-05-07 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications
EP2649135A1 (en) 2010-12-08 2013-10-16 Dow Corning Toray Co., Ltd. Methods of modifying metal-oxide nanoparticles
JP5708521B2 (ja) * 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
JP5650086B2 (ja) 2011-06-28 2015-01-07 信越化学工業株式会社 レジスト下層膜形成用組成物、及びパターン形成方法
US9362126B2 (en) * 2011-09-22 2016-06-07 Agency For Science, Technology And Research Process for making a patterned metal oxide structure
US8703386B2 (en) * 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
JP5988151B2 (ja) * 2012-08-31 2016-09-07 学校法人関東学院 3次元多層構造体の製造方法
US20140303283A1 (en) 2013-03-15 2014-10-09 The Sherwin-Williams Company Curable compositions
JP6333604B2 (ja) 2013-07-09 2018-05-30 富士フイルム株式会社 着色組成物、硬化膜、カラーフィルタ、カラーフィルタの製造方法、固体撮像素子、および画像表示装置
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
WO2016043198A1 (ja) 2014-09-17 2016-03-24 Jsr株式会社 パターン形成方法
WO2016043200A1 (ja) 2014-09-17 2016-03-24 Jsr株式会社 パターン形成方法
KR102319630B1 (ko) 2014-10-23 2021-10-29 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
JP2018017780A (ja) 2016-07-25 2018-02-01 Jsr株式会社 感放射線性組成物及びパターン形成方法
EP3564751A4 (en) 2016-12-28 2020-10-14 JSR Corporation RADIATION SENSITIVE COMPOSITION, PATTERN FORMING PROCESS, METAL-CONTAINING RESIN AND ASSOCIATED MANUFACTURING PROCESS
EP3564752A4 (en) 2016-12-28 2020-08-26 JSR Corporation RADIATION-SENSITIVE COMPOSITION, STRUCTURE FORMATION PROCESS AND METAL OXIDE
KR20190103229A (ko) 2017-01-26 2019-09-04 제이에스알 가부시끼가이샤 감방사선성 조성물 및 패턴 형성 방법
JPWO2018168221A1 (ja) 2017-03-13 2020-01-16 Jsr株式会社 感放射線性組成物及びパターン形成方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW513745B (en) * 2000-06-06 2002-12-11 Ekc Technology Inc Method of fabricating a hard mask
CN1457504A (zh) * 2000-06-06 2003-11-19 Ekc技术公司 电子材料的制造方法
JP2003218118A (ja) * 2002-01-03 2003-07-31 Samsung Electronics Co Ltd 金属または金属酸化物微細パターンの製造方法
JP2009533521A (ja) * 2006-04-13 2009-09-17 エルジー・ケム・リミテッド 電磁波遮蔽層の製造時に無電解メッキに対する触媒前駆体樹脂組成物、これを用いた金属パターンの形成方法及びこれにより製造された金属パターン
TW200831695A (en) * 2006-11-01 2008-08-01 Asm Inc Vapor deposition of metal carbide films
WO2009139421A1 (ja) * 2008-05-14 2009-11-19 東京応化工業株式会社 ポジ型感光性組成物
TW201222144A (en) * 2010-06-01 2012-06-01 Inpria Corp Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US20120223418A1 (en) * 2011-02-28 2012-09-06 Stowers Jason K Solution processible hardmasks for high resolution lithography

Also Published As

Publication number Publication date
TW202130648A (zh) 2021-08-16
TW201527872A (zh) 2015-07-16
TWI781843B (zh) 2022-10-21
KR102646037B1 (ko) 2024-03-08
TWI728353B (zh) 2021-05-21
US20230004083A1 (en) 2023-01-05
JP2022123115A (ja) 2022-08-23
US20160216606A1 (en) 2016-07-28
US20190369489A1 (en) 2019-12-05
US9310684B2 (en) 2016-04-12
US20230004082A1 (en) 2023-01-05
KR20160082969A (ko) 2016-07-11
JP2021047426A (ja) 2021-03-25
US20150056542A1 (en) 2015-02-26
US20180307137A1 (en) 2018-10-25
TW201931011A (zh) 2019-08-01
CN105579906B (zh) 2020-04-03
KR102029641B1 (ko) 2019-10-07
WO2015026482A2 (en) 2015-02-26
US20220365429A1 (en) 2022-11-17
US20230004081A1 (en) 2023-01-05
US10416554B2 (en) 2019-09-17
JP2024026361A (ja) 2024-02-28
KR20180039677A (ko) 2018-04-18
TWI768844B (zh) 2022-06-21
KR20190112845A (ko) 2019-10-07
KR101974322B1 (ko) 2019-04-30
JP6484631B2 (ja) 2019-03-13
TW202309058A (zh) 2023-03-01
JP7095060B2 (ja) 2022-07-04
JP7404448B2 (ja) 2023-12-25
KR20190045401A (ko) 2019-05-02
KR102343945B1 (ko) 2021-12-24
KR20210135616A (ko) 2021-11-15
KR20200143524A (ko) 2020-12-23
KR101839255B1 (ko) 2018-03-15
KR20230107719A (ko) 2023-07-17
CN111240158A (zh) 2020-06-05
WO2015026482A3 (en) 2015-05-14
US10025179B2 (en) 2018-07-17
CN111240158B (zh) 2022-06-07
JP6801027B2 (ja) 2020-12-16
TW202210961A (zh) 2022-03-16
JP2019113855A (ja) 2019-07-11
KR102195329B1 (ko) 2020-12-24
JP2016530565A (ja) 2016-09-29
CN105579906A (zh) 2016-05-11
TW202210495A (zh) 2022-03-16
TWI662361B (zh) 2019-06-11
US11966159B2 (en) 2024-04-23

Similar Documents

Publication Publication Date Title
TWI781842B (zh) 以有機金屬溶液為主之高解析度圖案化組合物
JP2023040027A (ja) 有機金属溶液に基づいた高解像度パターニング組成物および対応する方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent