JP7095060B2 - 有機金属溶液に基づいた高解像度パターニング組成物 - Google Patents

有機金属溶液に基づいた高解像度パターニング組成物 Download PDF

Info

Publication number
JP7095060B2
JP7095060B2 JP2020195146A JP2020195146A JP7095060B2 JP 7095060 B2 JP7095060 B2 JP 7095060B2 JP 2020195146 A JP2020195146 A JP 2020195146A JP 2020195146 A JP2020195146 A JP 2020195146A JP 7095060 B2 JP7095060 B2 JP 7095060B2
Authority
JP
Japan
Prior art keywords
coating
metal
coating material
radiation
patterned
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020195146A
Other languages
English (en)
Other versions
JP2021047426A (ja
Inventor
スティーブン・ティ・マイヤーズ
ダグラス・エイ・ケシュラー
カイ・ジアン
ジェレミー・アンダーソン
アンドリュー・グリーンビル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Inpria Corp
Original Assignee
Inpria Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=52480669&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP7095060(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Inpria Corp filed Critical Inpria Corp
Publication of JP2021047426A publication Critical patent/JP2021047426A/ja
Priority to JP2022100501A priority Critical patent/JP7404448B2/ja
Application granted granted Critical
Publication of JP7095060B2 publication Critical patent/JP7095060B2/ja
Priority to JP2023210207A priority patent/JP2024026361A/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions

Description

政府の権利に関する陳述
本明細書に記載される本発明の開発は、米国国立科学財団(U.S.National Science Foundation)助成金IIP-0912921による政府支援によって少なくとも部分的に資金提供され、米国政府は本発明において特定の権利を有する。
本発明は、有機金属コーティング組成物を用いて材料のパターニングを実施するための放射線に基づく方法に関する。本発明はさらに、放射線により非常に高解像度でパターニング可能な有機金属コーティングを形成するために付着され得る前駆体溶液と、パターニングの前および後の、前駆体溶液により形成された被覆基板およびコーティングとに関する。
半導体ベースのデバイスおよび他の電子デバイスまたは他の複雑な微細構造を形成する場合、構造を集積するために、材料は一般的にパターン化される。従って、構造は一般的に、種々の材料からパターンが形成される逐次的な付着およびエッチングステップの反復プロセスによって形成される。このようにして、小さい領域に多数のデバイスを形成することができる。技術的ないくつかの進歩は、そのデバイスの設置面積の低減を含むことができ、これは性能の向上のために望ましい可能性がある。
放射線パターンを使用してそのパターンに対応して有機組成物の化学構造を変化させるように、放射線パターン化レジストとして有機組成物を使用することができる。例えば、半導体ウェハのパターニングのためのプロセスは、放射線感受性有機材料の薄膜から、所望の画像のリソグラフィ転写を必要とし得る。レジストのパターニングは、一般的に、レジストを(例えば、マスクを介して)選択されたエネルギー源に暴露して潜像を記録し、次に、レジストの選択された領域を現像および除去することを含むいくつかのステップを伴う。ポジ型(positive-tone)レジストの場合、暴露領域が変化されてこのような領域が選択的に除去可能にされるが、ネガ型(negative-tone)レジストの場合には、非暴露領域がより容易に除去可能である。
一般的に、パターンは放射線、反応性ガス、または溶液によって現像され、レジストの選択的に感受性の部分が除去され、レジストの他の部分は保護的なエッチング耐性層としての機能を果たす。液体現像剤は、画像を現像するために特に有効であり得る。基板は、保護レジスト層の残存領域のウィンドウまたはギャップを通して選択的にエッチングされ得る。あるいは、保護レジスト層の残存領域の現像されたウィンドウまたはギャップを通して、下側の基板の露出領域に所望の材料が付着され得る。最後に、保護レジスト層が除去される。プロセスを繰り返して、パターン化材料の付加的な層を形成することができる。機能性無機材料は、化学蒸着、物理蒸着または他の所望の手段を用いて付着され得る。導電性材料の付着またはドーパントの注入などの付加的な処理ステップを使用することができる。マイクロおよびナノファブリケーションの分野において、高集積密度を達成し、そして回路機能を改善するために、集積回路内のフィーチャ(feature)サイズは非常に小さくなっている。
第1の態様では、本発明は放射線により基板をパターン化するための方法に関し、本方法は、選択されたパターンに沿って被覆基板を照射して、照射コーティングの領域および非照射コーティングの領域を有する照射構造を形成するステップと、照射構造を選択的に現像して、照射コーティングまたは非照射コーティングの大部分を除去してパターン化基板を形成するステップとを含む。被覆基板は、一般に、金属炭素結合および/または金属カルボキシラート結合により有機配位子を有する金属オキソ-ヒドロキソネットワークを含むコーティングを含む。
さらなる態様では、本発明は、約10ミクロン以下の平均厚さと、コーティングに沿った任意の点において平均から約50%以下である厚さ変動とを有する放射線感受性コーティングを含む被覆基板に関し、コーティングは、金属炭素結合および/または金属カルボキシラート結合により有機配位子を有する金属カチオンを有する金属オキソ-ヒドロキソネットワークを含む。
別の態様では、本発明は、表面を有する基板と、表面に沿って選択された領域に存在し、表面に沿って他の領域には存在しない第1のコーティングとを含むパターン化基板に関する。一般に、第1のコーティングは金属オキソ-ヒドロキソネットワークおよび有機配位子を含み、金属カチオンは、金属炭素結合および/または金属カルボキシラート結合によって有機配位子を有している。二者択一的に、第1のコーティングは少なくともいくつかの有機液体に可溶性であるか、または第1のコーティングは塩基水溶液に可溶性である。
付加的な態様では、本発明は、有機液体と、金属炭素結合および/または金属カルボキシラート結合を有する有機配位子を有する約0.01M~約1.4Mの金属多核オキソ/ヒドロキソカチオンとを含む前駆体溶液に関し、前駆体溶液は、約0.5センチポアズ(cP)~約150cPの粘度を有する。有機液体は、少なくとも10℃の引火点と、20℃において約10kPa未満の蒸気圧とを有し得る。
潜像を有する放射線パターン化構造の概略斜視図である。 図1の構造の側面平面図である。 潜像を現像し、非照射コーティング材料を除去してパターン化構造を形成した後の、図1の構造の概略斜視図である。 図3のパターン化構造の側面図である。 潜像を現像し、照射コーティング材料を除去してパターン化構造を形成した後の、図1の構造の概略斜視図である。 図5のパターン化構造の側面図である。 下層をエッチングした後の、図3および図4のパターン化構造の側面平面図である。 パターン化された凝縮コーティング材料をエッチングして除去した後の、図7の構造の側面平面図である。 「熱凍結(thermal freeze)」ダブルパターニングのプロセスフローの側面平面図である。図1~図3に示されるプロセスは、第1の層を第2の層に対して不溶性にするベーキングの後に繰り返される。 図10Aは、モノブチルスズオキシド水和物を有する前駆体溶液の時間に対する自己相関散乱強度減衰のプロットである。図10Bは、4-メチル-2-ペンタノール中にモノブチルスズオキシド水和物を含む前駆体溶液の、計算された質量平均粒径分布のヒストグラムである。 図11Aは、30kV電子ビームにより1191μC/cmの線量で照射し、4-メチル-2-ペンタノール中で現像した後に、モノブチルスズオキシド水和物を用いて形成されたコーティング材料中に36nmピッチで18nm幅のラインを有するネガ型パターン化コーティングの走査型電子顕微鏡写真である。図11Bは、30kV電子ビームにより1191μC/cmの線量で照射し、乳酸エチル中で現像した後に、モノブチルスズオキシド水和物を用いて形成されたコーティング材料中に36nmピッチで18nm幅のラインを有するネガ型パターン化コーティングの走査型電子顕微鏡写真である。図11Cは、30kV電子ビームにより1191μC/cmの線量で照射し、プロピレングリコールモノメチルエーテル(PGMEA)中で現像した後に、モノブチルスズオキシド水和物を用いて形成されたコーティング材料中に36nmピッチで18nm幅のラインを有するネガ型パターン化コーティングの走査型電子顕微鏡写真である。図11Dは、30kV電子ビームにより1191μC/cmの線量で照射し、酢酸n-ブチル中で現像した後に、モノブチルスズオキシド水和物を用いて形成されたコーティング材料中に36nmピッチで18nm幅のラインを有するネガ型パターン化コーティングの走査型電子顕微鏡写真である。 図12Aは、EUV投影リソグラフィを用いて13.5nm放射線により101mJcm-2の線量で照射し、PGMEAにより現像した後に、モノブチルスズオキシド水和物を用いて形成されたコーティング材料中に44nmピッチで22nm幅のラインを有するネガ型パターン化コーティングの走査型電子顕微鏡写真である。図12Bは、EUV投影リソグラフィを用いて13.5nm放射線により101mJcm-2の線量で照射し、PGMEAにより現像した後に、モノブチルスズオキシド水和物を用いて形成されたコーティング材料中に36nmピッチで18nm幅のラインを有するネガ型パターン化コーティングの走査型電子顕微鏡写真である。 モノブチルスズオキシド水和物を用いて形成されたコーティング材料のC-H伸縮モードの吸光度のFTIR透過測定から計算される場合の電子ビーム線量に対する相対的な炭化水素濃度のプロットである。 30kV電子ビームにより511μC/cmの線量で照射し、2.38%のTMAHにより現像した後に、モノブチルスズオキシド水和物を用いて形成されたコーティング材料中に30nm幅のラインおよび60nmピッチを有するポジ型パターン化コーティングの走査型電子顕微鏡写真である。 図15Aは、新たに調製された前駆体溶液から付着され、そして直ちに暴露されてPGMEA中で現像された膜を30kV電子ビームにより1191μC/cmの線量で照射した後に、モノブチルスズオキシド水和物を用いて形成されたコーティング材料中に36nmピッチで18nm幅のラインおよび2.78nmのライン幅ラフネス(LWR)を有するネガ型パターン化コーティングの走査型電子顕微鏡写真である。図15Bは、室温で39日間エージングした前駆体溶液から付着され、そして直ちに暴露されてPGMEA中で現像された膜を30kV電子ビームにより1191μC/cmの線量で照射した後に、モノブチルスズオキシド水和物を用いて形成されたコーティング材料中に36nmピッチで18nm幅のラインおよび2.87nmのLWRを有するネガ型パターン化コーティングの走査型電子顕微鏡写真である。図15Cは、新たに調製された前駆体溶液から付着され、被覆膜として室温で39日間エージングされ、そして暴露されてPGMEA中で現像されたレジスト膜を30kV電子ビームにより1191μC/cm線量で照射した後に、モノブチルスズオキシド水和物を用いて形成されたコーティング材料中に36nmピッチで18nm幅のラインおよび2.68nmのLWRを有するネガ型パターン化コーティングの走査型電子顕微鏡写真である。 30kV電子ビームにより75μC/cmの線量で照射し、PGMEA中で現像した後に、ジビニルスズヒドロキシド/オキシドコーティング材料を用いて形成されたコーティング材料中に100nmピッチのラインを有するネガ型パターン化コーティングの走査型電子顕微鏡写真である。 30kV電子ビームで暴露された、ジビニルスズヒドロキシド/オキシドコーティング材料を用いて形成されたコーティングのFTIR透過スペクトルから計算される場合の線量に対する相対的なビニル(C-H伸縮)吸光度のプロットである。 30kV電子ビームにより1500μC/cmの線量で照射し、PGMEA中で現像した後に、ジブチルスズオキソ-カルボキシラートコーティング材料を用いて形成されたコーティング材料中に32nmピッチのラインを有するネガ型パターン化コーティングの走査型電子顕微鏡写真である。 非暴露状態における、そして30kV電子ビームにより800μC/cmの線量で暴露された後の、ジブチルスズオキソ-カルボキシラート膜の比較透過モードのFTIR透過スペクトルである。
望ましい有機金属前駆体溶液は、一般的に、非水系溶媒を有し、高解像度パターニングを提供する配位子構造を有しており、この溶液は、良好な放射線感受性を有する放射線パターニング可能なコーティングの形成のために高度の安定性を有する。金属オキソ/ヒドロキソ錯体を形成するために望ましい配位子はM-C結合またはM-OC結合を含むことができ、ここで、Mは選択された金属原子であり、Mがスズ、インジウム、アンチモンまたはこれらの組み合わせである場合に、特に望ましい結果を得ることができる。有機金属前駆体溶液を用いて形成されたコーティングの望ましい特徴は、パターン化金属酸化物コーティングを形成するための優れた直接パターニングを提供する。特に興味深い実施形態では、放射線への暴露は、照射コーティング材料を現像剤組成物による除去に耐性のある材料に変換するか、あるいはコーティング材料が選択的に除去されるように暴露はその極性を十分に変化させる。従って、いくつかの実施形態では、コーティングは、同じコーティングを用いてネガティブにパターン化されるか、またはポジティブにパターン化されるかのいずれかであり得る。コーティング材料の少なくとも一部の選択的な除去は、下側の基板を露出させるためにコーティングの領域が除去されたパターンを残すことができる。照射に続いてコーティングを現像した後、パターン化酸化物材料は、優れたパターン解像度によりデバイス形成における加工を容易にするために使用することができる。コーティング材料は、極紫外線、紫外線および/または電子ビームなどの選択された放射線に感受性であるように設計され得る。さらに、前駆体溶液は、商品流通のために適切な貯蔵期間を有し、安定であるように配合され得る。
本明細書における議論を簡単にするために、M-C配位子結合および/またはM-OC配位子結合を有する金属イオンは、有機安定化金属イオンと称することができる。また金属イオンは一般的に、有機配位子に加えて、1つまたは複数のオキソ配位子(すなわち、M-O)および/またはヒドロキソ配位子(すなわち、M-O-H)にもさらに結合される。有機安定化配位子およびオキソ/ヒドロキソ配位子は、金属酸化物への凝縮プロセスに対する著しい制御を提供することによって、前駆体溶液および対応するコーティングに望ましい特徴を提供し、著しい加工の利点が得られる。有機溶媒の使用は溶液の安定性を支持するが、驚くことに、非水系溶液に基づいた加工は、ポジ型パターニングおよびネガ型パターニングの両方に対して、優れた現像率コントラストを有する潜像の形成の後に得られたコーティングを選択的に現像する能力を保持する。有機安定化金属イオンが溶解された望ましい前駆体溶液は、微細構造の形成を可能にするために、エッチング耐性に関して高い放射線感受性および優れたコントラストを有し得るコーティングを形成するために便利な溶液ベースの付着を提供する。前駆体組成物の設計は、特定の放射線の種類および/またはエネルギー/波長に対して高い感受性を有するコーティング組成物の形成を提供し得る。
放射線感受性コーティング材料は、ポジティブ放射線パターニング用コーティングまたはネガティブ放射線パターニング用コーティングのいずれかとして使用することができる。ネガティブパターニングでは、放射線への暴露により、照射コーティング材料は、非照射コーティング材料と比べて現像剤組成物による除去に対してより耐性のある材料に変換される。ポジティブパターニングでは、暴露されたコーティング材料が水性溶媒または他の高極性溶媒によって選択的に除去され得るように、暴露は、暴露されたコーティング材料の極性を十分に変化させる(例えば、極性を増大させる)。コーティング材料の少なくとも一部の選択的な除去によって、下側の基板を露出させるために領域が除去されたパターンが残される。
集積電子デバイスなどの形成は、一般的に、構造内に個々の素子またはコンポーネントを形成するために材料のパターニングを含む。このパターニングは積み重ねられた層の選択された部分を覆う異なる組成物を含むことができ、これは、鉛直および/または水平方向に互いに相互作用をして所望の機能性を誘発する。種々の材料は、選択されたドーパントを有し得る半導体、誘電体、導電体および/または他のタイプの材料を含むことができる。高解像度パターンを形成するために、放射線感受性有機組成物を用いてパターンを導入することが可能であり、選択されたパターンを導入するために選択的な材料の除去を使用することができるように、本組成物の一部は現像/エッチングに対して耐性であるように加工され得るので、本組成物はレジストと呼ぶことができる。選択されたパターンまたはパターンのネガティブと共に放射線を用いてレジストを暴露し、現像剤に耐性の領域および現像剤に溶解する領域を有するパターンまたは潜像を形成することができる。本明細書に記載される放射線感受性有機金属組成物は、デバイス内に所望の無機材料構造を直接形成するために、そして/あるいは有機レジストの代替である放射線パターニング可能な無機レジストとして使用することができる。いずれの場合も、著しい加工の改善を利用することができ、そしてパターン化材料の構造も改善され得る。
具体的には、前駆体溶液は、約0.1~約3である金属カチオンに対する放射線感受性配位子のモル濃度比を溶液が有するように、十分な放射線感受性有機配位子を含むことができる。前駆体溶液から形成されるコーティングは前駆体溶液中のイオンの配位子構造によって影響され、そして乾燥の際に金属のまわりの配位子構造が同等であってもよいし、あるいは配位子構造はコーティングおよび/または乾燥プロセス中に変化され得る。特に、有機配位子濃度は、前駆体の安定性と、有機溶媒(一般には、極性有機溶媒)で形成された溶液によるネットワーク形成の制御とにおいて驚くほどに大きい改善を提供する。理論によって制限されることは望まないが、放射線感受性配位子濃度の増大は、対応するオキソ-配位子および/またはヒドロキソ-配位子を有する金属カチオンのアグロメレーションを明らかに低減し、溶液を安定化させる。従って、前駆体溶液は、さらに攪拌することなく、少なくとも1週間、恐らくは著しくより長い(例えば、1ケ月を超える)期間、固体の沈降に対して安定であり得る。長い安定時間のために、改善された前駆体は、潜在的な商業利用に関する多用途性を増大させた。全体のモル濃度は、所望の安定性レベルと一致して得られる所望のコーティング厚さおよび所望のコーティング特性を達成するように選択することができる。金属-炭素または金属-カルボキシラート結合を有する配位子は望ましい放射線感受性配位子を提供し、特定の配位子には、例えば、アルキル基(例えば、メチル、エチル、プロピル、ブチル、t-ブチル)、アリール基(例えば、フェニル、ベンジル)、アルケニル基(例えば、ビニル、アリル)、カルボキシラート(例えば、アセタート、プロパノアート、ブタノアート、ベンゾアート)基、またはこれらの組み合わせが含まれる。
有機配位子を有する多原子金属オキソ/ヒドロキソカチオンは、所望の放射線吸収を達成するように選択され得る。特に、インジウムおよびスズベースのコーティング材料は、193nm波長の遠紫外線および13.5nm波長の極紫外線の良好な吸収を示す。表1には、モノブチルスズオキシド水和物から形成されて100℃でベーキングされたコーティング材料の選択された波長における光学定数(n=屈折率およびk=吸光係数)が記載される。
Figure 0007095060000001
いくつかの前駆体溶液は、付加的な金属のブレンドを効果的に取り込んで、コーティング材料の望ましい全体的特性を提供する。前駆体溶液は、リソグラフィのために重要ないくつかの放射線波長の吸収を増大させるために付加的な金属カチオンを含むことができる。金属イオン濃度は、前駆体溶液に所望される特性を提供するように選択することができ、より薄い溶液は、一般的に、より薄いコーティング材料の形成と一致するが、コーティング特性は付着技術にも依存する。
前駆体組成物の配位子構造は、前駆体溶液の望ましい安定性と、放射線パターニング機能とを提供すると考えられる。特に、放射線の吸収は、金属と有機配位子との間の結合の破壊を提供して、被覆材料の照射および非照射部分において組成物の差別化を生じることができると考えられる。従って、改善された前駆体溶液を形成するための組成の変更は、画像の現像の改善も提供する。特に、照射コーティング材料は、例えば、ポジ型またはネガ型画像のいずれかを現像し得る適切な現像剤の選択によって、現像剤に対する調節可能な応答を有する安定した無機金属酸化物材料をもたらし得る。いくつかの実施形態では、適切な現像剤には、例えば、2.38%TMAH(すなわち、半導体業界標準)が含まれる。コーティング層は、現像後にコーティング材料が残ることが意図される領域からコーティング材料を除去することによる現像中のパターン損失を伴わずに薄くされることが可能である。従来の有機レジストと比較して、本明細書に記載される材料は、商業的に関連する機能層に対する多数のエッチング化学に対して極めて高い耐性を有する。これは、本来ならばマスク機能に関してパターン化有機レジストを補足するために使用され得る中間の犠牲的無機パターン転写層を回避することによって、プロセスの簡素化を可能にする。また、コーティング材料は、便利なダブルパターニングを提供することができる。具体的には、熱処理の後、コーティング材料のパターン化部分は、さらなる前駆体溶液を含む多数の組成物との接触に関して安定である。従って、既に付着されたハードマスクまたはレジストコーティング材料を除去することなく、多重パターニングが実施され得る。
所望の機能性材料をパターン化するためのマスクとしてパターン化材料を使用した後、続いてパターン化コーティング材料を除去することができる。あるいは、得られたパターン化材料は、無機金属酸化物材料への少なくともいくらかの凝縮による適切な安定化の後、最終デバイスのコンポーネントとして構造内に組み込むことができる。例えば安定した誘電層としてパターン化無機コーティング材料が構造内に組み込まれる場合、加工手順の多数のステップは、放射線による材料の直接パターニングの使用によって除外され得る。あるいは、短波長の電磁放射線および/または電子ビームを用いて暴露された薄い無機コーティング材料を用いて非常に高解像度の構造が形成され得ること、そして改善されたパターン化構造の形成のためにライン幅ラフネスが非常に低レベルまで低減され得ることが見出された。
前駆体溶液は、多核金属オキソ/ヒドロキソカチオンおよび有機配位子を含む。金属亜酸化物カチオンともいわれる多核金属オキソ/ヒドロキソカチオンは、金属元素および共有結合した酸素原子を有する多原子カチオンである。過酸化物ベースの配位子を有する金属亜酸化物カチオンは、参照によって本明細書中に援用される“Patterned Inorganic Layers,Radiation Based Patterning Compositions and Corresponding Methods”という表題の米国特許第8,415,000号明細書(Stowersら)(’000号特許)に記載されている。金属亜酸化物または金属水酸化物の水溶液は、ゲル化および/または沈殿に関して不安定な傾向があり得る。特に、溶液は溶媒が除去されると不安定であり、金属カチオンによりオキソ-水酸化物ネットワークを形成し得る。過酸化物などの放射線感受性配位子をこのような溶液中に取り込むと安定性を改善することができるが、ネットワーク形成に関連するバックグラウンドの不安定性は持続し得る。この制御されないネットワーク形成は、照射とは無関係に現像率決定経路を提供することによって、被覆材料の放射線感受性および/または現像率コントラストを効果的に低減する。
新しい前駆体溶液は、過酸化物ベースの配位子を有する無機レジスト材料と比べて、改善された安定性およびネットワーク形成および沈殿の制御を備えて配合されている。この場合、配位子が放射線感受性であるという特徴付けは、放射線の吸収の後の金属-配位子結合の不安定性を指し、従って、材料の化学変化を引き起こすために放射線が使用され得る。特に、有機配位子は前駆体溶液を安定化するが、材料の加工に対する制御も提供し、そして金属イオンに対する有機配位子の比率の選択は、溶液および得られたコーティングの特性を制御するように調整され得る。特に、金属カチオンに対する有機配位子のモル比が約0.1~約3の間であれば、一般的に、より安定した溶液を形成することができる。有機配位子の金属との結合の断裂を放射線により達成し、潜像を有するコーティング材料における現像率コントラストを改善することができるので、より安定した前駆体溶液は、最終照射コーティング材料と非照射コーティング材料との間のより大きいコントラストという追加利点を提供する。
より大きい安定性を有する精製前駆体溶液は、基板の放射線暴露部分と非暴露部分との間のより大きい現像率コントラストの可能性を有するコーティング材料も提供し、これは、驚くことに、ポジ型パターニングまたはネガ型パターニングのどちらを用いても同時に達成することができる。具体的には、照射コーティング材料または非照射コーティング材料は、適切な現像剤組成物によって比較的より容易に溶解され得る。従って、改善された組成物および対応する材料を用いて、適切な現像剤の選択により、ポジ型またはネガ型画像形成を達成することができる。同時に、隣接する素子間の適切な絶縁、一般には電気的絶縁と共に、隣接する素子間でピッチは非常に小さくされ得る。照射コーティング組成物はその後の現像/エッチングプロセスに対して非常に感受性であることが可能であり、その結果、コーティング組成物は、基板の表面に照射パターニング組成物の適切な部分を残しながらコーティング組成物を選択的できれいに除去することに関して現像プロセスの効力を損なうことなく非常に薄くされ得る。現像剤に対する暴露時間を短くできることは、さらに、コーティングのパターン化部分に損傷を与えることなく薄いコーティングを使用することと一致する。
前駆体溶液は、一般的に、以下にさらに記載される任意の合理的なコーティングまたは印刷技術によって付着され得る。コーティングは一般に乾燥され、そして熱が加えられて、照射の前にコーティングを安定化させることができる。一般に、コーティングは薄く、例えば、10ミクロン未満の平均厚さを有し、非常に小さいフィーチャをパターン化するために非常に薄いサブミクロンのコーティングが望ましいこともある。乾燥されたコーティングは適切な放射線、例えば、極紫外線、電子ビームまたは紫外線にさらされ、コーティング内に潜像を形成することができる。潜像は現像剤と接触されて、物理的な画像、すなわちパターン化コーティングを形成する。パターン化コーティングは、表面にパターン化された残りのコーティングを安定化するためにさらに加熱され得る。パターン化コーティングは、パターンに従ってさらなる加工、例えば、基板のエッチングおよび/または付加的な材料の付着を実施するために物理的なマスクとして使用することができる。加工の適切な時点で、残りのパターン化コーティングは除去することができるが、パターン化コーティングは最終構造に取り込まれることもできる。非常に微細なフィーチャは、本明細書に記載されるパターニング組成物により効果的に達成され得る。
前駆体溶液
レジストコーティングを形成するための前駆体溶液は、一般的に、有機溶媒(一般的には、有機溶媒)中に適切な有機安定化配位子を有する金属カチオンを含む。前駆体溶液および最終的なレジストコーティングは金属酸化物化学に基づいており、有機配位子を有する金属ポリカチオンの有機溶液は、良好なレジスト特性を有する安定した溶液を提供する。配位子は放射線感受性を提供し、配位子の特定の選択は放射線感受性に影響し得る。特に、前駆体溶液は、金属カチオンおよび関連の配位子の選択に基づいて選択された放射線に対して所望のレベルの放射線吸収を達成するように設計され得る。溶液中の配位子安定化金属カチオンの濃度は、特定の付着手段(例えば、スピンコーティングなど)のために適切な溶液特性を提供するように選択され得る。安定性および加工の有効性に関して特に有効性を有する金属は、第13族、第14族および第15族の金属である。対応して、パターニングのために一般的に使用される放射線の高い吸収を提供するために、前駆体溶液中にSn、InおよびSb金属を含むことが望ましいが、これらの金属は、特性、特に放射線吸収を調整するために他の金属と組み合わせることも可能である。前駆体溶液は非常に高レベルの安定性を達成するように配合されており、従って、前駆体溶液は商品のために適切な貯蔵寿命を有する。以下のセクションに記載されるように、前駆体溶液は基板表面に塗布され、乾燥され、さらに処理されて、効果的な放射線レジストを形成することができる。前駆体溶液は、少なくとも部分的に溶媒が除去されたときにコーティング組成物を形成するように設計され、最終的には、無機固体は、照射および/または熱処理、プラズマへの暴露、または同様の処理の際に金属酸化物が大半を占める。
前駆体溶液は、一般的に、1つまたは複数の金属カチオンを含む。水溶液中で、金属カチオンは水分子との相互作用のために水和されており、加水分解が生じて酸素原子を金属イオンに結合させ、水酸化物配位子またはオキソ結合を形成し、対応して水素イオンが放出される。相互作用の性質は一般的にpH依存性である。水溶液中で付加的な加水分解が生じるにつれて、溶液は、金属酸化物の沈殿に関して、またはゲル化に関して不安定になり得る。最終的に酸化物材料を形成することが望ましいが、この進行は、有機配位子安定化金属カチオンを有する有機溶媒に基づく前駆体溶液を用いてより良く制御され得る。有機安定化配位子および有機溶媒に基づく前駆体溶液では、酸化物への進行は、まず溶液を処理してコーティング材料にし、そして最終の有機配位子を有する金属酸化物組成物にするための手順の一部として制御され得る。本明細書に記載されるように、有機配位子は、効果的な放射線レジスト組成物へ溶液の処理に対する著しい制御を提供するために使用することができる。
このようにして、金属カチオンの溶液はさらなる処理に備えた状態である。特に、前駆体溶液の添加成分として、多核金属オキソ/ヒドロキソカチオンを使用することが望ましいことがあり、これは、溶液をさらに金属酸化物組成物に対して備えた状態にし得る。一般に、前駆体溶液は、約0.01M~約1.4M、さらなる実施形態では約0.05M~約1.2M、そして付加的な実施形態では約0.1M~約1.0Mの金属多核オキソ/ヒドロキソカチオンを含む。当業者は、上記の明確な範囲内の金属多核オキソ/ヒドロキソカチオンの付加的な範囲が考慮され、本開示の範囲内に含まれることを認識するであろう。
スズ、アンチモンおよび/またはインジウムは、本明細書に記載される前駆体溶液の多核金属オキソ/ヒドロキソカチオンの形成のために特に適した金属である。特に、スズは、有機配位子に基づく望ましい化学的性質を有する。例えば、Ti、Zr、Hf、V、Co、Mo、W、Al、Ga、Si、Ge、P、As、Y、La、Ce、Luまたはこれらの組み合わせを含む付加的な金属は、より複雑な多核金属オキソ/ヒドロキソカチオン配合物を生成するために提供され得る。付加的な金属は、スズイオン、アンチモンイオンおよび/またはインジウムイオン(スズ/アンチモン/インジウムイオン)の代替として、またはこれらに加えて提供され得る。金属イオンのブレンドが使用される場合、いくつかの実施形態では、スズ/アンチモン/インジウムと付加的な金属イオンとのモル比は、各スズ/アンチモン/インジウムイオン当たり約1までの非スズ/アンチモン/インジウム金属イオンであり、そしてさらなる実施形態では、スズ/アンチモン/インジウム金属イオン当たり約0.1~約0.75の非スズ/インジウム金属イオンである。金属イオンのブレンドが使用される場合、金属イオンは、溶液中の複雑な複数の金属オキソ-ヒドロキソクラスタ内または別個の金属オキソ-ヒドロキソクラスタ内にあり得る。溶液中の正確なクラスタ形態は分かっていてもいなくてもよく、得られたコーティングは、一般的に、溶液中のクラスタ構造が既知であるかどうかに関係なく所望の機能を提供することができる。上記のように、溶液中のカチオンの状態はpH依存性であるので、酸素の配位の初期状態は溶液中で変化し得るが、傾向は加水分解および凝縮に向かっており、酸化物の形成に通じる。有機配位子は、ゲル化および最終的には沈殿をもたらす金属-酸素ネットワークの形成を妨害し得ることが分かった。従って、有機配位子は、選択的な放射線暴露によって酸化物に変換される準備ができた安定な状態を形成するために使用することができる。また有機配位子の使用は、前駆体溶媒および現像剤の選択を拡大し、水系溶媒および有機溶媒の両方を含む。
金属は、一般的に、放射線の吸収に著しく影響する。そのため、金属カチオンは、所望の放射線および吸収断面積に基づいて選択され得る。インジウムおよびスズは、13.5nmにおける極紫外線の強力な吸収を提供する。有機配位子と組み合わせて、これらは193nm波長における紫外線の良好な吸収も提供する。またHfも、電子ビーム材料および極紫外線放射の良好な吸収を提供する。放射線吸収のための組成物のさらなるチューニングは、他の金属イオンの添加に基づいて調整することができる。例えば、Ti、V、Mo、もしくはW、またはこれらの組み合わせを含む1つまたは複数の金属組成物を前駆体溶液に添加して、吸収端がより長い波長に移動したコーティング材料を形成し、例えば、248nm波長の紫外線に対する感受性を提供することができる。吸収されるエネルギーは金属-有機相互作用によって調節され、これは、金属-配位子の断裂および材料特性に対する所望の制御をもたらし得る。
有機ベースの配位子は、凝縮に関して組成物を安定化する。特に、有機ベースの配位子の相対濃度が高いと、凝縮金属酸化物または金属水酸化物の形成は、仮に凝縮が室温で自然に生じるとしても、非常にゆっくりである。この安定化特性の発見に基づいて、コーティングを形成するために便利な処理を保持しながら、良好な貯蔵安定性を有する高濃度の放射線感受性配位子を用いて、溶液は形成され得る。放射線感受性配位子は、金属-炭素結合、例えばスズ-炭素結合を形成するカルボキシラートおよび有機部分を含む。吸収された放射線からのエネルギーは、金属-有機配位子結合を破壊し得る。これらの結合が破壊されると、対応する凝縮に関する安定化が低減または喪失される。組成物は、M--OHの形成によって、または凝縮してM--O--M結合を形成することによって変化し得る。ここで、Mは金属原子を表す。従って、放射線によって化学変化を制御することができる。高放射線感受性配位子濃度を有する組成物は、水酸化物および凝縮の自然形成の回避に関して非常に安定であり得る。所望の配位子構造を有する一部の適切な金属組成物は、Alfa Aesar(MA,USA)およびTCI America(OR,USA)(以下の実施例を参照)などの商業的供給源から購入することができ、他の金属-配位子組成物は以下に記載されるように合成され得る。
有機配位子は、例えば、アルキル(例えば、メチル、エチル、プロピル、ブチル、t-ブチル、アリール(フェニル、ベンジル))、アルケニル(例えば、ビニル、アリル)、およびカルボキシラート(アセタート、プロパノアート、ブタノアート ベンゾアート)であり得る。前駆体組成物は、一般に、金属カチオン濃度の約0.25倍~約4倍、さらなる実施形態では約0.5倍~約3.5倍、付加的な実施形態では約0.75倍~約3倍、そして他の実施形態では金属カチオン濃度の約1倍~約2.75倍の配位子濃度を含む。当業者は、上記の明確な範囲内の配位子濃度の付加的な範囲が考慮され、本開示の範囲内に含まれることを認識するであろう。
金属イオンに対するオキソ/ヒドロキソ配位子に関して、これらの配位子は、加水分解による加工の間に形成され得る。いくつかの実施形態では、加水分解は、塩基性水溶液中のハロゲン化物配位子の置換と、それに続いて有機溶媒へ移すことを含み得る。特定の例は以下に示される。基本的に、有機安定化配位子およびハロゲン化物配位子を有する金属イオンを含む組成物は有機溶媒に溶解され、これは次に塩基性水溶液と接触され、そこでヒドロキソ配位子によるハロゲン化物配位子の置換が生じ得る。ヒドロキソ配位子を形成するために十分な時間を与えた後、有機液体は水性液体に溶けないとすれば、水溶液は有機相から分離され得る。いくつかの実施形態では、オキソ/ヒドロキソ配位子は、大気水からの加水分解によって形成され得る。加水分解可能な金属イオン組成物は、オキソ/ヒドロキソ配位子がコーティング材料内で直接形成するように、大気水分の存在下で加熱されることが可能であり、これは、高表面積のために比較的容易であり得る。大気水からの加水分解の一例も以下で記載される。
有機安定化配位子の形成に関して、これらは溶液中で形成されて、所望の組成物を形成することもできる。カルボキシラート配位子に関して、対応するカルボン酸またはその塩は金属カチオンを有する溶液中に溶解され得る。所望される場合、金属に対するカルボキシラート基の結合を容易にするように溶液のpHを調整することができ、プロセスをさらに駆動するために加熱が適用されてもよい。一般に、反応は水性溶媒中で実施され、続いて有機溶媒へ移されるか、または有機溶媒中で直接実施され得る。またM-C結合も溶液相置換反応において形成され得る。以下の反応は、Sn-C結合を形成するための置換反応の代表的な適切な反応であり、他の金属イオンについても同様の反応が起こる。
nRCl+Sn→RSnCl4-n+残渣
4RMgBr+SnCl→RSn+4MgBrCl
3SnCl+4RAl→3RSn+4AlCl
Sn+SnCl→2RSnCl
式中、Rは有機配位子を表す。一般的に、異なる適切なハロゲン化物は、上記反応において置換され得る。反応は、反応物が合理的な溶解度を有する適切な有機溶媒中で実行され得る。
一般に、所望の化合物は、有機溶媒、例えば、アルコール、エステルまたはこれらの組み合わせに溶解され得る。特に、適切な溶媒には、例えば、芳香族化合物(例えば、キシレン、トルエン)、エステル(プロピレングリコールモノメチルエーテルアセタート、酢酸エチル、乳酸エチル)、アルコール(例えば、4-メチル-2-プロパノール、1-ブタノール、アニソール)、ケトン(例えば、メチルエチルケトン)などが含まれる。一般に、有機溶媒の選択は、溶解度パラメータ、揮発度、引火性、毒性、粘度、および他の加工材料との潜在的な化学的相互作用によって影響され得る。溶液の成分を溶解させて混ぜ合わせた後、特にコーティングプロセスの間に、部分的な水和および凝縮の結果として種の特性は変化し得る。溶液の組成が本明細書において言及される場合、錯体配合物は、十分に特徴付けし得ない溶液において金属多核種を生じ得るので、その言及は溶液に添加される成分に対するものである。特定の用途のために、有機溶媒は、約10℃以上、さらなる実施形態では約20℃以上、そしてさらなる実施形態では約25℃以上の引火点と、20℃において約10kPa以下、いくつかの実施形態では約8kPa以下、そしてさらなる実施形態では約6kPa以下の蒸気圧とを有することが望ましい。当業者は、上記の明確な範囲内の引火点および蒸気圧の付加的な範囲が考慮され、本開示の範囲内に含まれることを認識するであろう。
一般に、前駆体溶液は、形成される材料の容積に適した適正な混合装置を用いて十分に混合される。適切なろ過を用いて、任意の汚染物質または適切に溶解しない他の成分を除去することができる。いくつかの実施形態では、混ぜ合わせて前駆体溶液を組み合わせから形成することができる別々の溶液を形成することが望ましいこともある。具体的には、以下の:金属多核オキソ/ヒドロキソカチオン、任意の付加的な金属カチオン、および有機配位子のうちの1つまたは複数を含む別々の溶液が形成され得る。多数の金属カチオンが導入される場合、多数の金属カチオンは、同一の溶液および/または別々の溶液中に導入され得る。一般的に、別々の溶液または合わせた溶液は、十分に混合され得る。いくつかの実施形態では、次に、金属カチオン溶液は、有機ベースの配位子が金属カチオンと結合できるように有機ベースの配位子溶液と混合される。得られた溶液は、安定化金属カチオン溶液と呼ぶことができる。いくつかの実施形態では、安定化金属カチオン溶液は安定した配位子形成を提供するために適切な時間反応させられ、配位子形成は、混合金属イオンが導入されるかどうかに関係なく、溶液内のクラスタ形成を含んでいてもそうでなくてもよい。いくつかの実施形態では、溶液の反応または安定化時間は、さらなる加工に先立って、少なくとも約5分間、他の実施形態では少なくとも約1時間、そしてさらなる実施形態では約2時間~約48時間であり得る。当業者は、安定化期間の付加的な範囲が考慮され、本開示の範囲内に含まれることを認識するであろう。
前駆体溶液中の種の濃度は、溶液の所望の物理特性を達成するように選択され得る。特に、より低い濃度は、全体的に、合理的なコーティングパラメータを用いてより薄いコーティングを達成することができるスピンコーティングなどの特定のコーティング手段のために、溶液の望ましい特性をもたらし得る。超微細なパターニングを達成するため、および材料コストを低減するためにより薄いコーティングを使用することが望ましいことがある。一般に、濃度は、選択されたコーティング手段のために適切であるように選択され得る。コーティング特性はさらに以下に記載される。
上記のように、金属カチオンに対する有機ベースの配位子の比較的大きい比率は、前駆体溶液を非常に安定にするために使用することができる。前駆体溶液の安定性は、初期溶液に対する変化に関して評価され得る。具体的には、大きいゾル粒子の生成と共に相分離が生じるか、あるいは溶液が所望のパターン形成を実施するその能力を失えば、溶液は安定性を失っている。本明細書に記載される改善された安定化手段に基づいて、溶液は、少なくとも約1週間、さらなる実施形態では少なくとも約2週間、他の実施形態では少なくとも約4週間、さらに混合することなく安定であり得る。当業者は、安定化時間の付加的な範囲が考慮され、本開示の範囲内に含まれることを認識するであろう。十分な安定化時間を有する溶液を配合することができ、適切な貯蔵期間を有する溶液を商業的に流通させることができる。
コーティング材料
コーティング材料は、選択された基板への前駆体溶液の付着、およびそれに続く加工によって形成される。基板は一般的にコーティング材料が付着され得る表面を示し、そして基板は、表面が最上層に関連している複数の層を含み得る。いくつかの実施形態では、基板表面は、コーティング材料の接着のための表面を作製するために処理することができる。また、必要に応じて表面は清浄化および/または平滑化され得る。適切な基板表面は、任意の合理的な材料を含むことができる。特に興味深いいくつかの基板は、基板の表面にわたっておよび/または層内に、例えば、シリコンウェハ、シリカ基板、他の無機材料、ポリマー基板、例えば有機ポリマーなど、これらの複合体、およびこれらの組み合わせを含む。比較的薄い円筒形構造などのウェハが便利であり得るが、任意の合理的な形状の構造を使用することができる。ポリマー基板または非ポリマー構造上にポリマー層を有する基板は、その低コストおよび柔軟性に基づいて、特定の用途のために望ましいことがあり、適切なポリマーは、本明細書に記載されるパターニング可能な材料の加工のために使用され得る比較的低い加工温度に基づいて選択され得る。適切なポリマーは、例えば、ポリカーボネート、ポリイミド、ポリエステル、ポリアルケン、そのコポリマーおよびその混合物を含むことができる。一般に、基板は、特に高解像度用途のために、平坦な表面を有することが望ましい。
一般に、前駆体溶液を基板に供給するために任意の適切なコーティングプロセスが使用され得る。適切なコーティング手段は、例えば、スピンコーティング、スプレーコーティング、ディップコーティング、ナイフエッジコーティング、印刷手段(例えば、インクジェット印刷およびスクリーン印刷)などを含むことができる。これらのコーティング手段のいくつかはコーティングプロセス中にコーティング材料のパターンを形成するが、印刷などから現在得られる解像度は、本明細書に記載される放射線ベースのパターニングから得られるよりも著しく低いレベルの解像度を有する。コーティングプロセスに対するより大きい制御を提供するために、コーティング材料は多数のコーティングステップで適用され得る。例えば、多数のスピンコーティングを実施して、所望される最終のコーティング厚さをもたらすことができる。以下に記載される熱処理は、各コーティングステップの後、または複数のコーティングステップの後に適用され得る。
放射線を用いてパターニングが実施される場合、スピンコーティングは、基板を比較的均一に被覆するために望ましい手段であり得るが、エッジ効果が存在し得る。いくつかの実施形態では、ウェハは、約500rpm~約10,000rpm、さらなる実施形態では約1000rpm~約7500rpm、そして付加的な実施形態では約2000rpm~約6000rpmの速度で回転され得る。回転速度は、所望のコーティング厚さを得るように調整され得る。スピンコーティングは、約5秒間~約5分間、そしてさらなる実施形態では約15秒間~約2分間の時間で実施され得る。初期低速回転(例えば、50rpm~250rpm)を用いて、基板全体に組成物の初期バルク塗布を実施することができる。任意のエッジビードを除去するために、水または他の適切な溶媒を用いて裏面リンスやエッジビード除去ステップなどを実施することができる。当業者は、上記の明確な範囲内のスピンコーティングパラメータの付加的な範囲が考慮され、本開示の範囲内に含まれることを認識するであろう。
コーティングの厚さは、一般的に、前駆体溶液の濃度、粘度およびスピンコーティングの回転速度の関数であり得る。他のコーティングプロセスの場合、厚さは、一般的に、コーティングパラメータの選択によっても調整され得る。いくつかの実施形態では、その後のパターニングプロセスにおいて小さくかつ高度に改造されたフィーチャの形成を容易にするために薄いコーティングを使用することが望ましいこともある。例えば、乾燥後のコーティング材料は、約10ミクロン以下、他の実施形態では約1ミクロン以下、さらなる実施形態では約250ナノメートル(nm)以下、付加的な実施形態では約1ナノメートル(nm)~約50nm、他の実施形態では約2nm~約40nm、そしていくつかの実施形態では約3nm~約25nmの平均厚さを有することができる。当業者は、上記の明確な範囲内の厚さの付加的な範囲が考慮され、本開示の範囲内に含まれることを認識するであろう。厚さは、x線反射率の非接触法および/または膜の光学特性に基づいた偏光解析法を用いて評価され得る。一般に、コーティングは、加工を容易にするために比較的均一である。いくつかの実施形態では、コーティングの厚さの変動は、平均コーティング厚さから±50%以下、さらなる実施形態では±40%以下、そして付加的な実施形態では平均コーティング厚さに対して約25%以下だけ異なる。より大きい基板上の均一性の高いコーティングなどのいくつかの実施形態では、コーティングの均一性の評価は、1センチメートルのエッジを除外して評価され得る。すなわち、コーティングの均一性は、コーティングのエッジから1センチメートル以内の部分については評価されない。当業者は、上記の明確な範囲内の付加的な範囲が考慮され、本開示の範囲内に含まれることを認識するであろう。
多くのコーティングプロセスは、より大きい表面積および/または蒸発を刺激する溶液の移動を有する液滴または他の形態のコーティング材料を形成するので、コーティングプロセス自体が溶媒の一部の蒸発をもたらし得る。溶媒の喪失は、材料中の種の濃度の増大につれてコーティング材料の粘度を増大する傾向がある。コーティングプロセスの間の目標は、十分な溶媒を除去して、さらなる加工のためにコーティング材料を安定化させることであり得る。一般に、コーティング材料は、さらに溶媒を追い出して、コーティング材料の緻密化を促進するために放射線暴露の前に加熱され得る。乾燥したコーティング材料は、一般的に、金属へのオキソ-ヒドロキソ配位子に基づいて高分子金属オキソ/ヒドロキソネットワークを形成することができ、ここで、金属は、いくつかの有機配位子、または有機配位子を有する多核金属オキソ/ヒドロキソ種で構成される分子固体も有する。
溶媒除去プロセスは、コーティング材料中に残存する特定の量の溶媒に関して定量的に制御されてもそうでなくてもよく、得られたコーティング材料の特性の経験的な評価は、一般的に、パターニングプロセスに有効である加工条件を選択するために実施され得る。プロセス用途の成功のために加熱は必要とされないが、加工を加速し、そして/あるいはプロセスの再現性を増大させるために、被覆基板を加熱することが望ましいこともある。溶媒を除去するために加熱が適用される実施形態では、コーティング材料は、約45℃~約250℃、そしてさらなる実施形態では約55℃~約225℃温度まで加熱され得る。溶媒除去のための加熱は、一般的に、少なくとも約0.1分間、さらなる実施形態では約0.5分間~約30分間、そして付加的な実施形態では約0.75分間~約10分間実施され得る。当業者は、上記の明確な範囲内の加熱温度および時間の付加的な範囲が考慮され、本開示の範囲内に含まれることを認識するであろう。コーティング材料の加熱処理および緻密化の結果、コーティング材料は、コントラストを著しく損失することなく屈折率および放射線吸収の増大を示すことができる。
パターン化された暴露およびパターン化されたコーティング材料
コーティング材料は、放射線を用いて微細にパターン化され得る。上記のように、前駆体溶液の組成、従って対応するコーティング材料の組成は、所望の形態の放射線を十分に吸収するように設計され得る。放射線の吸収は、金属と有機配位子間の結合を破壊できるエネルギーを生じ、その結果、有機ベースの配位子の少なくとも一部はもはや材料を安定化するために利用できない。十分な量の放射線の吸収により、暴露されたコーティング材料は凝縮し、すなわち増強された金属オキソ/ヒドロキソネットワークを形成し、これは、周囲大気から吸収された水を含み得る。放射線は、一般に、選択されたパターンに従って送達され得る。放射線パターンは、照射領域および非照射領域を有するコーティング材料において対応するパターンまたは潜像に転写される。照射領域は、化学的に変化されたコーティング材料を含み、非照射領域は一般的に形成されたままのコーティング材料を含む。下記のように、非照射コーティング材料の除去あるいは照射コーティング材料の選択的除去によるコーティング材料の現像の際、非常に鋭いエッジが形成され得る。
放射線は、一般的に、マスクを介して被覆基板へ向けられてもよいし、または放射線ビームは基板全体に制御可能に走査されてもよい。一般に、放射線は、電磁放射線、電子ビーム(ベータ放射線)、または他の適切な放射線を含むことができる。一般に、電磁放射線は、可視光、紫外線またはx線などの所望の波長または波長範囲を有することができる。放射線パターンに対して達成可能な解像度は、一般的に、放射線の波長に依存し、より高い解像度パターンは、一般的により短い波長の放射線によって達成され得る。従って、特に高解像度のパターンを達成するために、紫外線、x線または電子ビームを使用することが望ましいこともある。
参照によって本明細書中に援用される国際規格ISO21348(2007)に従って、紫外線は、100nm以上であり400nm未満である波長間に広がる。フッ化クリプトンレーザーを248nm紫外線源として使用することができる。紫外線領域は、容認された規格の下、いくつかの方法で、10nm以上から121nm未満までである極紫外線(EUV)、および122nm以上から200nm未満までである遠紫外線(FUV)などに細分され得る。フッ化アルゴンレーザーからの193nmラインをFUVにおける放射線源として使用することができる。EUV光は、13.5nmでリソグラフィのために使用されており、この光は、高エネルギーレーザーまたは放電パルスを用いて励起されたXeまたはSnプラズマ源から発生される。軟x線は、0.1nm以上から10nm未満までであると定義され得る。
電磁放射線の量は、暴露時間に対する積分放射フラックスによって得られるフルエンスまたは線量によって特徴付けることができる。適切な放射線フルエンスは、約1mJ/cm~約150mJ/cm、さらなる実施形態では約2mJ/cm~約100mJ/cm、そしてさらなる実施形態では約3mJ/cm~約50mJ/cmであり得る。当業者は、上記の明確な範囲内の放射線フルエンスの付加的な範囲が考慮され、本開示の範囲内に含まれることを認識するであろう。
電子ビームリソグラフィでは、電子ビームは一般的に二次電子を誘発し、これは一般的に照射された材料を変化させる。解像度は、少なくとも部分的に、材料内の二次電子の範囲の関数であり得る。ここで、より高い解像度は一般的により短い範囲の二次電子から得られると考えられる。本明細書に記載される無機コーティング材料を用いて電子リソグラフィにより達成可能な高解像度に基づいて、無機材料中の二次電子の範囲は制限される。電子ビームは、ビームのエネルギーによって特徴付けることができ、適切なエネルギーは、約5V~約200kV(キロボルト)、そしてさらなる実施形態では約7.5V~約100kVの範囲であり得る。30kVにおける近接補正ビーム線量は、1平方センチメートル当たり約0.1マイクロクーロン~1平方センチメートル当たり約5ミリクーロン(mC/cm)、さらなる実施形態では約0.5μC/cm~約1mC/cm、そして他の実施形態では約1μC/cm~約100μC/cmの範囲であり得る。当業者は、本明細書中の教示に基づいて、他のビームエネルギーにおいて対応する線量を計算することができ、上記の明確な範囲内の電子ビーム特性の付加的な範囲が考慮され、本開示の範囲内に含まれることを認識するであろう。
コーティング材料の設計に基づいて、凝縮コーティング材料を有する照射領域と、実質的に未変化の有機配位子を有する非照射コーティング材料との間には、材料特性の大きいコントラストが存在する。コントラストは照射後の熱処理によって改善され得るが、いくつかの実施形態では照射後の熱処理がなくても満足な結果を得ることが可能であることが分かった。暴露後の熱処理は、照射コーティング材料をアニーリングして、有機配位子-金属結合の熱破壊に基づいてコーティング材料の非照射領域を有意に凝縮させることなく、その凝縮を増大させると思われる。照射後の熱処理が使用される実施形態の場合、照射後の熱処理は、約45℃~約250℃、付加的な実施形態では約50℃~約190℃、そしてさらなる実施形態では約60℃~約175℃の温度で実施され得る。暴露後加熱は、一般的に、少なくとも約0.1分間、さらなる実施形態では約0.5分間~約30分間、そして付加的な実施形態では約0.75分間~約10分間実施され得る。当業者は、上記の明確な範囲内の照射後加熱温度および時間の付加的な範囲が考慮され、本開示の範囲内に含まれることを認識するであろう。材料特性のこの高いコントラストは、以下のセクションに記載される現像後のパターンにおける鋭いラインの形成をさらに容易にする。
放射線による暴露の後、コーティング材料は、照射領域および非照射領域によりパターン化される。図1および図2を参照すると、基板102、薄膜103およびパターン化コーティング材料104を含むパターン化構造100が示されている。パターン化コーティング材料104は、照射コーティング材料の領域110、112、114、116および非照射コーティング材料の非凝縮領域118、120、122を含む。凝縮領域110、112、114、116および非凝縮領域118、120、122によって形成されたパターン化構造は、コーティング材料内の潜像を表し、潜像の現像は以下のセクションに記載される。
現像およびパターン化構造
画像の現像は、潜像を含むパターン化コーティング材料を現像剤組成物に接触させて、非照射コーティング材料を除去してネガ画像を形成するか、または照射コーティングを除去してポジ画像を形成するかのいずれかを含む。本明細書に記載されるレジスト材料を用いると、一般的には同じコーティングに基づいて適切な現像溶液を用いて、望ましい解像度を有する効果的なネガティブパターニングまたはポジティブパターニングを実施することができる。特に、照射領域は少なくとも部分的に凝縮されて金属酸化物の特性が増大され、その結果、照射材料は有機溶媒による溶解に対して耐性であり、非照射組成物は有機溶媒に可溶性のままである。凝縮したコーティング材料への言及は、材料の酸化物特性が初期材料に対して増大するという意味において少なくとも部分的な凝縮を指す。一方、非照射材料は、材料が疎水性であるために弱い塩基または酸水溶液に溶解せず、従って、ポジティブパターニングのために塩基水溶液を使用して、非照射材料を保持しながら照射材料を除去することができる。
有機安定化配位子を有するコーティング組成物は、本質的に比較的疎水性である材料を生成する。有機金属結合の少なくとも一部を破壊するための照射により、材料はより低疎水性、すなわちより親水性の材料に変化される。この特性の変化は照射コーティングと非照射コーティングとの間に顕著なコントラストを提供し、これにより、同じレジスト組成物を用いてポジ型パターニングおよびネガ型パターニングの両方を行うことができるようになる。具体的には、照射コーティング材料はある程度まで凝縮して、金属酸化物のより多い組成物になるが、著しい加熱を伴わなくても凝縮の度合いは一般的に中程度であり、従って、照射材料は比較的、従来の現像剤による現像に対して直接的である。対照的に、過酸化物安定化配位子による金属オキソ-ヒドロキソ化学に基づいた無機レジスト材料は、上記の’000号特許に記載されるように、照射の前は本質的により親水性であり、照射された過酸化物ベースのコーティングは、照射によりより著しい程度まで凝縮されることが可能であり、その結果、非照射レジストは弱い酸または塩基で除去され得るが、照射された過酸化物ベースのコーティングは金属酸化物材料と同様に現像され得る。
ネガ型画像形成に関して、図3および図4を参照すると、図1および図2に示される構造の潜像は現像剤との接触より現像されて、パターン化構造130が形成されている。画像の現像の後、基板102は、開口部132、134を通して上部表面に沿って露出される。開口部132、134、135は、それぞれ非凝縮領域118、120、122の場所に位置する。ポジ型画像形成に関して、図5および図6を参照すると、図1および図2に示される構造の潜像は現像されて、パターン化構造140が形成されている。パターン化構造140は、図3のパターン化構造130の共役像(conjugate image)を有する。パターン化構造140は、図1~図4の照射領域110、112、114、116(現像されて開口部142、144、146、148を形成する)の場所で露出された基板102を有する。非凝縮領域118、120、および122は保持される。
ネガ型画像形成の場合、現像剤は、前駆体溶液を形成するために使用される溶媒などの有機溶媒であり得る。一般に、現像剤の選択は、コーティング材料(照射および非照射の両方)に関する溶解度パラメータ、ならびに現像剤の揮発度、引火性、毒性、粘度、および他のプロセス材料との潜在的な化学的相互作用によって影響され得る。特に、適切な現像剤には、例えば、芳香族化合物(例えば、ベンゼン、キシレン、トルエン)、エステル(例えば、プロピレングリコールモノメチルエステルアセタート、酢酸エチル、乳酸エチル、酢酸n-ブチル、ブチロラクトン)、アルコール(例えば、4-メチル-2-プロパノール、1-ブタノール、イソプロパノール、アニソール)、ケトン(例えば、メチルエチルケトン、アセトン、シクロヘキサノン)、エーテル(例えば、テトラヒドロフラン、ジオキサン)などが含まれる。現像は、約5秒間~約30分間、さらなる実施形態では約8秒間~約15分間、そして付加的な実施形態では約10秒間~約10分間実施され得る。当業者は、上記の明確な範囲内の付加的な範囲が考慮され、本開示の範囲内に含まれることを認識するであろう。
ポジ型画像形成の場合、現像剤は、一般に、酸または塩基水溶液であり得る。いくつかの実施形態では、塩基水溶液は、より鋭い画像を得るために使用され得る。現像剤からの汚染を低減するために、金属原子を含まない現像剤を使用することが望ましいこともある。従って、水酸化第4級アンモニウム組成物、例えば、水酸化テトラエチルアンモニウム、水酸化テトラプロピルアンモニウム、水酸化テトラブチルアンモニウムまたはこれらの組み合わせは現像剤として望ましい。一般に、特に興味深い水酸化第4級アンモニウムは、式RNOH(式中、R=メチル基、エチル基、プロピル基、ブチル基、またはこれらの組み合わせ)で表すことができる。本明細書に記載されるコーティング材料は、一般に、ポリマーレジストのために現在一般に使用されるものと同じ現像剤、具体的には水酸化テトラメチルアンモニウム(TMAH)で現像され得る。市販のTMAHは2.38重量パーセントで利用可能であり、この濃度は、本明細書に記載される処理のために使用され得る。さらに、混合水酸化第4級テトラアルキルアンモニウムが使用され得る。一般に、現像剤は、約0.5~約30重量パーセント、さらなる実施形態では約1~約25重量パーセント、そして他の実施形態では約1.25~約20重量パーセントの水酸化テトラアルキルアンモニウムまたは同様の水酸化第4級アンモニウムを含むことができる。当業者は、上記の明確な範囲内の現像剤濃度の付加的な範囲が考慮され、本開示の範囲内に含まれることを認識するであろう。
主要な現像剤組成物に加えて、現像剤は、現像プロセスを容易にするために付加的な組成物を含むことができる。適切な添加剤には、例えば、アンモニウム、d-ブロック金属カチオン(ハフニウム、ジルコニウム、ランタンなど)、f-ブロック金属カチオン(セリウム、ルテチウムなど)、p-ブロック金属カチオン(アルミニウム、スズなど)、アルカリ金属(リチウム、ナトリウム、カリウムなど)、およびこれらの組み合わせからなる群から選択されるカチオンと、フッ素、塩素、臭素、ヨウ素、硝酸、硫酸、リン酸、ケイ酸、ホウ酸、過酸化物、ブトキシド、ギ酸、エチレンジアミン-四酢酸(EDTA)、タングステン酸、モリブデン酸など、およびこれらの組み合わせからなる群から選択されるアニオンとを有する溶解塩が含まれる。他の潜在的に有用な添加剤には、例えば、分子キレート剤、例えば、ポリアミン、アルコールアミン、アミノ酸またはこれらの組み合わせが含まれる。任意選択の添加剤が存在する場合、現像剤は、約10重量パーセント以下の添加剤、そしてさらなる実施形態では約5重量パーセント以下の添加剤を含むことができる。当業者は、上記の明確な範囲内の添加剤濃度の付加的な範囲が考慮され、本開示の範囲内であることを認識するであろう。添加剤は、コントラスト、感受性およびライン幅ラフネスを改善するように選択され得る。現像剤中の添加剤は、金属酸化物粒子の形成および沈殿も抑制し得る。
より弱い現像剤、例えばより低濃度の水性現像剤、希釈した有機現像剤または組成物(コーティングがより低い現像速度を有する)の場合、より高温の現像プロセスを使用して、プロセスの速度を増大させることができる。より強い現像液の場合、現像プロセスの温度は、現像の速度を低下させ、そして/あるいは現像の動態学を制御するために低くすることができる。一般に、現像の温度は、溶媒の揮発度に一致する適切な値の間で調整され得る。さらに、現像剤-コーティング界面付近の溶解したコーティング材料を含む現像剤は、現像の間に超音波処理により分散され得る。
現像剤は、任意の合理的な手段を用いてパターン化コーティング材料に適用され得る。例えば、現像剤は、パターン化コーティング材料上にスプレーされ得る。また、スピンコーティングが使用され得る。自動処理の場合、現像剤をコーティング材料上に静止型式で注ぐことを含むパドル法が使用され得る。所望される場合、スピンリンシング(spin rinsing)および/または乾燥が使用されて、現像プロセスを完了することができる。適切なすすぎ溶液は、例えば、ネガティブパターニングの場合には、超純水、メチルアルコール、エチルアルコール、プロピルアルコール、およびこれらの組み合わせを含み、ポジティブパターニングの場合には、超純水を含む。画像が現像された後、コーティング材料は、パターンとして基板上に配設される。
現像ステップが完了したら、コーティング材料は、材料をさらに凝縮させ、材料をさらに脱水するために熱処理され得る。この熱処理は、最終デバイスに酸化物コーティング材料が取り込まれる実施形態のために特に望ましいことがあるが、さらなるパターニングを容易にするためにコーティング材料の安定化が望ましい場合、コーティング材料がレジストとして使用されて最終的に除去されるいくつかの実施形態に対して熱処理を実施することが望ましいこともある。特に、パターン化コーティング材料のベーキングは、パターン化コーティング材料が所望のレベルのエッチング選択性を示す条件下で実施され得る。いくつかの実施形態では、パターン化コーティング材料は、約100℃~約600℃、さらなる実施形態では約175℃~約500℃、そして付加的な実施形態では約200℃~約400℃の温度まで加熱され得る。加熱は、少なくとも約1分間、他の実施形態では約2分間~約1時間、さらなる実施形態では約2.5分間~約25分間実施され得る。加熱は、空気中、真空中、またはArもしくはNなどの不活性ガス雰囲気中で実施され得る。当業者は、上記の明確な範囲内の熱処理のための温度および時間の付加的な範囲が考慮され、本開示の範囲内に含まれることを認識するであろう。
従来の有機レジストでは、構造のアスペクト比(高さを幅で割った値)が非常に大きくなると、構造はパターン崩壊の影響を受けやすい。パターン崩壊は、加工ステップに関連する力、例えば表面張力が構造要素を変形させるような高アスペクト比構造の機械的不安定性に関連し得る。低アスペクト比構造は、潜在的な変形力に関してより安定である。本明細書に記載されるパターニング可能なコーティング材料を用いると、より薄いコーティング材料層を有する構造を効果的に加工することができるため、高アスペクト比のパターン化コーティング材料を必要とせずに改善されたパターニングを達成することができる。従って、パターン化コーティング材料において高アスペクト比のフィーチャに頼ることなく非常に高解像度のフィーチャが形成されている。
得られた構造は、非常に低いライン幅ラフネスを有する、鋭いエッジを有することができる。特に、ライン幅ラフネスを低減できることに加えて、高いコントラストは、小さいフィーチャおよびフィーチャ間の空間の形成、ならびに極めて十分に解像された二次元パターン(例えば、鋭いコーナー)を形成する能力も可能にする。従って、いくつかの実施形態では、隣接する構造の隣り合った直線部分は、約60nm以下、いくつかの実施形態では約50nm以下、そしてさらなる実施形態では約40nm以下の平均ピッチを有することができる。ピッチは設計により評価され、走査型電子顕微鏡法(SEM)、例えばトップダウン画像により確認され得る。本明細書で使用される場合、ピッチは、反復構造要素の空間的周期、すなわち中心間距離を指す。パターンのフィーチャ寸法は、一般にコーナーなどから離れたところで評価されるフィーチャの平均幅に関して表すこともできる。また、フィーチャは、材料要素間のギャップおよび/または材料要素を指すこともできる。いくつかの実施形態では、平均幅は、約30nm以下、さらなる実施形態では約25nm以下、そして付加的な実施形態では約20nm以下であり得る。平均ライン幅ラフネスは、約3.0nm以下、そしてさらなる実施形態では約1.5nm~約2.5nmであり得る。ライン幅ラフネスの評価は、トップダウンSEM画像の分析により平均ライン幅からの3σ偏差を導くことによって実施される。平均は、高頻度および低頻度の両方のラフネス、すなわちそれぞれ短い相関長および長い相関長を含有する。有機レジストのライン幅ラフネスは主に長い相関長によって特徴付けられるが、本発明の無機コーティング材料は、著しく短い相関長を示す。パターン転写プロセスにおいて、短い相関ラフネスはエッチングプロセスの間に平滑化され、はるかに高い忠実性パターンを生成し得る。当業者は、上記の明確な範囲内のピッチ、平均幅およびライン幅ラフネスの付加的な範囲が考慮され、本開示の範囲内に含まれることを認識するであろう。
パターン化コーティング材料のさらなる加工
パターン化コーティング材料を形成した後、コーティング材料は、選択されたデバイスの形成を容易にするためにさらに加工され得る。さらに、構造を完成させるために、一般的にさらなる材料の付着、エッチングおよび/またはパターニングが実施され得る。コーティング材料は、最終的に除去されてもされなくてもよい。パターン化コーティング材料の品質は、いずれの場合も、改善されたデバイス(例えば、より小さい設置面積を有するデバイスなど)の形成のために前進され得る。
パターン化コーティング材料は、例えば、図3および図4に示されるように、下側の基板への開口部を形成する。従来のレジストと同様に、パターン化コーティング材料は、パターンを転写して下側の薄膜を選択的に除去するために使用され得るエッチマスクを形成する。図7を参照すると、図1における下側の薄膜103は、基板102の上に、そして凝縮領域110、112、114の下方にそれぞれフィーチャ152、154、156を残してパターン化される。従来のポリマーレジストと比較して、本明細書に記載される材料は、著しく大きいエッチング耐性を提供することができる。図5および図6に示されるマスクパターンを用いて同様の加工を行うことができ、代替のマスクパターンから直接得られるパターン化構造はそれに応じて変化する。
代替的にまたは付加的に、マスクパターンに従うさらなる材料の付着は、下側の構造の特性を変更させ、そして/あるいは下側の構造との接触を提供することができる。さらなるコーティング材料は、所望の材料特性に基づいて選択することができる。加えて、パターン化無機コーティング材料の密度が、高い注入耐性を提供することができるので、マスクの開口部を介してイオンが下側の構造選択的に注入され得る。いくつかの実施形態では、さらに付着される材料は、誘電体、半導体、導体または他の適切な材料であり得る。さらに付着される材料は、適切な手段、例えば、溶液ベースの手順、化学蒸着(CVD)、スパッタリング、物理蒸着(PVD)、または他の適切な手段を用いて付着され得る。
一般に、複数の付加的な層が付着され得る。複数の層の付着に関連して、付加的なパターニングが実施され得る。所望される場合には、付加的な量の本明細書に記載されるコーティング材料、ポリマーベースのレジスト、他のパターニング手段またはこれらの組み合わせを用いて任意の付加的なパターニングが実施され得る。
上記のように、パターニングの後のコーティング(レジスト)材料の層は、除去されてもされなくてもよい。層が除去されない場合、パターン化コーティング(レジスト)材料は構造内に組み込まれる。パターン化コーティング(レジスト)材料が構造内に取り込まれる実施形態の場合、コーティング(レジスト)材料の特性は、所望のパターニング特性だけでなく、構造内の材料の特性も提供するように選択され得る。
パターン化コーティング材料の除去が所望される場合、コーティング材料は、従来のレジストとしての機能を果たす。パターン化コーティング材料は、レジスト/コーティング材料を除去する前に、後で付着される材料をパターニングするため、および/または凝縮コーティング材料内の空間を介して基板を選択的にエッチングするために使用される。凝縮コーティング材料は、適切なエッチングプロセスを用いて除去することができる。具体的には、凝縮コーティング材料を除去するために、例えば、BClプラズマ、Clプラズマ、HBrプラズマ、Arプラズマまたは他の適切なプロセスガスによるプラズマを用いてドライエッチングが実施され得る。代替的または付加的に、パターン化コーティング材料を除去するために、例えば、酸または塩基水溶液、HF(水)、または緩衝HF(水)/NHFまたはシュウ酸などを用いるウェットエッチングが使用され得る。図8を参照すると、図8の構造は、コーティング材料を除去した後に示される。エッチングされた構造150は、基板102およびフィーチャ152、154、156を含む。
金属オキソ/ヒドロキソベースのコーティング材料は、P.Zimmerman,J.Photopolym.Sci.Technol.,Vol.22,No.5,2009,p.625において従来のレジストについて一般的に記載されるように、熱凍結プロセスを用いて多重パターニングを実施するために特に便利である。「熱凍結」によるダブルパターニングプロセスは、図9において概説される。第1のステップでは、図3および図4に関して記載されるように、リソグラフィプロセスおよび現像を用いてコーティング材料が基板162上のパターン160に形成される。加熱ステップ164を実施して、溶媒を除去し、コーティング材料を凝縮させるが、これは、完全な酸化物の形成を伴っていてもいなくてもよい。この加熱ステップは、上記の現像セクションに記載される現像後の加熱ステップと同等である。この「熱凍結」プロセスは、その後のコーティング材料の第2の層の付着に対してコーティング材料を不溶性にする。第2のリソグラフィおよび現像ステップ166は、基板162上にダブルパターン化構造168を形成するために実施される。エッチングステップ170の後、産物のダブルパターン化構造172が形成される。このプロセスを多重コーティングおよびパターンニングステップに拡張することは容易であり、このような拡張が考慮され、本開示の範囲内に含まれることに注目されたい。多重パターニングに関して、本明細書に記載される無機コーティング材料と従来の有機レジストとの間の顕著な違いは、加熱ベーキングの後でも有機レジストが従来のレジストキャスティング溶媒中に可溶性のままなことである。本明細書に記載されるレジスト材料は加熱ベーキングにより凝縮されることが可能であり、その結果、有機溶媒に可溶性ではなく、その後のコーティング層を適用することができる。
実施例1 - 前駆体溶液の調製
この実施例は、スズベースの有機金属組成物を付着して放射線レジストコーティングを形成するための前駆体溶液の調製について記載された。
0.209gのモノブチルスズオキシド水和物(BuSnOOH)粉末(TCI America)を10mLの4-メチル-2-ペンタノールに添加することによってレジスト前駆体溶液を調製した。溶液を閉鎖バイアルに入れ、24時間攪拌させた。得られた混合物を4000rpmで15分間遠心分離し、0.45μmのPTFEシリンジフィルタによりろ過し、不溶性材料を除去した。溶媒の蒸発および600℃におけるこのサンプルのか焼により、SnO残留質量に基づいて0.093Mであるスズ濃度が明らかになった。Moebius装置(Wyatt Technology)による前駆体溶液の動的光散乱(DLS)分析(図10Aおよび図10B)は約2nmの平均直径を有する粒子の単峰性分布と一致し、12量体ブチルスズヒドロキシドオキシド多原子カチオンについて報告された直径(Eychenne-Baron et al.,Organometallics,19,1940-1949(2000))と一致する。従って、結果は、非水系溶液内でのクラスタ形成と一致する。
実施例2 - レジストコーティング、膜処理、ネガ型画像形成
この実施例は、電子ビーム暴露または極紫外線暴露に基づいて、ネガ型画像形成に基づくレジストパターンの形成を実証する。
天然の酸化物表面を有するシリコンウェハ(25×25平方mm)を薄膜付着のための基板として使用した。付着の前に紫外線オゾン洗浄システムにおいて10分間サイクルでSi基板を処理した。次に、実施例1からのレジスト前駆体溶液を、4500rpmで30秒間基板上にスピンコーティングし、ホットプレート上で100℃において2分間ベーキングして、残留溶媒を除去した。コーティングおよびベーキング後の膜厚は、偏光解析法により、約22nmであると測定した。
レジスト膜で被覆された第1の基板を、1100μC/cmの線量でパターンを形成するためにラスター走査された(rastered)30kVの電子ビームに暴露した。次に、パターン化レジストおよび基板に、150℃で2分間暴露後ベーキング(PEB)を行った。次に、暴露した膜を極性有機溶媒に30秒間浸漬し、DI HOですすぎ、コーティングの非暴露部分が除去されたネガ型画像を形成した。最後に、200℃で5分間のホットプレートベーキングを現像後に実施した。図11A~図11Dは、4-メチル-2-ペンタノール(A)、乳酸エチル(B)、プロピレングリコールモノメチルエーテル(PGMEA)(C)、および酢酸n-ブチル(D)中で現像したレジスト膜中の36nmピッチにおける18nmラインのSEM画像を示す。
同一の前駆体溶液を用いて別の基板を作製し、コーティング/ベーキングプロセスを使用した後、高解像度パターニングのために同様に適している極紫外線へ暴露した。それぞれ44および36nmピッチにおける22および18nmラインのパターンを、13.5nm波長および101mJ/cmのイメージング線量で動作する、0.3のアパーチャを有する投影を用いてレジスト上で暴露した。2分間の165℃のホットプレートPEBの後、膜をPGMEA中での浸漬により現像し、DI HOですすぎ、そして最後に200℃で5分間ベーキングした。十分に解像されたライン-空間パターンのネガティブ画像は図12Aおよび図12Bに示される。
極性変化を誘発する放射線暴露の際に生成される化学的なコントラストは現像率コントラストにおいて明らかになり、レジストの画像形成性能は、フーリエ変換赤外(FTIR)分光法を用いて明白に示される。テトラヒドロフラン(THF)溶媒から非ドープシリコンウェハ上にスピンコーティングされたブチルスズヒドロキシドオキシドレジスト膜の透過モードのFTIRスペクトルを、30kV電子ビームにより暴露線量の関数として集めた。2800~2900cm-1からのアルキルC-H伸縮モードに相当するいくつかの吸収ピークの分析は、アルキル配位子濃度の一貫した低下を線量の関数として示す(図13)。
実施例3 - ポジ型画像形成
この実施例は、実施例1からのレジスト溶液を用いて、ポジ型画像の形成を実証した。
実施例1からの同一の前駆体溶液から付着させたレジスト膜で別の基板を被覆し、ホットプレート上で150℃において2分間ベーキングした。レジストコーティングを有するベースのウェハを、511μC/cmの線量を有する30kVの電子ビームにより暴露し、その後、150℃で2分間の暴露後ベーキングを行った。ポジ型画像形成は、暴露したレジスト膜を塩基水溶液中、例えば2.38%の水酸化テトラメチルアンモニウム(TMAH)中で現像することによって達成した。2.38%のTMAH中の浸漬により、暴露レジストがエッチングされ、図14のSEM画像に示される30nm(60nmピッチ)ラインが現像された。
実施例4 - レジスト安定性
この実施例は、レジスト前駆体溶液およびエージング後の被覆膜の一貫した画像形成性能によってレジスト前駆体の安定性を実証する。
実施例1において既に記載したように調製したレジスト前駆体溶液をスピンコーティングにより一対のウェハ基板に塗布し、これをホットプレート上で100℃において2分間ベーキングした。前駆体溶液の一部を密封バイアル内に保持し、大気環境下、制御されない室温(20~30℃)で被覆基板の一方(第1の基板)と共に暗所において貯蔵した。コーティングの後すぐに、30kV電子ビームにより、レジスト膜を有する第2の基板を続いてパターン化し、150℃で2分間ベーキングし、PGMEA中で30秒間現像し、すすぎ、200℃で2分間ハードベーキングした。得られたパターン化第2の基板のSEM画像は図15Aに示される。この暴露および現像プロセスを、39日後に、貯蔵したレジスト膜を有する第1の基板において繰り返した。パターン化第1の基板のSEM画像は図15Bに示される。同様に、元の前駆体溶液の保持された部分を、39日間の貯蔵後の同じ日に使用して、第3のウェハ基板をレジスト膜で被覆し、これを直ちに、最初の2つの基板と同じに処理、暴露、および現像した。パターン化第3の基板のSEM画像は図15Cに示される。同じ36nmピッチおよび18nmライン幅における3つのレジスト膜の画像形成性能は、機能的に同一であり、39日の期間にわたって、被覆レジスト膜または前駆体溶液のエージング後に、画像忠実度、LWR、または感受性において観察可能な低下はないことが分かる。
実施例5 - 有機配位子の選択に基づいた放射線感受性の調節
この実施例は、適切な有機配位子を選択することによってレジストの放射線感受性の調節が観察されることを実証する。
ジビニルスズ二塩化物(Alpha Aesar)を0.1Mの濃度までPGMEAに溶解させた。15mL量のこの溶液を分液漏斗に入れ、これに7.31mLの0.4MのNaOH(水)を添加した。NaOH添加の直後に、容器を約1分間十分に振とうさせ、得られたエマルションを約24時間分離させた。この期間中に、2つの媒体間の相界面で形成された軽い凝集物は消失することが観察され、2つの透明な相が得られた。下側の水相を分液漏斗から除去し、上側のPGMEA相を4Aモレキュラーシーブ(Mallinkrodt、グレード514)上で約5分間振とうさせて、残留水を除去した。ふるい分けした組成物のアリコートの溶媒の蒸発およびか焼により、残留質量SnOに基づいてスズ濃度が0.1Mであることが明らかになった。
分離およびふるい分けの後の上記のPGMEA中のジビニルスズ水酸化物溶液を、シリコンウェハ上に1250rpmで直接スピンキャストし、100℃のホットプレートベーキングを2分間行った。ベーキング後の偏光解析測定は、約16nmのレジスト膜厚を示した。30kV電子ビームにより75μC/cmの線量でレジスト膜を暴露した後、100℃で第2のホットプレートベーキングを行い、PGMEA中で現像した。100nmピッチにおけるネガ型ライン/空間パターンのSEM画像は図16に示される。図16を生成するために使用される電子ビームの線量は、実施例2および3で使用したよりも著しく小さかったことに注意されたい。
線量の関数として非ドープシリコンウェハ上に酢酸エチル溶媒から付着された同様のレジスト膜において透過モードのFTIRスペクトルを集めた。電子ビーム線量の関数としての相対的なIR吸収は図17にプロットされる。約30551/cmを中心とするビニルC-H伸縮に一致する吸収ピークの面積の分析に基づいて、面積の減少は、暴露の際にスズ配位子としてビニル基が損失されることを示し、これは、図13に示されるブチルスズヒドロキシドオキシドの場合のアルキル配位子の損失よりも、線量に関してはるかに急速かつ包括的である。
実施例6 - 有機スズオキソ-カルボキシラートレジスト
この実施例は、スズイオンに対してアルキルおよびカルボキシラート配位子を用いて形成された放射線レジストの有効性を実証する。
ジブチルスズジアセタート(Alfa-Aesar)をn-プロパノール中に0.025Mの最終濃度に溶解させることによって、レジスト前駆体溶液を調製した。次に、溶液を0.45μmのPTFEシリンジフィルタによりろ過し、3000rpmで30秒間回転されるシリコンウェア基板上にスピンキャストした。次に被覆膜を60℃で2分間ベーキングし、残留溶媒を除去した。キャスティングおよびベーキングの間、ジブチルスズジアセタートは大気水によって部分的に加水分解され、揮発性分子の液体カルボキシラートから固体有機スズオキソカルボキシラートに変換される。偏光解析測定は約22nmのレジスト膜厚を示した。
続いて1500μC/cmの線量でパターンを形成するためにラスター走査された30kV電子ビームに対するその後の膜の暴露の後、60℃のホットプレートPEBを行い、PGMEA中に30秒間浸漬して、非暴露材料のエッチングによりパターンを現像した。SEM画像形成の前に、最後に100℃で5分間のハードベーキングを実施した。図18は、得られたネガ型ライン/スペースパターンの32nmピッチにける電子顕微鏡写真を含有する。
電子ビームによる放射線暴露の際の上記材料における化学的なコントラストの生成は、図19に示されるように、透過FTIR分光法によって観察され得る。30kV電子ビーム(800μC/cm)への暴露の前および後に、非ドープシリコンウェハ上に付着させて50℃で2分間ベーキングした有機スズオキソ-カルボキシラート薄膜の透過スペクトルを集めた。簡単な有機スズオキソヒドロキシドと同様に、炭化水素C-H伸縮および変角モードに帰属される吸収ピーク(2957、2924、2858、1391、および1331cm-1)の激しい低下が観察され、暴露時のアルキル配位子の損失が確認された。また、暴露時のカルボキシラート配位子の分解に帰属される1605cm-1を中心とする吸光度の著しい低下と、それに伴うカルボニルC=O吸収の低減は容易に明らかであり、カルボキシラートに特有である。
上記の実施形態は例示的なものであり、限定されることは意図されない。付加的な実施形態は特許請求の範囲の範囲内である。さらに、本発明は特定の実施形態に関して記載されたが、当業者は、本発明の趣旨および範囲から逸脱することなく形態および詳細の変化がなされ得ることを認識するであろう。上記文献の参照による任意の援用は、本明細書中の明確な開示に反する主題が決して援用されないように限定される。

Claims (6)

  1. 放射線パターニング可能な無機レジストのための前駆体溶液であって、
    有機液体と、放射線感受性の金属炭素結合を有する分岐アルキル配位子を有する0.01M~1.4Mの金属濃度の金属多核オキソおよび/またはヒドロキソカチオンとを含み、前記金属多核オキソおよび/またはヒドロキソカチオンは、1つ若しくは複数のM-OH結合、M-O-M結合またはそれらの組合せ(Mは金属原子を表す)を有し、Mがスズ、インジウム、アンチモン、ゲルマニウムまたはそれらの組合せを含む、前駆体溶液。
  2. 前記有機液体がアルコールを含む、請求項1に記載の前駆体溶液。
  3. 前記有機液体がエステルまたはケトンを含む、請求項1に記載の前駆体溶液。
  4. 前記分岐アルキル配位子がt-ブチル配位子を含む、請求項1に記載の前駆体溶液。
  5. 前記金属多核オキソおよび/またはヒドロキソカチオンがM-OH結合M-O-M結合との双方を有する、請求項1に記載の前駆体溶液。
  6. 前記がスズである、請求項1に記載の前駆体溶液。
JP2020195146A 2013-08-22 2020-11-25 有機金属溶液に基づいた高解像度パターニング組成物 Active JP7095060B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2022100501A JP7404448B2 (ja) 2013-08-22 2022-06-22 有機金属溶液に基づいた高解像度パターニング組成物
JP2023210207A JP2024026361A (ja) 2013-08-22 2023-12-13 有機金属溶液に基づいた高解像度パターニング組成物

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/973,098 2013-08-22
US13/973,098 US9310684B2 (en) 2013-08-22 2013-08-22 Organometallic solution based high resolution patterning compositions

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2019026557A Division JP6801027B2 (ja) 2013-08-22 2019-02-18 有機金属溶液に基づいた高解像度パターニング組成物

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022100501A Division JP7404448B2 (ja) 2013-08-22 2022-06-22 有機金属溶液に基づいた高解像度パターニング組成物

Publications (2)

Publication Number Publication Date
JP2021047426A JP2021047426A (ja) 2021-03-25
JP7095060B2 true JP7095060B2 (ja) 2022-07-04

Family

ID=52480669

Family Applications (5)

Application Number Title Priority Date Filing Date
JP2016536100A Active JP6484631B2 (ja) 2013-08-22 2014-07-25 有機金属溶液に基づいた高解像度パターニング組成物
JP2019026557A Active JP6801027B2 (ja) 2013-08-22 2019-02-18 有機金属溶液に基づいた高解像度パターニング組成物
JP2020195146A Active JP7095060B2 (ja) 2013-08-22 2020-11-25 有機金属溶液に基づいた高解像度パターニング組成物
JP2022100501A Active JP7404448B2 (ja) 2013-08-22 2022-06-22 有機金属溶液に基づいた高解像度パターニング組成物
JP2023210207A Pending JP2024026361A (ja) 2013-08-22 2023-12-13 有機金属溶液に基づいた高解像度パターニング組成物

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2016536100A Active JP6484631B2 (ja) 2013-08-22 2014-07-25 有機金属溶液に基づいた高解像度パターニング組成物
JP2019026557A Active JP6801027B2 (ja) 2013-08-22 2019-02-18 有機金属溶液に基づいた高解像度パターニング組成物

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2022100501A Active JP7404448B2 (ja) 2013-08-22 2022-06-22 有機金属溶液に基づいた高解像度パターニング組成物
JP2023210207A Pending JP2024026361A (ja) 2013-08-22 2023-12-13 有機金属溶液に基づいた高解像度パターニング組成物

Country Status (6)

Country Link
US (8) US9310684B2 (ja)
JP (5) JP6484631B2 (ja)
KR (7) KR102029641B1 (ja)
CN (2) CN105579906B (ja)
TW (6) TWI781843B (ja)
WO (1) WO2015026482A2 (ja)

Families Citing this family (303)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
GB201413924D0 (en) * 2014-08-06 2014-09-17 Univ Manchester Electron beam resist composition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102319630B1 (ko) 2014-10-23 2021-10-29 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
GB201517273D0 (en) * 2015-09-30 2015-11-11 Univ Manchester Resist composition
KR102204773B1 (ko) * 2015-10-13 2021-01-18 인프리아 코포레이션 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6742748B2 (ja) * 2016-02-17 2020-08-19 株式会社Screenホールディングス 現像ユニット、基板処理装置、現像方法および基板処理方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10649328B2 (en) * 2016-03-11 2020-05-12 Inpria Corporation Pre-patterned lithography templates, processes based on radiation patterning using the templates and processes to form the templates
EP3435159A4 (en) * 2016-03-24 2019-04-10 Fujifilm Corporation ACTINIC OR RADIATION SENSITIVE COMPOSITION, PURIFICATION METHOD AND METHOD OF MANUFACTURING THE SAME, PATTERN FORMATION METHOD, AND ELECTRONIC DEVICE MANUFACTURING METHOD
KR20180104745A (ko) * 2016-03-24 2018-09-21 후지필름 가부시키가이샤 감활성광선성 또는 감방사선성 조성물, 감활성광선성 또는 감방사선성 조성물의 제조 방법, 패턴 형성 방법, 및 전자 디바이스의 제조 방법
JP6796635B2 (ja) * 2016-03-24 2020-12-09 富士フイルム株式会社 感活性光線性又は感放射線性組成物、感活性光線性又は感放射線性組成物の精製方法、パターン形成方法、及び電子デバイスの製造方法
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
JP6969889B2 (ja) * 2016-05-13 2021-11-24 住友化学株式会社 レジスト組成物及びレジストパターンの製造方法
WO2017198418A1 (en) * 2016-05-19 2017-11-23 Asml Netherlands B.V. Resist compositions
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
WO2018004646A1 (en) * 2016-07-01 2018-01-04 Intel Corporation Metal oxide resist materials
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
TWI759147B (zh) * 2016-08-12 2022-03-21 美商因普利亞公司 減少邊緣珠區域中來自含金屬光阻劑之金屬殘留物的方法
WO2018063402A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Metal oxide nanoparticles as fillable hardmask materials
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
JP6713910B2 (ja) * 2016-11-11 2020-06-24 株式会社Screenホールディングス 現像装置、基板処理装置、現像方法および基板処理方法
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6994828B2 (ja) * 2016-11-22 2022-02-04 東京エレクトロン株式会社 パターン形成方法
JP6781031B2 (ja) * 2016-12-08 2020-11-04 東京エレクトロン株式会社 基板処理方法及び熱処理装置
JP6955073B2 (ja) * 2016-12-08 2021-10-27 東京エレクトロン株式会社 熱処理方法及び熱処理装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
EP3564752A4 (en) * 2016-12-28 2020-08-26 JSR Corporation RADIATION-SENSITIVE COMPOSITION, STRUCTURE FORMATION PROCESS AND METAL OXIDE
EP3564751A4 (en) 2016-12-28 2020-10-14 JSR Corporation RADIATION SENSITIVE COMPOSITION, PATTERN FORMING PROCESS, METAL-CONTAINING RESIN AND ASSOCIATED MANUFACTURING PROCESS
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
KR20190103229A (ko) * 2017-01-26 2019-09-04 제이에스알 가부시끼가이샤 감방사선성 조성물 및 패턴 형성 방법
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
EP3367428A1 (en) 2017-02-23 2018-08-29 IMEC vzw Method for blocking a trench portion during patterning of trenches in a dielectric material, and corresponding semiconductor structure
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10741410B2 (en) * 2017-04-28 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
WO2019093145A1 (ja) * 2017-11-08 2019-05-16 Jsr株式会社 レジスト膜形成用組成物及びレジストパターン形成方法
US11098070B2 (en) 2017-11-20 2021-08-24 Inpria Corporation Organotin clusters, solutions of organotin clusters, and application to high resolution patterning
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102226068B1 (ko) * 2017-12-19 2021-03-09 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
CN108344698B (zh) * 2018-02-24 2020-08-25 哈尔滨工业大学 基于电磁第一性原理反演粗糙表面光学常数的椭偏方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TW202348612A (zh) 2018-04-05 2023-12-16 美商英培雅股份有限公司 包含錫化合物的組合物及其應用
US11673903B2 (en) 2018-04-11 2023-06-13 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US10787466B2 (en) 2018-04-11 2020-09-29 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US10381481B1 (en) 2018-04-27 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer photoresist
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
WO2019217749A1 (en) * 2018-05-11 2019-11-14 Lam Research Corporation Methods for making euv patternable hard masks
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11972948B2 (en) 2018-06-13 2024-04-30 Brewer Science, Inc. Adhesion layers for EUV lithography
TW202404985A (zh) 2018-06-21 2024-02-01 美商英培雅股份有限公司 包含溶劑與單烷基錫三烷氧化物之混合物的溶液
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10838304B2 (en) * 2018-08-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Priming material for organometallic resist
KR102287507B1 (ko) * 2018-08-16 2021-08-09 삼성에스디아이 주식회사 하드마스크 조성물 및 패턴 형성 방법
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
TW202016279A (zh) * 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
JP6950662B2 (ja) 2018-10-30 2021-10-13 信越化学工業株式会社 基板保護膜形成用材料及びパターン形成方法
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR20210095218A (ko) * 2018-12-20 2021-07-30 램 리써치 코포레이션 레지스트들의 건식 현상 (dry development)
KR102296818B1 (ko) * 2018-12-26 2021-08-31 삼성에스디아이 주식회사 반도체 레지스트용 조성물, 및 이를 이용한 패턴 형성 방법
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11498934B2 (en) 2019-01-30 2022-11-15 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with particulate contamination and corresponding methods
US11966158B2 (en) 2019-01-30 2024-04-23 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with low metal contamination and/or particulate contamination, and corresponding methods
KR102385745B1 (ko) * 2019-02-01 2022-04-11 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7208813B2 (ja) * 2019-02-08 2023-01-19 東京エレクトロン株式会社 基板処理装置及び基板処理方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11327398B2 (en) 2019-04-30 2022-05-10 Samsung Electronics Co., Ltd. Photoresist compositions and methods for fabricating semiconductor devices using the same
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US20210011383A1 (en) * 2019-07-12 2021-01-14 Inpria Corporation Stabilized interfaces of inorganic radiation patterning compositions on substrates
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
EP4004649A4 (en) 2019-07-22 2023-03-29 Inpria Corporation ORGANOMETALLIC METAL CHALCOGENIDE AGGREGATES AND APPLICATION TO LITHOGRAPHY
JP7359680B2 (ja) 2019-07-22 2023-10-11 東京エレクトロン株式会社 熱処理装置及び処理方法
CN112289701A (zh) 2019-07-22 2021-01-29 东京毅力科创株式会社 热处理装置和热处理方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
JP7149241B2 (ja) 2019-08-26 2022-10-06 信越化学工業株式会社 レジスト材料及びパターン形成方法
US11681221B2 (en) 2019-08-28 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photoresist with low-activation-energy ligands or high-developer-solubility ligands
DE102019133965A1 (de) * 2019-08-28 2021-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Euv-fotoresist mit liganden mit niedriger aktivierungsenergie oder liganden mit hoher entwicklerlöslichkeit
JP7264771B2 (ja) 2019-08-30 2023-04-25 信越化学工業株式会社 レジスト材料及びパターン形成方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20220076498A (ko) * 2019-10-08 2022-06-08 램 리써치 코포레이션 Cvd euv 레지스트 막들의 포지티브 톤 현상 (positive tone development)
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR102431292B1 (ko) * 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
WO2021178302A1 (en) * 2020-03-02 2021-09-10 Inpria Corporation Process environment for inorganic resist patterning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202147454A (zh) 2020-03-24 2021-12-16 日商東京威力科創股份有限公司 熱處理裝置及熱處理方法
US11822237B2 (en) 2020-03-30 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US11705332B2 (en) 2020-03-30 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US20230152701A1 (en) * 2020-03-30 2023-05-18 Lam Research Corporation Structure and method to achieve positive tone dry develop by a hermetic overlayer
US11784046B2 (en) 2020-03-30 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
US11886116B2 (en) 2020-05-06 2024-01-30 Inpria Corporation Multiple patterning with organometallic photopatternable layers with intermediate freeze steps
US11776811B2 (en) 2020-05-12 2023-10-03 Applied Materials, Inc. Selective deposition of carbon on photoresist layer for lithography applications
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11942322B2 (en) * 2020-05-22 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices and pattern formation method
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20220002869A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Vapor phase photoresists deposition
US20220004105A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Dry develop process of photoresist
US20220005687A1 (en) * 2020-07-02 2022-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method
WO2022006501A1 (en) 2020-07-03 2022-01-06 Entegris, Inc. Process for preparing organotin compounds
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
JP7158549B2 (ja) * 2020-10-15 2022-10-21 東京エレクトロン株式会社 基板処理方法、基板処理システム及びコンピュータ読み取り可能な記憶媒体
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US20220163889A1 (en) * 2020-11-20 2022-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Metallic photoresist patterning and defect improvement
JP2022086528A (ja) * 2020-11-30 2022-06-09 東京応化工業株式会社 レジスト組成物及びレジストパターン形成方法
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US20220199406A1 (en) * 2020-12-17 2022-06-23 Applied Materials, Inc. Vapor deposition of carbon-doped metal oxides for use as photoresists
KR20220088011A (ko) * 2020-12-18 2022-06-27 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물, 이의 제조 방법 및 이를 이용한 패턴 형성 방법
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220197146A1 (en) * 2020-12-22 2022-06-23 Applied Materials, Inc. Photoresists by physical vapor deposition
US11685752B2 (en) * 2021-01-28 2023-06-27 Entegris, Inc. Process for preparing organotin compounds
US20220262625A1 (en) * 2021-02-18 2022-08-18 Applied Materials, Inc. Chemical vapor condensation deposition of photoresist films
US20220269169A1 (en) 2021-02-19 2022-08-25 Inpria Corporation Organometallic radiation patternable coatings with low defectivity and corresponding methods
US20220308453A1 (en) * 2021-03-24 2022-09-29 Applied Materials, Inc. Oxidation treatment for positive tone photoresist films
JPWO2022209950A1 (ja) * 2021-03-31 2022-10-06
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
KR20240012409A (ko) * 2021-05-25 2024-01-29 도쿄엘렉트론가부시키가이샤 극자외선 패터닝을 위한 유기금속 막
EP4095604A1 (en) * 2021-05-28 2022-11-30 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Hybrid photoresist composition for extreme ultraviolet photolithography applications
KR20240050299A (ko) 2021-09-06 2024-04-18 도쿄엘렉트론가부시키가이샤 열처리 장치, 열처리 방법 및 기억 매체
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230154750A1 (en) * 2021-11-12 2023-05-18 Taiwan Semiconductor Manufacturing Co.,Ltd. Photoresist and Method
WO2023114730A1 (en) * 2021-12-16 2023-06-22 Lam Research Corporation Aqueous acid development or treatment of organometallic photoresist
JP2023094359A (ja) 2021-12-23 2023-07-05 信越化学工業株式会社 密着膜形成材料、パターン形成方法、及び密着膜の形成方法
WO2023225046A1 (en) * 2022-05-18 2023-11-23 Inpria Corporation Radiation sensitive organotin compositions having oxygen heteroatoms in hydrocarbyl ligand
KR20240018108A (ko) 2022-08-02 2024-02-13 석찬휘 전동 스쿠터 단속기
JP2024027460A (ja) 2022-08-17 2024-03-01 信越化学工業株式会社 パターン形成方法
JP2024027459A (ja) 2022-08-17 2024-03-01 信越化学工業株式会社 密着膜形成用組成物、パターン形成方法、及び密着膜の形成方法
IL305619A (en) 2022-09-14 2024-04-01 Shinetsu Chemical Co Compound for forming a metal-containing layer, composition for forming a metal-containing layer, printing method, and semiconductor masking sensitizer
WO2024085016A1 (ja) * 2022-10-20 2024-04-25 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009058632A (ja) 2007-08-30 2009-03-19 Fujitsu Ltd 液浸露光用レジスト組成物、及びそれを用いた半導体装置の製造方法
JP2011215205A (ja) 2010-03-31 2011-10-27 Toray Ind Inc 湿し水不要平版印刷版の製造方法

Family Cites Families (121)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3385915A (en) 1966-09-02 1968-05-28 Union Carbide Corp Process for producing metal oxide fibers, textiles and shapes
US4014858A (en) 1975-05-12 1977-03-29 Standard Oil Company Polybutylene terephthalate
US4174346A (en) 1976-01-30 1979-11-13 Albright & Wilson Limited Process for preparing organotin compounds
JPS5331761A (en) 1976-09-07 1978-03-25 Toray Silicone Co Ltd Thermosetting silicone resin composition
US4104292A (en) 1976-11-02 1978-08-01 M&T Chemicals Inc. Method for preparing organotin compounds
US4102683A (en) 1977-02-10 1978-07-25 Rca Corp. Nonreflecting photoresist process
JPS6019610B2 (ja) * 1979-12-14 1985-05-17 株式会社日立製作所 透明導電膜形成法
US4380559A (en) 1980-09-25 1983-04-19 Murata Manufacturing Co., Ltd. Method for producing boundary layer semiconductor ceramic capacitors
JPS57123126A (en) 1981-01-23 1982-07-31 Adeka Argus Chem Co Ltd Stabilized allyl chloride composition
US4370405A (en) 1981-03-30 1983-01-25 Hewlett-Packard Company Multilayer photoresist process utilizing an absorbant dye
DE3366408D1 (en) * 1982-05-19 1986-10-30 Ciba Geigy Ag Photopolymerisation with organometal salts
US4910122A (en) 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4639208A (en) 1984-04-03 1987-01-27 Matsushita Electric Industrial Co., Ltd. Pulse combustion apparatus with a plurality of pulse burners
US4601917A (en) 1985-02-26 1986-07-22 M&T Chemicals Inc. Liquid coating composition for producing high quality, high performance fluorine-doped tin oxide coatings
US5025094A (en) 1985-07-10 1991-06-18 Union Carbide Chemicals And Plastics Technology Corporation Heterogeneous alkoxylation using anion-bound metal oxides
US4732841A (en) 1986-03-24 1988-03-22 Fairchild Semiconductor Corporation Tri-level resist process for fine resolution photolithography
US4827137A (en) 1986-04-28 1989-05-02 Applied Electron Corporation Soft vacuum electron beam patterning apparatus and process
DE3738634C2 (de) 1986-11-13 1996-11-14 Sunstar Engineering Inc Epoxyharzmasse mit darin dispergierten Siliconharzteilchen
JPH07733B2 (ja) 1986-11-13 1995-01-11 サンスタ−技研株式会社 エポキシ樹脂組成物
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH03148659A (ja) 1989-11-06 1991-06-25 Fujitsu Ltd 電離放射線感応性ネガ型レジスト材料組成物
JP2606652B2 (ja) * 1993-08-17 1997-05-07 日本電気株式会社 珪素含有高分子化合物及びそれを用いたレジスト材料
US5672243A (en) 1995-11-28 1997-09-30 Mosel Vitelic, Inc. Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide
JPH10237078A (ja) 1996-10-14 1998-09-08 Dainippon Printing Co Ltd 金属錯体溶液、感光性金属錯体溶液及び金属酸化物膜の形成方法
WO2002057812A2 (en) 2001-01-17 2002-07-25 Neophotonics Corporation Optical materials with selected index-of-refraction
US6183716B1 (en) 1997-07-30 2001-02-06 State Of Oregon Acting By And Through The State Board Of Higher Education Of Behalf Of Oregon State University Solution method for making molybdate and tungstate negative thermal expansion materials and compounds made by the method
US6060380A (en) 1998-11-06 2000-05-09 Advanced Micro Devices, Inc. Antireflective siliconoxynitride hardmask layer used during etching processes in integrated circuit fabrication
US6020269A (en) 1998-12-02 2000-02-01 Advanced Micro Devices, Inc. Ultra-thin resist and nitride/oxide hard mask for metal etch
US6287951B1 (en) 1998-12-07 2001-09-11 Motorola Inc. Process for forming a combination hardmask and antireflective layer
US6194323B1 (en) 1998-12-16 2001-02-27 Lucent Technologies Inc. Deep sub-micron metal etch with in-situ hard mask etch
US6268457B1 (en) 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6197896B1 (en) 1999-07-12 2001-03-06 International Business Machines Corporation Graft polymers and use thereof
EP1094506A3 (en) 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
JP2001194780A (ja) * 2000-01-11 2001-07-19 Nippon Sheet Glass Co Ltd パターン膜被覆物品の製造方法および感光性組成物
US6696363B2 (en) * 2000-06-06 2004-02-24 Ekc Technology, Inc. Method of and apparatus for substrate pre-treatment
EP1305824A4 (en) * 2000-06-06 2007-07-25 Univ Fraser Simon METHOD FOR MANUFACTURING ELECTRONIC MATERIALS
US7074640B2 (en) * 2000-06-06 2006-07-11 Simon Fraser University Method of making barrier layers
US6420088B1 (en) 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
JP2002015631A (ja) 2000-06-29 2002-01-18 Sumitomo Osaka Cement Co Ltd 感光性透明導電膜形成用塗布液、パターン化された透明導電膜および該透明導電膜の製造方法
WO2002054416A1 (fr) 2000-12-28 2002-07-11 Nissan Chemical Industries, Ltd. Procede de modelage des contours d'une couche d'oxyde d'etain electro-conductrice
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
KR20030057133A (ko) 2001-12-28 2003-07-04 삼성전자주식회사 금속 패턴 형성용 유기금속 전구체 및 이를 이용한 금속패턴 형성방법
KR20030059872A (ko) * 2002-01-03 2003-07-12 삼성전자주식회사 금속 또는 금속산화물 미세 패턴의 제조방법
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6946677B2 (en) 2002-06-14 2005-09-20 Nokia Corporation Pre-patterned substrate for organic thin film transistor structures and circuits and related method for making same
JP2004051672A (ja) 2002-07-16 2004-02-19 Nippon Shokubai Co Ltd ポリイミド微粒子およびその用途
JP2004172272A (ja) * 2002-11-19 2004-06-17 Nikon Corp Euv露光装置及びeuv露光方法
KR100520961B1 (ko) 2003-05-30 2005-10-17 엘지전자 주식회사 인쇄회로기판의 제조방법
US6927108B2 (en) 2003-07-09 2005-08-09 Hewlett-Packard Development Company, L.P. Solution-processed thin film transistor formation method
DE10345455A1 (de) 2003-09-30 2005-05-04 Infineon Technologies Ag Verfahren zum Erzeugen einer Hartmaske und Hartmasken-Anordnung
US7071121B2 (en) 2003-10-28 2006-07-04 Hewlett-Packard Development Company, L.P. Patterned ceramic films and method for producing the same
US7001821B2 (en) 2003-11-10 2006-02-21 Texas Instruments Incorporated Method of forming and using a hardmask for forming ferroelectric capacitors in a semiconductor device
US7773365B2 (en) 2004-04-30 2010-08-10 Hewlett-Packard Development Company, L.P. Dielectric material
US20060088962A1 (en) 2004-10-22 2006-04-27 Herman Gregory S Method of forming a solution processed transistor having a multilayer dielectric
US8709705B2 (en) 2004-12-13 2014-04-29 Pryog, Llc Metal-containing compositions and method of making same
CN1800988B (zh) 2005-01-06 2010-04-07 新应材股份有限公司 光阻清洗剂
JP2006225476A (ja) * 2005-02-16 2006-08-31 Shin Etsu Chem Co Ltd ポジ型レジスト材料及びパターン形成方法
JP2006284947A (ja) 2005-03-31 2006-10-19 Fuji Photo Film Co Ltd 遮光膜用感光性樹脂組成物、遮光膜の作製方法、転写材料及びその製造方法
US7393560B2 (en) 2005-05-03 2008-07-01 Braggone Oy Organo-metal compounds
KR100643570B1 (ko) 2005-06-28 2006-11-10 주식회사 하이닉스반도체 반도체 소자 제조 방법
JP4699140B2 (ja) 2005-08-29 2011-06-08 東京応化工業株式会社 パターン形成方法
US8969865B2 (en) 2005-10-12 2015-03-03 Hewlett-Packard Development Company, L.P. Semiconductor film composition
JP2007178452A (ja) 2005-12-26 2007-07-12 Kao Corp 電子写真用トナーの製造方法
EP1992665B1 (en) 2006-02-16 2010-12-15 Kaneka Corporation Curable composition
KR100823718B1 (ko) * 2006-04-13 2008-04-21 주식회사 엘지화학 전자파 차폐층 제조시 무전해도금에 대한 촉매 전구체수지조성물, 이를 이용한 금속패턴 형성방법 및 이에 따라제조된 금속패턴
JP2007298841A (ja) * 2006-05-01 2007-11-15 Tohoku Univ 感光性重合体組成物
JP5100646B2 (ja) 2006-06-09 2012-12-19 本州化学工業株式会社 新規なトリス(ホルミルフェニル)類及びそれから誘導される新規な多核ポリフェノール類
JP5362176B2 (ja) 2006-06-12 2013-12-11 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20080055597A1 (en) 2006-08-29 2008-03-06 Jie-Wei Sun Method for characterizing line width roughness (lwr) of printed features
JP2008091215A (ja) 2006-10-02 2008-04-17 Nitto Kasei Co Ltd 酸化錫膜形成剤、該酸化錫膜形成剤を用いる酸化錫膜形成方法、及び該形成方法により形成される酸化錫膜
US7611751B2 (en) * 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
KR101207381B1 (ko) 2006-11-01 2012-12-05 더 스테이트 오브 오레곤 액팅 바이 앤드 쓰루 더 스테이트 보드 오브 하이어 에쥬케이션 온 비해프 오브 오레곤 스테이트 유니버시티 용액 처리된 박막들 및 적층체들, 상기 박막들 및적층체들을 포함하는 장치들, 및 그들의 사용 방법 및 제조방법
TWI334177B (en) 2007-03-29 2010-12-01 Nanya Technology Corp Method for forming a semiconductor device
US7799503B2 (en) 2007-05-17 2010-09-21 International Business Machines Corporation Composite structures to prevent pattern collapse
US7718546B2 (en) 2007-06-27 2010-05-18 Sandisk 3D Llc Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon
US7858144B2 (en) * 2007-09-26 2010-12-28 Eastman Kodak Company Process for depositing organic materials
US20100279228A1 (en) * 2007-12-21 2010-11-04 The Regents Of The University Of California Organo-metallic hybrid materials for micro- and nanofabrication
US20090174036A1 (en) 2008-01-04 2009-07-09 International Business Machines Corporation Plasma curing of patterning materials for aggressively scaled features
US7906031B2 (en) 2008-02-22 2011-03-15 International Business Machines Corporation Aligning polymer films
WO2009120169A1 (en) 2008-03-27 2009-10-01 State Of Oregon Acting By And Through The State Board Of Higher Education On Behalf Of Oregon State University Solution processed thin films and laminates, devices comprising such thin films and laminates, and method for the use and manufacture
JP5238023B2 (ja) * 2008-05-14 2013-07-17 東京応化工業株式会社 ポジ型感光性組成物
EP2123659A1 (en) 2008-05-15 2009-11-25 Arkema France High purity monoalkyltin compounds and uses thereof
JP5171422B2 (ja) 2008-06-19 2013-03-27 ルネサスエレクトロニクス株式会社 感光性組成物、これを用いたパターン形成方法、半導体素子の製造方法
US8257910B1 (en) 2008-06-24 2012-09-04 Brewer Science Inc. Underlayers for EUV lithography
GB0811930D0 (en) 2008-06-30 2008-07-30 Imec Inter Uni Micro Electr Polymerisable compounds for making opto-electronic devices
US8158338B2 (en) 2008-07-08 2012-04-17 Massachusetts Institute Of Technology Resist sensitizer
DE102008041940A1 (de) 2008-09-10 2010-03-11 Wacker Chemie Ag Siliconelastomere mit verbesserter Einreissfestigkeit
IL200996A0 (en) * 2008-10-01 2010-06-30 Bayer Materialscience Ag Photopolymer formulations having a low crosslinking density
JP5264393B2 (ja) 2008-10-01 2013-08-14 東京応化工業株式会社 レジストパターン形成方法
JP2010094583A (ja) * 2008-10-14 2010-04-30 Nippon Soda Co Ltd 有機薄膜の製造方法
GB2466486A (en) 2008-12-23 2010-06-30 Dow Corning Moisture curable composition
US8728710B2 (en) 2009-03-31 2014-05-20 Sam Xunyun Sun Photo-imageable hardmask with dual tones for microphotolithography
JP5399116B2 (ja) * 2009-04-06 2014-01-29 三洋化成工業株式会社 光塩基発生剤を含有する感光性組成物
JP5627195B2 (ja) 2009-04-27 2014-11-19 東海旅客鉄道株式会社 感光性組成物、感光性金属錯体、塗布液、及び金属酸化物薄膜パターンの製造方法
JP2011053566A (ja) 2009-09-03 2011-03-17 Sony Corp 現像液、エッチング液および微細加工体の製造方法
KR20110064153A (ko) 2009-12-07 2011-06-15 삼성전자주식회사 금속 유기 전구체, 이의 제조방법, 및 이를 이용한 전도성 금속막 또는 패턴 형성방법
US8828493B2 (en) 2009-12-18 2014-09-09 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
US8366967B2 (en) 2010-02-22 2013-02-05 Inpria Corporation Metal chalcogenide aqueous precursors and processes to form metal chalcogenide films
JP6144000B2 (ja) * 2010-03-30 2017-06-07 サム シュンユン スンSam Xunyun Sun マイクロフォトリソグラフィ用の多階調の感光性ハードマスク
JP5582843B2 (ja) * 2010-03-30 2014-09-03 東海旅客鉄道株式会社 金属酸化物膜パターンの製造方法
US8435728B2 (en) 2010-03-31 2013-05-07 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications
US9176377B2 (en) * 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
EP2649135A1 (en) 2010-12-08 2013-10-16 Dow Corning Toray Co., Ltd. Methods of modifying metal-oxide nanoparticles
JP5708521B2 (ja) * 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
WO2012118847A2 (en) 2011-02-28 2012-09-07 Inpria Corportion Solution processible hardmarks for high resolusion lithography
JP5650086B2 (ja) 2011-06-28 2015-01-07 信越化学工業株式会社 レジスト下層膜形成用組成物、及びパターン形成方法
US9362126B2 (en) * 2011-09-22 2016-06-07 Agency For Science, Technology And Research Process for making a patterned metal oxide structure
US8703386B2 (en) * 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
JP5988151B2 (ja) * 2012-08-31 2016-09-07 学校法人関東学院 3次元多層構造体の製造方法
US20140303283A1 (en) 2013-03-15 2014-10-09 The Sherwin-Williams Company Curable compositions
JP6333604B2 (ja) 2013-07-09 2018-05-30 富士フイルム株式会社 着色組成物、硬化膜、カラーフィルタ、カラーフィルタの製造方法、固体撮像素子、および画像表示装置
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
WO2016043198A1 (ja) 2014-09-17 2016-03-24 Jsr株式会社 パターン形成方法
WO2016043200A1 (ja) 2014-09-17 2016-03-24 Jsr株式会社 パターン形成方法
KR102319630B1 (ko) 2014-10-23 2021-10-29 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
JP2018017780A (ja) 2016-07-25 2018-02-01 Jsr株式会社 感放射線性組成物及びパターン形成方法
EP3564751A4 (en) 2016-12-28 2020-10-14 JSR Corporation RADIATION SENSITIVE COMPOSITION, PATTERN FORMING PROCESS, METAL-CONTAINING RESIN AND ASSOCIATED MANUFACTURING PROCESS
EP3564752A4 (en) 2016-12-28 2020-08-26 JSR Corporation RADIATION-SENSITIVE COMPOSITION, STRUCTURE FORMATION PROCESS AND METAL OXIDE
KR20190103229A (ko) 2017-01-26 2019-09-04 제이에스알 가부시끼가이샤 감방사선성 조성물 및 패턴 형성 방법
JPWO2018168221A1 (ja) 2017-03-13 2020-01-16 Jsr株式会社 感放射線性組成物及びパターン形成方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009058632A (ja) 2007-08-30 2009-03-19 Fujitsu Ltd 液浸露光用レジスト組成物、及びそれを用いた半導体装置の製造方法
JP2011215205A (ja) 2010-03-31 2011-10-27 Toray Ind Inc 湿し水不要平版印刷版の製造方法

Also Published As

Publication number Publication date
TW202130648A (zh) 2021-08-16
TW201527872A (zh) 2015-07-16
TWI781843B (zh) 2022-10-21
KR102646037B1 (ko) 2024-03-08
TWI728353B (zh) 2021-05-21
US20230004083A1 (en) 2023-01-05
JP2022123115A (ja) 2022-08-23
US20160216606A1 (en) 2016-07-28
US20190369489A1 (en) 2019-12-05
US9310684B2 (en) 2016-04-12
US20230004082A1 (en) 2023-01-05
KR20160082969A (ko) 2016-07-11
JP2021047426A (ja) 2021-03-25
US20150056542A1 (en) 2015-02-26
US20180307137A1 (en) 2018-10-25
TW201931011A (zh) 2019-08-01
CN105579906B (zh) 2020-04-03
KR102029641B1 (ko) 2019-10-07
WO2015026482A2 (en) 2015-02-26
US20220365429A1 (en) 2022-11-17
US20230004081A1 (en) 2023-01-05
US10416554B2 (en) 2019-09-17
TWI781842B (zh) 2022-10-21
JP2024026361A (ja) 2024-02-28
KR20180039677A (ko) 2018-04-18
TWI768844B (zh) 2022-06-21
KR20190112845A (ko) 2019-10-07
KR101974322B1 (ko) 2019-04-30
JP6484631B2 (ja) 2019-03-13
TW202309058A (zh) 2023-03-01
JP7404448B2 (ja) 2023-12-25
KR20190045401A (ko) 2019-05-02
KR102343945B1 (ko) 2021-12-24
KR20210135616A (ko) 2021-11-15
KR20200143524A (ko) 2020-12-23
KR101839255B1 (ko) 2018-03-15
KR20230107719A (ko) 2023-07-17
CN111240158A (zh) 2020-06-05
WO2015026482A3 (en) 2015-05-14
US10025179B2 (en) 2018-07-17
CN111240158B (zh) 2022-06-07
JP6801027B2 (ja) 2020-12-16
TW202210961A (zh) 2022-03-16
JP2019113855A (ja) 2019-07-11
KR102195329B1 (ko) 2020-12-24
JP2016530565A (ja) 2016-09-29
CN105579906A (zh) 2016-05-11
TW202210495A (zh) 2022-03-16
TWI662361B (zh) 2019-06-11
US11966159B2 (en) 2024-04-23

Similar Documents

Publication Publication Date Title
JP7095060B2 (ja) 有機金属溶液に基づいた高解像度パターニング組成物
JP2018502173A (ja) 有機金属溶液に基づいた高解像度パターニング組成物および対応する方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201224

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20201224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211012

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20211013

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220107

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220524

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220622

R150 Certificate of patent or registration of utility model

Ref document number: 7095060

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150