JP2019500490A - 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成 - Google Patents

有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成 Download PDF

Info

Publication number
JP2019500490A
JP2019500490A JP2018518688A JP2018518688A JP2019500490A JP 2019500490 A JP2019500490 A JP 2019500490A JP 2018518688 A JP2018518688 A JP 2018518688A JP 2018518688 A JP2018518688 A JP 2018518688A JP 2019500490 A JP2019500490 A JP 2019500490A
Authority
JP
Japan
Prior art keywords
coating
ligand
precursor
carbon atoms
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018518688A
Other languages
English (en)
Other versions
JP6805244B2 (ja
JP2019500490A5 (ja
Inventor
スティーブン・ティ・マイヤーズ
ジェレミー・ティ・アンダーソン
ブライアン・ジェイ・カーディノー
ジョセフ・ビー・エドソン
カイ・ジアン
ダグラス・エイ・ケシュラー
アラン・ジェイ・テレッキー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Inpria Corp
Original Assignee
Inpria Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=58500023&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2019500490(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Inpria Corp filed Critical Inpria Corp
Publication of JP2019500490A publication Critical patent/JP2019500490A/ja
Publication of JP2019500490A5 publication Critical patent/JP2019500490A5/ja
Application granted granted Critical
Publication of JP6805244B2 publication Critical patent/JP6805244B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/086Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/028Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with photosensitivity-increasing substances, e.g. photoinitiators
    • G03F7/031Organic compounds not covered by group G03F7/029
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Abstract

金属オキシドヒドロキシド化学をベースとする高解像度リソグラフィパターン形成コーティングを作成するための有機金属前駆体が記載される。その前駆体組成物は、一般的に、穏やかな条件下で水蒸気または他のOH源組成物によって容易に加水分解可能な配位子を含む。その有機金属前駆体は、一般的に、比較的低い放射線量での高解像度パターン形成に効果的であり得、かつEUVパターン形成で特に有用であるコーティングをもたらし得る、スズに対する放射線感受性有機配位子を含む。それらの前駆体組成物は、商業的に好適な条件下で容易に加工可能である。インサイチューでの加水分解を用いる溶液相加工法または蒸気をベースとする堆積法が、コーティングを形成するために使用され得る。

Description

関連出願の相互参照
本出願は、2015年10月13日に出願されたMeyersらに対する“Organotin Oxide Hydroxide Patterning Compositions With Precursor Vapor Deposition”という名称の同時係属中の米国仮特許出願第62/240,812号明細書、および2016年2月19日に出願されたCardineauらに対する“Precursor Compositions for Organotin Oxide Hydroxide Photoresist Flims”という名称の同時係属中の米国仮特許出願第62/297,540号明細書に対する優先権を主張するものであり、それらの両方が参照により本明細書に組み込まれる。
本発明は、コーティングされかつインサイチューで加水分解されて、有機スズオキシドヒドロキシド(organotin oxide hydroxide)を含むコーティングを形成し得る前駆体組成物に関する。本発明は、UV光、EUV光または電子線照射を用いて効果的にパターン化して、低い線幅粗さを有する高解像度パターンを形成し得る放射線感受性の有機スズオキシドヒドロキシドコーティングにさらに関する。
半導体をベースとするデバイスおよび他の電子デバイスまたは他の複雑な微細構造物を形成するには、一般的には、材料をパターン化して、その構造を一体化させる。したがって、それらの構造物は、一般的には、パターンが各種の材料から形成される、堆積工程とエッチング工程との反復的なプロセスを通して形成される。このようにして、小さい面積中に多くのデバイスを形成することができる。技術の進歩のいくつかは、デバイスのための実装面積を減らすことを含み、これは、性能を向上させるために望ましいものであり得る。
放射線パターン化レジストとして有機組成物を使用して、放射線パターンを利用して、パターンに合わせてその有機組成物の化学構造を変化させることもできる。たとえば、半導体ウェーハのパターンを形成するためのプロセスでは、放射線感受性の有機材料の薄膜から所望の画像をリソグラフィ的に移行させることが必要となる。レジストのパターン形成は、一般的には、レジストを、たとえばマスクを通して選択されたエネルギー源に暴露させて潜像を記録する工程、および次いで現像して、レジストの選択された領域を除去する工程などのいくつかの工程を含む。ポジ型レジストの場合、その暴露された領域を変換させて、そのような領域を選択的に除去できるようにし、それに対してネガ型のレジストの場合、暴露されていない領域がより容易に除去され得る。
一般的には、放射線、反応性ガスまたは液状溶液を用いてパターンを現像して、そのレジストの選択的に感受性の高い部分を除去するが、それに対して、レジストの他の部分は、エッチング抵抗性の保護層として機能する。液状の現像剤は、潜像を現像させるのに特に効果的であり得る。基板は、保護レジスト層の残りの領域中にウィンドウまたはギャップを通して選択的にエッチングすることができる。代替的に、保護レジスト層の残りの領域中の現像されたウィンドウまたはギャップを通して、その下にある基板の露出された領域中に材料を堆積させることもできる。最終的に保護レジスト層も除去される。そのプロセスを繰り返して、パターン化させる材料のさらなる層を形成することができる。化学気相堆積法、物理気相堆積法または他の所望の方法を使用して材料を堆積させることができる。たとえば、導電性材料の堆積またはドーパントの注入などのさらなる加工工程を使用することも可能である。マイクロ加工およびナノ加工の分野では、高い集積密度を達成し、回路の機能を改良する目的のため、集積回路におけるフィーチャーが極めて小さくなってきた。
第一の態様では、本発明は、有機溶媒、第一の有機金属組成物、および加水分解性の配位子−金属結合を有する金属化合物を含むコーティング溶液に関する。いくつかの実施形態では、その第一の有機金属組成物は、式RSnO(2−(z/2)−(x/2))(OH)(ここで、0<z≦2および0<(z+x)≦4である)、式RSnX4−n(ここで、n=1または2である)、またはそれらの混合物によって表され得、ここで、Rは、1〜31個の炭素原子を有するヒドロカルビル基であり、およびXは、加水分解性のM−X結合を有する配位子である。その加水分解性の金属化合物は、式MX’によって表され得、ここで、Mは、元素周期表の第2〜16族から選択される金属であり、X’は、加水分解性のM−X’結合を有する配位子またはそれらの組合せであり、およびnは、金属の原子価および配位子の電荷から求められる。
さらなる態様では、本発明は、有機溶媒、全金属含量を基準にして少なくとも約10モルパーセントの第一の有機金属組成物、および全金属含量を基準にして少なくとも10モルパーセントの第二の有機金属組成物を含むコーティング溶液に関する。いくつかの実施形態では、その第一の有機金属組成物は、式RSnO(2−(z/2)−(x/2))(OH)(ここで、0<z≦2および0<(z+x)≦4である)、式RSnX4−n(ここで、n=1または2である)、またはそれらの混合物によって表され得、ここで、Rは、ヒドロカルビル基であり、およびSn−Xは、加水分解性の化学結合である。その第二の有機金属組成物は、式R’SnX’4−y(ここで、y=1または2である)によって表され得、ここで、R’は、Rと異なるヒドロカルビル基であり、およびX’は、Xと同一であるかまたは異なる、加水分解性のSn−X’結合を有する配位子である。
別の態様では、本発明は、放射線でパターン形成可能なコーティングを形成するための方法に関し、その方法は、基板上の前駆体コーティングを水蒸気に暴露させる工程を含み、その前駆体コーティングは、第一の有機金属組成物および第二の加水分解性の組成物を含む。第一の有機金属組成物は、式RSnO(2−(z/2)−(x/2)(OH)(ここで、0<z≦2および0<(z+x)≦4である)またはR’SnX4−n(ここで、n=1または2である)によって表され得、ここで、RおよびR’は、独立して、1〜31個の炭素原子を有するヒドロカルビル基である。その第二の加水分解性の組成物は、式R”SnX’4−y(式中、y=1または2であり、およびR”が、R’と異なり、およびX’が、Xと同一であるかまたは異なる、加水分解性のSn−X’結合を有する配位子である)によって表される第二の有機金属組成物、または無機組成物ML(ここで、vが、2≦v≦6であり、およびLが、XおよびX’と同一であるかまたは異なる、加水分解性のM−L結合を有する配位子である)のいずれかであってよい。いくつかの実施形態では、その暴露工程は、前駆体コーティング化合物の加水分解をもたらして、((RまたはR’)R”)SnO(2−((a+b)/2)−(w/2))(OH)(ここで、0<(a+b)≦2および0<(a+b+w)<4である)を含むか、またはy((RまたはR’)R”)SnO(2−((a+b)/2)−(w/2))(OH)・zMO((m/2)−l/2)(OH)(ここで、0<(a+b)≦2であり、0<(a+b+w)<4であり、m=Mm+のホルマール原子価であり、0≦l≦mであり、y/z=(0.05〜0.6)であり、およびM=M’またはSnであり、ここで、M’が、周期表の第2〜16族の、スズではない金属である)を含むコーティングを形成する。
さらなる態様では、本発明は、金属炭素結合および金属酸素結合を有する有機配位子を有する金属カチオンとの金属オキソ−ヒドロキソネットワークを含む放射線でパターン形成可能なコーティングを形成するための方法に関し、その方法は、周囲大気から密閉された堆積チャンバー内に、個別に化合物RSnX4−n(ここで、n=1または2であり、Rが、1〜31個の炭素原子を有するヒドロカルビル基であり、およびXが、加水分解性のまたは酸化可能な配位子である)を含む第一の前駆体蒸気と、堆積チャンバー中の条件下で第一の前駆体蒸気を加水分解または酸化して、加水分解または酸化された組成物を形成することが可能な酸素含有化合物を含む第二の前駆体蒸気とを導入することを含む。一般的には、基板は、その加水分解または酸化された組成物を受け取る表面を備えて構成され得る。
他の態様では、本発明は、表面およびその表面上にコーティングを有する基板を含むコーティングされた基板であって、y(RSnO(2−(z/2)−(w/2))(OH)・zMO((m/2)−l/2)(OH)(ここで、0<z≦2であり、0<(z+w)≦4であり、m=Mm+のホルマール原子価であり、0≦l≦mであり、y/z=(0.05〜0.6)であり、およびM=M’またはSnであり、ここで、M’が、周期表の第2〜16族の、スズではない金属であり、およびRは、1〜31個の炭素原子を有するヒドロカルビル基である)によって表される有機金属組成物を含む基板に関する。
さらに、本発明は、基板と、約6.125mJ/cm以下の線量対ゲル値(dose−to−gel)(D)を有するアルキル金属オキシドヒドロキシドを含む放射線感受性コーティングとに関する。
さらに、本発明は、表面に沿って無機半導体層および放射線感受性コーティング材料を含む基板に関する。いくつかの実施形態では、その放射線コーティング材料は、13.5nmの波長のEUV光によって32nmピッチで16nmの線のパターンでパターン化されて、約8mJ/cm〜約25mJ/cmの線量によって約4nm以下の線幅粗さで16nmの限界寸法(critical dimension)を達成することができる。その放射線感受性コーティング材料は、たとえばSnのような金属を含むことができ、および少なくとも5重量パーセントの金属、他の実施形態では少なくとも約20重量パーセントの金属を含むことができる。
潜像を有する放射線パターン化構造物の概略斜視図である。 図1の構造物の側面図である。 潜像を現像して、照射されなかったコーティング材料を除去し、パターン化された構造物を形成した後の図1の構造物の概略斜視図である。 図3のパターン化された構造物の側面図である。 潜像を現像して、照射されたコーティング材料を除去し、パターン化された構造物を形成した後の図1の構造物の概略斜視図である。 図5のパターン化された構造物の側面図である。 56mJ/cmのEUV線量を用いて形成した、16.7nmの線間隔を有する、基板上に形成された一定パターンの走査型電子(SEM)顕微鏡写真である。 本明細書に記載するようにインサイチューで加水分解させてコーティングした基板に対して、放射線レジストを用い、段階的線量で暴露させた50枚の直径500ミクロンの円形パッドで形成された、露光および現像後の膜厚のEUV線量の関数としてのプロットである。 溶液ベースの加水分解を用いて形成した膜と、コーティング中にインサイチューで加水分解させて形成した膜とを比較した2種のFTIRスペクトルのプロットである。 インサイチュー加水分解前の放射線感受性コーティング中において、量を変えた3種のSn(NMeを用いて形成したコーティングについての、線量の関数を含む、EUVコントラスト曲線を用いたプロットのセットである。 記載された組成物および照射線量を用いて形成した5種のパターン化されたコーティングの顕微鏡写真のセットである。 16nmの限界寸法を達成するための線量対サイズ値を用い、13.5nmの波長のEUV光によって32nmのピッチで16nmの線のパターンでパターン化された6種のレジスト組成物についての、線量対サイズ値の関数としての線幅粗さ(LWR)のプロットである。 放射線感受性基としてのメチル配位子の量を変化させて形成した5種のコーティングについての、線量の関数を含む、EUVコントラスト曲線のプロットのセットである。 3種の異なる量のメチル配位子を有するコーティングについての、照射線量の関数としての空間限界寸法のプロットのセットである。 EUV照射線量を変化させて形成したパターンについての3種のSEM顕微鏡写真のセットである。
選択された比率の放射線感受性のアルキル−Sn結合を有する有機スズパターン形成組成物、および/または選択された量の放射線感受性配位子を含まないスズ前駆体を使用することにより、より低い照射線量で改良されたパターン形成性能が得られ、および前駆体組成物のインサイチューでのソルボリシス、たとえば加水分解を使用することにより、放射線でパターン形成可能なコーティングの改良された加工を達成することができる。それらの放射線でパターン形成可能なコーティングには、一般的にはRSnO(2−(z/2)−(x/2))(OH)組成物が含まれ、ここで、0<z≦2および0<(z+x)<4であり、Rは、放射線感受性のアルキル配位子であり、それは、いくつかの実施形態では、その組成物全体でのzの値を修正する目的でSnX前駆体化合物の選択された量を用いて形成したときに、改良された低線量照射パターン形成を示すことができる。インサイチューでの加水分解を使用することにより、溶液ベースの加工での前駆体組成物の効果的な使用が可能となり、これは、アルキルスズオキソ−ヒドロキソ組成物を直接溶解および堆積させることでは達成が困難または不可能であろう。本明細書に記載するように、インサイチューのソルボリシスによりパターン化することが可能なRSnO(2−(z/2)−(x/2))(OH)組成物を作成することにより加工性が改良される。有機スズオキシドヒドロキシド前駆体を形成するための溶液ベースの加工に代わるものとして、気相堆積法は、ある種の前駆体コーティングを堆積させるために有用となり得る。それらのパターン形成組成物は、より低い線量でEUVパターンを形成するために特に有用であり、小型のフィーチャーの場合でも低い線幅粗さを得ることができる。
一般式RSnO(2−(z/2)−(x/2))(OH)(ここで、0<(x+z)<4およびz>0である)を有する有機スズオキシドヒドロキシドは、薄膜コーティングとして堆積させ、紫外線(UV)、極端紫外線(EUV)または電子線照射を用いて暴露させ、適切な溶媒を用いて現像させると、一般的にフォトレジストとも呼ばれるパターン形成材料として優れた性能を与えることが見出された。以前の研究から、有機スズオキシドヒドロキシドが安定な前駆体溶液を形成するためのベースになり得、それから良好な照射線吸収性と現像速度コントラスト(development rate contrast)とを備えたレジスト層を形成し得ることが分かっていた。有機スズ組成物は、ネガ型レジストまたはポジ型レジストとして効果的に使用される。EUVおよび電子線レジストのためのこれらの化合物の有効性は、Meyersらに対する“Organometallic Solution Based High Resolution Patterning Compositions”という名称の米国特許第9,310,684B2号明細書に記載されている(これは参照により本明細書に組み込まれる)。今日の合成的アプローチ方法に基づき、これらの化合物を拡張して、(x+z)=4の値を拡張して、すなわち0<(x+z)≦4となるようにするのが適切であると考えられる。分岐状のアルキル配位子およびアルキルスズオキシドヒドロキシド組成物のブレンド物を用いて発見された改良されたパターン形成性能が、Meyersらに対する“Organometallic Solution Based High Resolution Patterning Compositions and Corresponding Methods”という名称の米国特許出願公開第2016/0116839A1号明細書(以後’839号明細書と呼ぶ)に記載されている(これは参照により本明細書に組み込まれる)。
前述の参考文献には、1種または複数のRSnX(4−n)組成物(ここで、n=1または2である)の予備加水分解、その有機スズ加水分解物の単離および精製、ならびに好適な溶媒またはそれらの混合物中へのオキシドヒドロキシドの溶解によって調製されたRSnO(2−(z/2)−(x/2))(OH)組成物を含むコーティング前駆体溶液により、有機スズオキシドヒドロキシドのフォトレジスト膜を堆積させることが記載されている。しかしながら、予め加水分解させた有機スズオキシドヒドロキシドの溶解およびコーティングでは、使用可能な配位子種に対する実質的な制約があり、1種または複数の加水分解物の溶解性の低さを回避するための化学量論が必要となり、かついくつかの実施形態では、複雑な加水分解プロセスのために望ましくない汚染物が入り込む可能性が高くなり得る。さらに、有機スズオキシドヒドロキシド前駆体組成物から可溶性のレジスト前駆体溶液が調製できたとしても、望ましくない溶媒が必要となるか、または膜のモルホロジーが損なわれる可能性がある。
これらの制約の多くは、適切な溶媒または溶媒の混合物中に溶解させた、1種または複数の好適なRSnX(4−n)化合物(ここで、Xが、加水分解性のSn−X結合を伴う配位子である)からなるレジスト前駆体溶液を調製することによって克服し得ることが見出された。前駆体のRSnX(4−n)の水蒸気との反応性が十分に高ければ、インサイチューでの−M−X加水分解と、水の存在下での縮合とが可能となり、次の一般的な反応で示されるように、対応するオキシドヒドロキシドを得ることができる。
SnX+xHO→RSn(OH)+xHX
Sn(OH)→RSnO(2−(n/2)−(x/2))OH+(x/2)H
(ここで、0<(x+z)≦4である)。したがって、RSnX(4−n)化合物を含むコーティング溶液使用することにより、実際の工程において、広い範囲のRSnO(2−(z/2)−(x/2))(OH)組成物をフォトレジストコーティングとして形成することが可能となる。これらの方法では、加水分解および縮合プロセス中、そのR−Sn部分が少なくとも部分的に保持され、得られる膜はM−C結合およびM−O結合の両方を含む。
インサイチューでの加水分解プロセスの1つの実施形態では、前駆体RSnX(4−n)を溶媒中に溶解させ、任意選択的に水蒸気(たとえば湿り空気)の存在下で基板上に直接塗布して、コーティングを作成し、次いで、加えてまたは代替的に水蒸気の存在下でさらにベーキングして、有機スズオキシドヒドロキシドコーティングを形成する。したがって、加水分解のための水蒸気は、コーティング堆積時および/またはインサイチューでの加水分解を実施するための予備パターン形成ベーキング工程時に存在させることも可能である。さらに、n=0、1、または2である、複数のRSnX(4−n)化合物(たとえば、SnX、RSnX’、R’SnX”、およびR”SnX(ここで、R、R’、およびR”が同一であるかまたは異なり、およびX、X’、およびX”も同一であるかまたは異なる))を好適な溶媒中でブレンドすることにより、0<z≦2および0<(x+z)≦4の範囲の選択された化学量論を有するRSnO(2−(z/2)−(x/2))(OH)の膜を容易に堆積させることが可能である。同様に、有機スズオキシドヒドロキシドのRSnO(2−(z/2)−(x/2))(OH)(ここで、0<(x+z)<4、およびz>0である)と、1種または複数の異なる有機スズオキシドR’SnO(2−(b/2)−(a/2))(OH)(ここで、0<(a+b)<4、およびb>0である)との混合物(ここで、R’≠Rである)を含む、混合配位子の加水分解物もこの方法で同様に調製することができる。加水分解性のRSnX(4−n)およびR’SnX(4−b)化合物は、共通の溶媒または溶媒混合物中に溶解させて、インサイチューでの加水分解のために基板上にスピンコーティングすることができる。いずれの場合も好適な分子状態の有機スズ前駆体化合物の溶解性が高く、急速に加水分解されることにより、有利には、目標とする有機スズオキシドヒドロキシドの加水分解物で起こり得る溶解性の制限が回避され、加水分解されかつ部分的に縮合されたレジスト前駆体をエクスサイチューで単離するという、複雑で神経を使う合成手順を実施する必要がなくなる。この方法において、レジスト前駆体の調製が顕著に単純化され、改良された性能を有する望ましい組成物が得られるようになった。
別の実施形態では、多くの分子状態のRSnX(4−n)化合物の蒸気圧と反応性が比較的高いため、有機スズオキシドヒドロキシドの薄膜フォトレジストを堆積させるための気相堆積法の使用が可能となる。可能性のある堆積方法としては、たとえば、物理気相堆積法(PVD)、化学気相堆積法(CVD)、原子層堆積法(ALD)、またはそれらを修正した方法が挙げられる。たとえば、1種または複数の気体状のRSnX(4−n)化合物を反応チャンバーに導入し、および共前駆体、たとえばHOまたはそれに関連する分解反応生成物と気相中または基板表面上のいずれかで反応させて、それにより放射線感受性の有機スズオキシドヒドロキシドコーティングを作製することができる。それに続く加水分解反応を用いて、その表面上に加水分解性の化合物が堆積される場合、このプロセスを、インサイチューでの加水分解を伴うPVD堆積と考えることができるが、連続の堆積プロセスの途中で加水分解が起きる場合、それは、CVDプロセスと考えることができる。同様に、加水分解性の前駆体が、次いでその基板表面上に吸着、化学吸着または分解され、その残存膜が多重堆積/反応サイクルで第二の反応性前駆体と反応して、対応する有機スズオキシドヒドロキシドを堆積させる場合、それは、ALDプロセスと考えることができる。気相堆積法の利点としては、レジスト膜の欠陥密度の低下、厚みおよび組成の均一性の改良、ならびに基板トポグラフィーの共形的(conformal)コーティングおよびサイドウォールコーティングが挙げられる。
有機スズオキシドヒドロキシドのフォトレジスト性能、たとえば画像形成線量、極限解像度、および線幅粗さ(LWR)は、フォトレジストコーティングの組成に依存することが見出された。RSnO(2−(z/2)−(x/2))(OH)(ここで、0<(x+z)≦4およびz>0である)の組成を有するこれらのフォトレジスト膜では、放射線感受性配位子Rの識別およびzで表されるR:Snの化学量論比の両方を大幅に変化させることができる。一般的には、そのフォトレジスト膜は、薄膜が約0.1〜約2の放射線感受性配位子対金属カチオンのモル濃度比(z)を有するのに十分である放射線感受性配位子Rを含むことができる。この範囲に入る配位子比を有する有機スズオキシドヒドロキシドのレジスト膜は、適切な化学量論比で複数のRSnX(4−n)前駆体(ここで、z=1または2である)を予備加水分解させ、およびそのようにして得られた加水分解物を、溶解性および安定性の制約に合わせてコーティング溶媒中に溶解させることによって調製することができる。ある範囲、特に0.1<z<1である化学量論では、そのフォトレジスト組成物が有利なフォトレジスト性能を示すことが見出された。しかしながら、z<1であるフォトレジスト組成物では、上述のような加工上の制約が問題となり得、なぜなら、有機溶媒中での溶解性は、無機のSnO(2−(x/2))(OH)の加水分解物(z=0)では典型的に極端に低くなり、特定の有機スズRSnXまたはRSnX残基と共加水分解およびクラスター縮合するのに適した極めて限定された条件から外れるからである。さらに、そのような条件が明らかになり、加水分解物が単離、溶解された場合であっても、その前駆体溶液の安定性、化学量論、配位子の識別、および溶媒は、EUVフォトレジストとして扱うために望ましい数値に関して好ましくなく制限を受ける可能性がある。
これらの加工および組成に関する制約は、実質的に完全な加水分解と、それに続く縮合、コーティングならびに水または他の好適な酸素および水素源の存在下におけるベーキングでのHX副生物の蒸散とのために選択された、1種もしくは複数の予め加水分解させた有機スズオキシドヒドロキシド、または1種もしくは複数のRSnXおよび/またはRSnX化合物を含む前駆体コーティング溶液に、容易に加水分解可能なSnX化合物を添加することによって克服することができる。このようにして、前駆体コーティング溶液およびフォトレジスト膜の両方における複数の放射線感受性配位子の識別と相対的な化学量論との両方を、独立して、全配位子対金属カチオンの比率の広い範囲にわたって調節することが可能となり、溶液の安定性と溶解性とに関する制約が緩和され、前駆体の合成が単純化される。したがって、適切に選択されたSnX組成物を前駆体混合物またはプロセス中に組み入れることが可能であり、それにより、対応する組成物を用いた有機スズオキシドヒドロキシドの気相堆積が可能となる。
M−C結合とM−O結合との両方を有する有機金属化合物に固有の安定性および溶解性に関する制約が緩和されることにより、代替的な金属種を前駆体コーティング溶液または反応性ガス混合物に対してMX’の形態で添加することも可能であり、ここで、Mは、2〜16族金属から選択される金属カチオンであり、およびnは、金属カチオンの原子価および配位子の電荷から求められ、一般的には3〜6である。M≠Snである場合、X’配位子は、同じ配合中で使用されるRSnX(4−n)化合物におけるXと同一であってもまたは異なっていてもよい。いずれの場合もこれらの配位子およびMX’は、以下のような類似の基準に従う;HOの存在下における急速かつ実質的に完全な加水分解、ならびにX(X’)配位子の加水分解反応生成物のオキシドヒドロキシド膜からの拡散および蒸発。この方法で有機スズオキシドヒドロキシドコーティング中に組み込まれる代替的な金属カチオンは、放射線の吸収、膜の密度、金属−配位子の熱分解、好ましい現像剤中での現像速度、または他の所望のフォトレジスト性能を調節するのに有利であり得る。
有機スズオキシドヒドロキシドレジスト膜中に存在する複数のR−Sn残基の識別および相対的な化学量論が、改良されたパターン形成性能を与えることは、’839出願に記載されているように以前に見出されていた。その中に記載されている分岐状アルキル配位子および関連のブレンド組成物は、溶媒中に溶解させた、予め加水分解させた有機スズオキシドヒドロキシド化合物を少なくとも部分的に使用して利用可能であるものの、商業的に使用するのに適した実際的な加工に関連して、配位子の識別および化学量論に関して大きい制約があることが認められていた。それらの制約の多くは、加水分解物の溶解性に関わるものである。たとえば、n−ブチルスズオキシドヒドロキシドのようないくつかのモノ−有機スズ加水分解物が広い範囲の有機溶媒中への優れた溶解性を有するものの、たとえばBuSnO(3/2−(x/2))(OH)(ここで、0<x<3である)のようなモノ−tert−ブチルスズ残基の加水分解物は、多くの場合、有用な溶媒中で十分に可溶性でなく、および/または所望の溶液濃度を再現および/または調節することが困難である。’839出願に示されているように、メタノールおよびそれから誘導される溶媒ブレンド物を用いてBuSnO(3/2−(x/2))(OH)の溶液を調製することは可能であるものの、メタノールの揮発性、引火点および毒性のために、それは、半導体製造において使用するのに望ましくない溶媒となる。さらに、最大濃度が低いために、利用可能な膜厚の範囲、ブレンド前駆体配合物の組成、および可能なコーティングに限界が生じる。これらの制約は、以下の実施例で明らかにされるが、そこでは、4−メチル−2−ペンタノール中のBuSn(NEtの溶液を水蒸気の存在下でスピンコートすることにより、高性能のBuSnO(3/2−(x/2))(OH)フォトレジスト膜が示される。
同様に、加水分解物、たとえば、MeSnO(2−(z/2)−(x/2))(OH)のモノ−メチルスズ残基の溶解性が低いために、膜厚ならびに配合物およびコーティングの組成範囲が限定される。しかしながら、加水分解が容易でありかつ可溶性が高いMeSnX化合物を含むレジスト前駆体溶液を調製することにより、それによって得られるBuSnO(3/2−(x/2))(OH)とのブレンド配合物の形態のメチル−スズオキシドヒドロキシドを含むレジスト膜を堆積させると、有利なリソグラフィ性能が得られることが見出された。重要なことに、そこに開示された方法および前駆体溶液を使用すると、レジスト前駆体溶液の溶媒についての制限が実質的に緩和され、レジスト膜の化学量論をより容易に調節して有用なリソグラフィ性能を達成することが可能となる。相互に関するモル比が広い範囲にある、各種の有機配位子(R、R’、R”など)を有する有機スズ残基および金属カチオンの混合物を含む望ましいフォトレジスト前駆体溶液およびその後の膜組成物は、したがって複数の加水分解性の有機スズ化合物RSnX(4−n)+R’SnX’(4−z)+R”SnX”(4−a)+...(ここで、0≦(n、z、a)≦2であり、およびn、z、aの少なくとも1つが>0である)を混合することによって得ることができる。
代替的に、選択されたRSnX(4−n)化合物(ここで、n=0、1または2である)を適切な溶媒中に溶解させた、1種または複数の別々に合成された有機スズオキシドヒドロキシドの加水分解物を含む前駆体コーティング溶液に添加してもよい。したがって、その添加されたRSnX(4−n)化合物を水蒸気またはヒドロキシド残基に暴露させて加水分解させ、コーティング工程およびベーキング工程中に最初の有機スズオキシドヒドロキシドと縮合させて、前駆体コーティング溶液中に元々あった前駆体化合物の化学量論によって求められるアルキル配位子対金属の比を有するコーティングを形成することができる。
上述の化合物中の加水分解性のSn−X結合に伴う配位子(X)の選択は、溶媒和の有効性、コーティング、および満足すべきインサイチューでの加水分解のために重要である。適切な配位子は、ルイス酸の非存在下でもSnと安定な結合を形成すべきであり、および一般的には酸性のプロトンと速やかに反応して、縮合中のオキシドヒドロキシド膜から容易に脱着または蒸発し、それによりボイド、ドメインの偏析、または他の不均一性を低減させる化学種を生成する強い求核剤であるべきである。RSnX(4−n)化合物の場合、Xは、単一で唯一の配位子であってよいが、しかしながら、ある種の実施形態では、それが複数の異なる配位子の組合せ、たとえばRSnX (ここで、a+b+c+d−n=4および0≦n≦2である)を指してもよい。このタイプの化合物としては、以下のものが挙げられる:BuSn(NEt(OBu)、BuSn(NEt)(NH)(OBu)、BuSn(NEt)(OBu)、MeSn(NEt)(OBu)、MeSn(NEt(OBu)、(Bu)Sn(NEt)(OBu)、MeSn(NEt)(OBu)、(Me)(Bu)Sn(NEt、(Me)(Bu)Sn(NEt)(OBu)、(Pr)(Bu)Sn(NMe)(OBu)、およびそれらの混合物。
−X配位子の選択は、部分的には、ヒドロカルビル配位子Rの識別、他の加水分解性の配位子、および化学量論比のR:Snによって決めることができ、なぜなら、与えられたSn−X残基の加水分解またはソルボリシスに関連する反応性は、その金属のまわりの全配位子環境(立体的(速度論的)効果および静電的(熱力学的)効果の両方に関わる)によって変化するであろうからである。
1種または複数のRSnX(4−n)化合物(ここで、−Xが短鎖の脂肪族ジアルキルアミド−NR’またはアルコキシド−OR’配位子であり、R’が10個未満の炭素原子を含む)を含む配合物がこれらの用途で特に好適であることが見出された。コーティングおよびベーキングプロセス時に大気湿分に暴露させると、これらの材料は急激に加水分解され、先に述べたような他の有機スズ前駆体成分と縮合し、揮発性のジアルキルアミンおよびアルコールを放出して、優れたフォトレジスト性能を有する有機スズオキシドヒドロキシドを形成する。このタイプの他の有用な配位子としては、アミド、アルキルアミド、ジアルキルアミド、アルコキソ、アリールオキソ、アジド、イミド、および他の当業者に公知のものが挙げられる。
いくつかの実施形態では、たとえばプロトン性溶媒、たとえばアルコール中に有機スズジアルキルアミドを溶解させると、そのスズ前駆体化合物がその溶媒と反応し得る。ソルボリシス、たとえば、その溶媒がアルコールである場合、アルコーリシスまたは同様の反応をさせると、次の反応に示すような全部または一部の配位子のメタセシスが起きる可能性がある。
Sn(NR’(4−n)+(4−n)R”OH→RSn(OR”)(4−n)+(4−n)HNR’ (2)
式2におけるようなソルボリシスおよびメタセシス反応は、予測されるものであり、受容可能であり、かつ潜在的にはさらに有用なものであるが、ただし、反応生成物のスズ化学種(たとえば、スズ(IV)アルコキシド、RSn(OR”)(4−n))が、水反応性、加水分解副生物の揮発性、拡散性に関する必要な特質、ならびに本明細書で説明した他の特質を有し、適切な湿分のある環境中でコーティングおよびベーキングをすると、適切なオキシドヒドロキシド膜が得られなければならない。
本明細書に記載されている改良された前駆体は、合理的なコーティング溶液およびインサイチューでの加水分解をベースとするパターン形成可能なコーティングの組成物のさらなる可能性を開く。インサイチューでの加水分解により、溶液ベースの加工方法に対する適切な代替的な方法としての、ある範囲の気相堆積によるアプローチ方法の可能性が与えられる。放射線感受性配位子を用いて、パターン形成可能なコーティングの組成を調節し得ることにより、より低い放射線量による改良されたパターン形成および良好なパターン品質が達成された。
前駆体組成物
レジストコーティングを形成するための前駆体組成物には、一般的には、スズカチオンが、適切な放射線感受性のヒドロカルビル安定化配位子、および加工のために選択された、Snに対する加水分解性の結合を備えた追加の配位子と共に含まれている。パターン形成可能なコーティングへ加工するために、その前駆体組成物は、一般的には、溶媒(一般的には有機溶媒)を用いて溶液の形態とし、それは、溶液コーティング法または気相をベースとする堆積プロセスによりコーティングの形態とすることができる。最終的なレジストコーティングは、金属オキシド化学をベースとし、アルキル配位子を備えたスズカチオンの前駆体溶液が、良好なレジスト性能を備えた安定な溶液を与える。それらの前駆体溶液の配位子は、一般的には、溶液の形成および関連の加工機能を備えるように選択される。先にも記したように、Snとの加水分解性の結合を有する配位子を備えた前駆体組成物を導入して前駆体溶液とすることにより、一連の組成物を改良して、安定な溶液を形成することが可能であり、次の加水分解が、有機スズオキシドヒドロキシド材料を用いたパターン形成可能なコーティングをもたらし得ることが期待される。アルキル配位子をブレンドした、一般的には、少なくとも1種の分岐状アルキル配位子を有する組成物が、望ましいパターン形成性能を与えることが見出された。
アルキル配位子が放射線感受性を与え、配位子と、金属に対する化学量論比とを特別に選択することにより、放射線感受性に影響を与えることが可能である。さらに、それらの前駆体溶液を設計して、金属カチオンおよび関連する配位子の選択に基づいて、選択された放射エネルギーでの放射線の所望の吸収レベルを達成することもできる。これまでの議論で、本明細書に記載されている改良された加工に好適な前駆体組成物の範囲をかなり詳しく説明してきたが、インサイチューでの加水分解のためのアルキルスズアミド/アルコキシ前駆体組成物についてより詳しく説明する。先にも説明したように、放射線感受性コーティングへの良好な加工性を備えた、望ましい溶媒中への改良された溶解性を与えることができる各種の化合物が記載されている。いくつかの気相加水分解/酸化反応剤を用いた、少なくともいくつかのインサイチューでの加水分解を含む新しいタイプの前駆体により、放射線でパターン形成可能なコーティングを形成するための幅広い前駆体工学が可能となった。
一般的に、前駆体溶液は以下のものを含むことができる。
a1 R z1SnO(3/2−z1/2−x1/2)(OH)x1+a2 R z2SnO(3/2−z2/2−x2/2)(OH)x2+...+b1 Ry1SnX 4−y1+b2 Ry2SnX24−y2+...+c1 SnX+c2 SnX+...+d1 Mn1,d2 Mn2+... (1)
ここで、a1+a2+...+b1+b2+...+c1+c2+...+d1+d2+...=1であり、すなわちこれらのパラメーターは、その溶液中の前駆体組成物中の金属のモル分率に対応し、(0≦(a1、a2、...)≦0.99)、(0≦(b1、b2、...)≦1)、(0≦(c1、c2、...)≦0.6)、(0≦(d1、d2、...)≦0.5)であり、かつ0.01<(b1+b2+...+c1+c2+...)であり、R(R、R、...)およびR’(R’、R’、...)は、独立して、ヒドロカルビル基またはそれらの組合せであり、X(X、X、...)、X’(X’、X’、...)およびX”(X”、X”、...)は、独立して、関連する金属またはそれらの組合せに対する加水分解性の結合を有する配位子であり、M、M、...は、スズではない金属イオンであり、(0<(x1、x2、...)<3)、(0<(z1、z2、...)≦2)、(1<(y1、y2、...)≦3)であり、およびn1、n2、...は、M、M、...イオンの原子価およびX”、X”、...の電荷によって決まる。一般的には、Mは第2族〜第16族の金属であり、多くの金属では、nは2〜6の範囲である。Mとして望ましい金属としては、Hf、Zr、W、Ta、Co、Ni、In、Sb、Bi、Teなどが挙げられる。代表的で好適なML”化合物としては、たとえば、Zr(OtBu)、Hf(NMe)、In(OPr)、およびSb(OEt)などが挙げられ、これらは、Sigma−Aldrich、Alfa Aesar、Gelest、Strem Chemical、他の供給業者から市販されている。いくつかの実施形態では、すべの「a」パラメーターの値がゼロであり、その結果、すべての配位子がインサイチューで加水分解される。さらなる実施形態では、0.1≦(a1、a2、...)≦0.90、または0.2≦(a1、a2、...)≦0.85、または0.25≦(a1、a2、...)≦0.75である。いくつかの実施形態では、0.25≦(b1、b2、...)≦1、または0.3≦(b1、b2、...)≦0.95、または0.35≦(b1、b2、...)≦0.9である。さらに次の実施形態では、0≦(c1、c2、...)≦0.4、または0.025≦(c1、c2、...)≦0.4、または0.05≦(c1、c2、...)≦0..35、または0.1≦(c1、c2、...)≦0.3であり、および0≦(d1、d2、...)≦0.5、または0.025≦(d1、d2、...)≦0.4、または0.05≦(d1、d2、...)≦0.3である。当業者がよく認識しているように、上で明示された範囲内の「a」、「b」、「c」および「d」パラメーターのさらなる範囲も考えられ、それらも本発明の開示に含まれる。本明細書で使用するとき、「<」および「≦」の記号は、実験誤差の範囲内での「約」特定された値である、対応する範囲限界の概念を暗黙的に含んでいる。
まとめると、前駆体組成物には、少なくとも1つの金属に対する加水分解性の結合を備えた配位子、および1つまたは複数の放射線感受性を与えるヒドロカルビル配位子を備えた1種または複数の化合物を含むことができる。それらの組成物は、一般的には、好適な有機溶媒を使用して前駆体溶液を形成して加工可能なものとするが、それらについては以下に説明する。それらの前駆体は、一般的には、望ましいパターン形成性能および良好な加工性が得られるように加工される。
いくつかの実施形態では、それらの前駆体組成物が、異なるヒドロカルビル配位子を有する2種の有機スズ化合物、異なるヒドロカルビル配位子を有する3種の有機スズ化合物、または異なるヒドロカルビル配位子を有する4種以上の有機スズ化合物の混合物を含むことができる。加えて、前駆体組成物には、金属−炭素結合を有する化合物と、金属−炭素結合を有する放射線感受性のアルキル配位子を含む1種または複数の化合物との混合物を含むことができる。一般的には、二元または三元混合物では、その混合物は、少なくとも約5モルパーセントの明確にヒドロカルビル配位子を有するそれぞれの成分、いくつかの実施形態では少なくとも約10モルパーセント、およびさらなる実施形態では少なくとも約20モルパーセントの明確にヒドロカルビル配位子を有するそれぞれの成分を含む。当業者がよく認識しているように、上で明示された範囲内の成分のモルパーセントのさらなる範囲も考えられ、それらも本発明の開示に含まれる。
いくつかの実施形態では、その前駆体組成物は、ヒドロカルビル配位子を含むR−Sn残基と、金属に直接結合されているアルキル配位子を含まない無機金属SnXまたはMX化合物との混合物を含む。一般的には、これらの混合物は、少なくとも約0.5モルパーセントのそれぞれの金属成分、いくつかの実施形態では少なくとも約5モルパーセント、およびさらなる実施形態では少なくとも約10モルパーセントのそれぞれの成分を含む。当業者がよく認識しているように、上で明示された範囲内の混合成分のさらなる範囲も考えられ、それらも本発明の開示に含まれる。前駆体組成物の各成分は、溶液中で組み合わせてもよいが、たとえば、コーティングを形成する前に固体のブレンド物として別々に形成することはない。
1個または複数の明確なヒドロカルビル配位子が存在しているかどうかに関わらず、R基は、直鎖状、分岐状(すなわち、金属結合された炭素原子において二級または三級)、または環状のヒドロカルビル基であってよい。それぞれのR基は、個別に、一般的には1〜31個の炭素原子を有し、3〜31個の炭素原子が二級結合された炭素原子であり、および4〜31個の炭素原子が三級結合された炭素原子の実施形態であり、たとえば、メチル、エチル、プロピル、ブチル、および分岐状アルキルである。特に、その化合物が、RCSnX(ここで、RおよびRが、独立して、1〜10個の炭素原子を有するアルキル基であり、およびRが、水素または1〜10個の炭素原子を有するアルキル基である)の代替的な表現で表すことができる分岐状アルキル配位子が望ましい。いくつかの実施形態では、RとRとで環状アルキル残基を形成することが可能であり、およびRが、環状残基中の他の基にさらに参加することも可能である。好適な分岐状アルキル配位子としては、たとえば以下のものが挙げられる:イソプロピル(RおよびRがメチルであり、およびRが水素である)、tert−ブチル(R、RおよびRがメチルである)、tert−アミル(RおよびRがメチルであり、およびRが−CHCHである)、sec−ブチル(Rがメチルであり、Rが−CHCHであり、およびRが水素である)、シクロヘキシル、シクロペンチル、シクロブチル、およびシクロプロピル。好適な環状基の例としては、たとえば以下のものが挙げられる:1−アダマンチル(−C(CH(CH)(CH、または三級炭素において金属にトリシクロ(3.3.1.13.7)デカン結合されている)、および2−アダマンチル(−CH(CH)(CH(CH)(CH)、または二級炭素において金属にトリシクロ(3.3.1.13,7)デカン結合されている)。他の実施形態では、ヒドロカルビル基は、アリール、またはアルケニル基、たとえばベンジル、アリル、またはアルキニル基を含み得る。他の実施形態では、そのヒドロカルビル配位子Rは、CおよびHのみからなり、1〜31個の炭素原子を含む各種の基を含み得る。例としては、以下のものである:直鎖状もしくは分岐状のアルキル(Pr、Bu、Me、Bu)、シクロ−アルキル(シクロ−プロピル、シクロ−ブチル、シクロ−ペンチル)、オレフィン系(アルケニル、アリール、アリル系)、もしくはアルキニル基、またはそれらの組合せ。さらなる実施形態では、好適なR基として、ヘテロ原子官能基たとえば、シアノ、チオ、シリル、エーテル、ケト、エステル、またはハロゲン化基、またはそれらの組合せを用いて置換されたヒドロカルビル基が含まれていてもよい。
所望の配位子構造を有するいくつかの好適な金属組成物は、商業的供給源、たとえば、Alfa Aesar(MA,USA)およびTCI America(OR,USA)から購入することができ、または他の金属−配位子組成物も以下に記載するように合成することができる。金属汚染の少ない前駆体組成物は、低汚染の出発原料および適切な精製法の使用を好適にベースとした、本明細書に記載されている方法を使用して合成することができる。
分岐状アルキル配位子を有する前駆体化合物を使用して、望ましいパターン形成の結果が得られた。しかしながら、混合されたアルキル配位子を使用すると、配位子選択のより充実した利点が達成できたが、異なる配位子によって付与される個別の有利なパターン形成性能、たとえば線量および線幅粗さが、本明細書の教示に従って複数のアルキル配位子をブレンドすることによって得ることができ、これについては後に記載の実施例で説明する。インサイチューで加水分解される前駆体を用いた加工により、望ましい溶媒をベースとした前駆体溶液中での、メチル配位子を有するスズ化合物の効果的な使用が与えられる。tert−ブチル配位子とメチル配位子とを混合を使用した効果的なパターン形成およびt−ブチル配位子を有する加水分解性の化合物と、加水分解性のSnX化合物(X=NMeまたはX=OBu)との混合物を含む前駆体が以下の実施例に記載されている。
異なるアルキル配位子を有する前駆体化合物の混合物では、その放射線硬化線量が、それぞれ個々の前駆体化合物についての放射線量を基準にしてほぼ直線的にスケール変更できることが見出された。分岐状アルキル配位子では、使用可能な放射線量が低いために、それらの混合物に少なくとも1個の分岐状有機配位子が含まれているのが一般的に望ましい。しかし、相応して、異なる有機配位子を有する前駆体化合物の混合物を用いると、線幅粗さを改良し得ることも発見された。理論に拘束されることを望むものではないが、混合物の組成物で観察されたそれらの改良された線幅粗さは、混合物の組成物では、エッチングを容易とし、パターンにおけるコントラストを大きく低下させることがないためであると考えることができる。これに関連して、その観察を、分岐状もしくは非分岐状のアルキルを担持する有機スズ化合物の組合せを含む混合物の組成物にも拡張することができる。
X、X’、およびX”配位子は、一般的には、ルイス塩基であり、それらは、M−X、M−X’およびM−X”結合の加水分解またはソルボリシスを介して水または他のルイス酸の酸性のプロトンと好適に反応して、容易に揮発される反応生成物を形成することができる。代替的に、これらの配位子が、酸化または還元反応を介して適切な反応剤と反応して、容易に揮発される反応生成物を形成してもよい。配位子は、一般的には、それらの共役酸の酸解離定数(pK)によって分類することができるが、いくつかの実施形態で望ましい配位子は、約4よりも高い共役酸のpKを有する。したがって、X、X’、およびX”は、一般的には、金属、たとえばスズに結合する原子を含み、それにより、HOおよび−OHを含む求核置換反応を行うことが可能である。そのようにして得られたM−OHまたはM−OH配位子は、次いで、その後の縮合工程または脱水工程を介して反応してオキシド−ヒドロキシドネットワークを形成する。
好適な配位子としては以下のものが挙げられる:アルキルアミドまたはジアルキルアミド(−NR(ここで、RおよびRが、独立して、1〜10個の炭素原子を有する炭化水素基または水素である))、シロキソ(−OSiR(ここで、R、Rが、独立して、1〜10個の炭素原子を有する炭化水素基である))、シリルアミド(−N(SiR )(R)(ここで、RおよびRが、独立して、1〜10個の炭素原子を有する炭化水素基である))、ジシリルアミド(−N(SiR )(SiR )(ここで、RおよびRが、独立して、1〜10個の炭素原子を有する炭化水素基である))、アルコキソおよびアリールオキソ(−OR(ここで、Rが、1〜10個の炭素原子を有するアルキルまたはアリール基である))、アジド(−N)、アルキニド(−C≡CR(ここで、Rが、1〜9個の炭素原子を有する炭化水素基である))、アミダト(−NR(COR)(ここで、RおよびRが、独立して、1〜7個の炭素原子を有する炭化水素基または水素である))、アミジナト(−NRC(NR)R)(ここで、RおよびRが、独立して、1〜8個の炭素原子を有する炭化水素基または水素である))、イミド(−N(COR)(COR)(ここで、RおよびRが、独立して、1〜8個の炭素原子を有する炭化水素基または水素である))またはそれらのフッ素化類似体。
無機もしくは有機金属材料中の金属は、放射線の吸収に大きい影響を与えることができる。スズは、13.5nmに極端紫外光の強い吸収を有する。アルキル配位子と組み合わせると、金属は、193nmの波長の紫外光にも強い吸収をさらに有する。スズは、電子線照射でも良好な吸収をさらに与える。吸収されたエネルギーが金属−有機相互作用により変調されて、その結果、金属−配位子結合の破断が起こり、その材料の性能について所望の制御が可能となる。それにも関わらず、他の金属組成物を導入して吸収性およびレジスト性能全体にさらに影響を与えることも可能である。先にも記したように、他のスズではない金属は、一般的には、MXとして導入され、ここで、Xは、金属に対して加水分解性の結合を有する配位子である。
金属に対して加水分解性の結合を有する配位子を含む前駆体化合物を使用することにより、前駆体溶液の調製を単純化することができ、なぜなら、インサイチューでの加水分解により、所定の加水分解反応生成物を得るのに必要とされる多くの合成工程および単離工程を省くことができるからである。特に、溶液相加水分解ならびにそれに続く有機スズオキシドヒドロキシドの加水分解物の縮合および単離では、反応中に大きい溶解性の変化が含まれる可能性があるため、溶液ベースの工程を回避することは、潜在的に困難なプロセス工程を回避することとなる。前駆体組成物の成分に、別々に加水分解される成分が含まれている限り、この特定の成分は、溶液ベースの加水分解を使用して、たとえば’839出願に記載されているような塩基触媒作用の水溶液を使用して得ることができる。金属に対して加水分解性の結合を有する配位子を含む成分は、一般的には、購入することができるか、または適切な出発原料、たとえばスズハライド組成物またはテトラキス(ジアルキルアミド)スズ組成物から合成することができる(実施例に示す)。
前駆体溶液の形成およびコーティング性能
前のセクションに記載した組成物をベースとして一連の前駆体溶液を配合することができる。それらの前駆体組成物は、一般的には、ある程度加水分解に敏感な金属−配位子結合を含んでいるという点で共通点を有する。十分な蒸気圧を有する前駆体化合物の場合、代替的に、その加水分解をコーティングにおいてインサイチューで実施するか、または気相堆積プロセスの一部として実施することができる。溶液堆積のための前駆体溶液には、一般的には、有機溶媒中にスズカチオンと、任意選択的に1種または複数のスズではない金属カチオンとが含まれる。
溶液中の配位子で安定化された金属カチオンの濃度を選択して、特定の溶液堆積アプローチ方法、たとえばスピンコーティング法、スロットコーティング法、ディップコーティング法、スプレーコーティング法もしくはエーロゾルコーティング法、またはプリンティング法などに適した溶液性能を得ることが可能であり、および少なくとも部分的に溶媒を除去し、最終的に照射および/または加熱処理、プラズマへの暴露、または同様の加工法で、スズオキシドを主体とする無機固形物であるコーティング組成物が形成されるように設計する。
アルキル−安定化配位子および有機溶媒をベースとする前駆体溶液を用いる場合、オキシドへの進行は、最初にその溶液をコーティング材料へと加工し、次いで有機配位子を、コーティング時に周囲環境の水蒸気を用いて加水分解および縮合反応させるか、および/またはコーティング後に加水分解および縮合をさせて最終的な金属オキシド組成物へと加工する手順の一部として調節することができる。本明細書に記載するように、アルキル配位子、特に分岐状アルキル配位子および/または特に金属に対して化学量論量のアルキル配位子の組合せを使用して、溶液の放射線レジスト組成物への効果的な加工を顕著に調節することができる。アルコールベースの溶媒を用いた加工には、金属に対する加水分解性の結合を備えた最初の配位子において、アルコールからアルコキシ配位子へと部分的または全面的に置換することが含まれるが、そのような置換をしても、それより下流の加工を顕著に変化させる必要はない。
前駆体溶液の濃度は、スズイオンのモル濃度に基づいて好都合に決めることができ、および各種の他の金属の濃度も、スズに対するそれらの金属のモル分率の値から相応に決めることができる。一般的に、その前駆体溶液には約0.005M〜約1.4Mのスズカチオン、さらなる実施形態では約0.02M〜約1.2M、およびさらに次の実施形態では約0.1M〜約1.0Mのスズカチオンが含まれる。前駆体溶液中のスズではない金属は、合計して、一般的に全金属イオンの約0.025モル%〜約10モル%、さらなる実施形態では全金属イオンの約10モル%〜約50モル%の範囲とすることができる。当業者がよく認識しているように、上で明示された範囲内のスズカチオンのさらなる範囲も考えられ、それらも本発明の開示に含まれる。
一般的に、所望の加水分解物化合物は、有機溶媒、たとえば、アルコール、芳香族および脂肪族炭化水素、エステル、またはそれらの組合せ中に溶解させることができる。特に、好適な溶媒としては、たとえば以下のものが挙げられる:芳香族化合物(たとえば、キシレン、トルエン)、エーテル(アニソール、テトラヒドロフラン)、エステル(プロピレングリコールモノメチルエーテルアセテート、酢酸エチル、乳酸エチル)、アルコール(たとえば、4−メチル−2−プロパノール、1−ブタノール、メタノール、イソプロピルアルコール、1−プロパノール,)、ケトン(たとえば、メチルエチルケトン)、それらの混合物など。一般的に、有機溶媒の選択には、溶解性パラメーター、揮発性、引火性、毒性、粘性、および他の加工材料との間の化学的な相互作用の可能性などが影響する可能性がある。溶液の成分を溶解させ、組み合わせた後、その化学種の特性を部分的なインサイチュー加水分解、水和、および/または縮合の結果として変化させてもよい。本明細書において溶液の組成を参照する場合、その参照値は、溶液に添加したままの成分についてのものであり、なぜなら、複雑な配合物がソルボリシスおよび配位子のメタセシスに関わるか、または溶液中で金属の多核化学種を生成するため、それらが良好に特性表示され得ないからである。ある種の用途では、その有機溶媒が、約10℃以上、さらなる実施形態では約20℃以上、さらなる実施形態では約25℃以上の引火点と、20℃で約10kPa以下、いくつかの実施形態では約8kPa以下、さらなる実施形態では約6kPa以下の蒸気圧を有するのが望ましい。当業者がよく認識しているように、上で明示された範囲内の引火点および蒸気圧のさらなる範囲も考えられ、それらも本発明の開示に含まれる。
前駆体溶液中の化学種の濃度を選択して、溶液で所望の物理的性質が得られるようにすることができる。特に、全体的に濃度が低いと、ある種のコーティングアプローチ方法、たとえばスピンコーティング(それは、合理的なコーティングパラメーターを使用してより薄いコーティングを達成することができる)のための溶液に望ましい性質を与えることができる。超微細パターン形成を達成するためかつ材料コストを低減させるためにより薄いコーティングを使用するのが望ましい。一般的に、濃度を選択することにより、選択されたコーティングアプローチ方法を最適化することができる。コーティング性能については、以下でさらに詳しく説明する。
一般的に、前駆体溶液は、形成する材料の容積に適した適切な混合装置を使用することにより、十分に混合することができる。好適な濾過を使用して、汚染物または適切に溶解しない他の成分を除去することができる。いくつかの実施形態では、別々の溶液を形成するのが望ましく、それらを組み合わせて、その組合せから前駆体溶液を形成することができる。特に、先に式(1)で示した化合物の1種または複数を含む別々の溶液を形成することができる。一般的には、それらの別々の溶液またはそれらを組み合わせた溶液をよく混合する。そのようにして得られた溶液は、安定化された金属カチオン溶液とみなすことができる。
それら前駆体溶液の安定性は、最初の溶液からの変化を利用して評価することができる。特に、大量のゾル粒子の生成を伴う相分離が起きたり、その溶液が所望のパターン形成を実施する性能を失ったりした場合、溶液は安定性を失う。本明細書に記載されている改良された安定化アプローチ方法に基づき、それらの溶液は、追加の混合を行わなくても少なくとも約1週間、さらなる実施形態では少なくとも約2週間、他の実施形態では少なくとも約4週間にわたって安定性を保ち得る。当業者がよく認識しているように、追加の範囲の安定化時間も考えることができ、それらも本発明の開示に含まれる。好適な溶液は、一般的には、それらの溶液が適切な貯蔵寿命を有し、商業的に流通させることが可能となる十分な安定化時間を有するように配合することができる。
本明細書に記載するように、金属の汚染を低減する加工アプローチ方法が開発された。したがって、スズではない金属を極めて低レベルでのみ含むように前駆体溶液を配合することが可能である。一般的に、すべての外来金属の濃度は、個々に重量で約1ppm以下、さらなる実施形態では重量で約200ppb以下、さらに次の実施形態では約50ppb以下、および他の実施形態では約10ppb以下の低い数値とすることができる。いくつかの実施形態では、加工に影響を与えるために他の金属元素を添加するのが望ましく、一般的に、それらは少なくとも約1重量パーセント、いくつかの実施形態では少なくとも約2重量パーセントのレベルで認識され、そのため、適切であれば汚染金属から区別することができる。低減させるべき金属汚染物としては、特にアルカリ金属およびアルカリ土類金属、Au、Ag、Cu、Fe、Pd、Pt、Co、Mn、ならびにNiが挙げられる。当業者がよく認識しているように、上で明示されたレベルの範囲内の金属レベルのさらなる範囲も考えられ、それらも本発明の開示に含まれる。
金属汚染の低い前駆体溶液およびコーティングを製造するための従来の取り組みは’839出願に記載されている。加水分解のために蒸気の水を使用すると、金属汚染物を実質的に含まない加水分解反応剤が得られるが、それは、低汚染のスズ組成物をベースとする、低汚染のパターン形成可能なコーティングの生成を効率的に促進することができる。金属汚染が低い好適な出発原料は、市販品としてまたは精製によって得ることができる。
コーティング加工およびインサイチューでの加水分解
コーティング材料は、堆積によって形成することができ、次いで選択された基板上でその前駆体溶液を加工する。本明細書に記載されている前駆体溶液を使用する場合、幾分かの加水分解および縮合は、一般的には、コーティング時に実施されるが、それに続く工程、たとえば空気中での加熱によって完結させるか、さらにポストコーティングを進めさせてもよい。基板は、一般的には、その上にコーティング材料を堆積させることを可能とする表面を提供し、その基板が複数の層で構成されていてもよく、その中でも表面は最上層に関わる。いくつかの実施形態では、その基板表面を処理して、コーティング材料を付着させるための表面を備えるようにすることができる。さらに、その表面を洗浄し、および/または適切に平滑化させることも可能である。好適な基板表面には、任意の合理的な材料を含むことができる。特に興味深いいくつかの基板としては、たとえば以下のものが挙げられる:シリコンウェーハ、シリカ基板、他の無機材料、たとえば、セラミック材料、ポリマー基板、たとえば有機ポリマー、それらの複合材料、ならびに基板の表面全体および/または層中でのそれらの組合せ。ウェーハ、たとえば比較的薄い円筒状の構造物が好都合であるが、任意の合理的な形状の構造物を使用することも可能である。ポリマー基板または非ポリマー性の構造物上にポリマー層を有する基板は、それらが低コストであり、可撓性があるため、ある種の用途で望ましいものであり得、本明細書に記載されているパターン形成可能な材料を加工するために使用することが可能な加工温度が比較的低いことから、好適なポリマーを選択することができる。好適なポリマーとしては、たとえば以下のものが挙げられる:ポリカーボネート、ポリイミド、ポリエステル、ポリアルケン、それらのコポリマー、およびそれらの混合物。一般的に、基板は平坦な表面を有するのが望ましく、特に高解像度用途ではそうである。しかしながら、特定の実施形態では、基板が実質的にトポグラフィーを有してもよく、その場合、レジストコーティングは、特定のパターン形成用途のために、フィーチャーを埋めて平坦化させる目的で使用される。代替的に、本明細書に記載されている気相堆積法を使用して、特定のパターン形成用途のために、既に存在しているトポグラフィーおよびフィーチャーを、有機スズオキシドヒドロキシドフォトレジストを用いて共形的にコーティングすることもできる。
一般的に、基板に前駆体溶液を送達させるために、本明細書に開示されている気相堆積法プロセスに加えて、各種の好適な溶液コーティングプロセスを使用することができる。好適なコーティングアプローチ方法としては、たとえば以下のものが挙げられる:スピンコーティング法、スプレーコーティング法、ディップコーティング法、ナイフエッジコーティング法、プリンティング的アプローチ方法、たとえばインクジェットプリンティング法、およびスクリーンプリンティング法など。これらのコーティングアプローチ方法のいくつかでは、コーティングプロセス中にコーティング材料のパターンが形成されるが、現時点でプリンティング法などによって得ることが可能な解像度は、本明細書に記載するような放射線を使用するパターン形成に基づいて得られるものより顕著に低いレベルの解像度のみを有する。
放射線を使用してパターン形成を実施する場合、スピンコーティング法は、基板を比較的均質にカバーするために望ましいアプローチ方法であり得るが、ただし、エッジ効果が出る可能性もある。いくつかの実施形態では、ウェーハを約500rpm〜約10,000rpm、さらなる実施形態では約1000rpm〜約7500rpm、さらに次の実施形態では約2000rpm〜約6000rpmの速度で回転させる。スピンニング速度を調節することにより、所望のコーティング厚みを得ることができる。スピンコーティングは、約5秒〜約5分、さらなる実施形態では約15秒〜約2分の時間をかけて実施することができる。最初は低速のスピン、たとえば、50rpm〜250rpmを使用して、基板全体に組成物の初期バルクスプレッディング(bulk spreading)を行わせることができる。裏面リンス、エッジビーズ除去工程などを、水または他の好適な溶媒を用いて実施してエッジビーズをすべて除去することができる。当業者がよく認識しているように、上で明示された範囲内のスピンコーティングパラメーターのさらなる範囲も考えられ、それらも本発明の開示に含まれる。
コーティングの厚みは、一般的には、前駆体溶液の濃度、粘度、およびスピンコーティングのスピン速度の関数であり得る。他のコーティングプロセスでも、その厚みは、一般的には、やはりコーティングパラメーターを選択することによって調節することができる。いくつかの実施形態では、それに続くパターン形成プロセスにおいて、微細で高解像度のフィーチャーの形成を容易にするために薄いコーティングを使用するのが望ましい。たとえば、乾燥後のコーティング材料は、以下のような平均厚みを有することができる:約10ミクロン以下、他の実施形態では約1ミクロン以下、さらなる実施形態では約250ナノメートル(nm)以下、さらに次の実施形態では約1ナノメートル(nm)〜約50nm、他の実施形態では約2nm〜約40nm、およびいくつかの実施形態では約3nm〜約25nm。当業者がよく認識しているように、上で明示された範囲内の厚みのさらなる範囲も考えられ、それらも本発明の開示に含まれる。厚みは、非接触法の、X線反射法(X−ray reflectivity)および/または膜の光学的性質に基づくエリプソメトリを使用して評価することができる。一般的に、コーティングは比較的均質であり、加工を容易にしている。いくつかの実施形態では、コーティングの厚みの変動は、平均コーティング厚みから±50%以下、さらなる実施形態では±40%以下、さらに次の実施形態では平均コーティング厚みに対して約±25%以下の変動である。たとえば、より大きい基板上での高均質コーティングのようないくつかの実施形態では、コーティングの均質性の評価は、エッジから1センチメートルを排除して評価するのがよく、すなわち、コーティングの均質性は、エッジから1センチメートル以内のコーティングの部分では評価しない。当業者がよく認識しているように、上で明示された範囲内のさらなる範囲も考えられ、それらも本発明の開示に含まれる。
コーティングプロセスそのものでは、溶媒の一部を蒸発させることになり、なぜなら、多くのコーティングプロセスでは、コーティング材料の液滴または他の形状を形成して大きい表面積を得、および/または溶液を動かして蒸発を促進させるからである。溶媒が失われると材料中の化学種の濃度が上がるために、コーティング材料の粘度が高くなる傾向がある。コーティングプロセスの場合の目的は、溶媒を十分に除去して、次の加工のためにコーティング材料を安定化させることにある。反応性の化学種が、コーティング時またはその次の加熱で縮合され、加水分解物のコーティング材料が形成される。
一般的に、コーティング材料を放射線暴露前に大気湿分の存在下で暴露させ、任意選択的に加熱して、その前駆体組成物中の金属に対する加水分解性の結合を加水分解させ、および/または溶媒をさらに追い出して、コーティング材料の高密度化を促すことができる。インサイチューでの加水分解後のコーティング材料は、一般的には、オキソ−ヒドロキソ配位子を金属に結合させることに基づくポリマー性の金属オキソ−ヒドロキソネットワーク(その中で金属がいくつかの配位子を有する)を形成するか、またはアルキル配位子を含む多核金属オキソ/ヒドロキソ化学種からなる分子固体を形成することができる。
その加水分解/溶媒除去プロセスでは、加熱されたコーティング材料の正確な化学量論、および/またはコーティング材料中に残存している溶媒の特定の量について量的に調節されていても調節されていなくてもよい。さらに、本明細書において表されている式および組成には、Snに直接結合されているか、またはネットワークの水素結合された成分として、いくぶんかの追加の水が含まれていてもよい。一般的には、そのようにして得られたコーティング材料の性質の実験的な評価を実施して、パターン形成プロセスに有効な加工条件の選択を可能としている。プロセスの適用を成功させるのに加熱が必要なわけではないが、コーティングされた基板を加熱して、加工の速度を上げ、および/またはプロセスの再現性を向上させ、および/または加水分解による反応生成物、たとえば、アミンおよび/またはアルコールの蒸発を容易にすることが望ましい。溶媒を除去する目的で熱を加える実施形態では、そのコーティング材料を約45℃〜約250℃、さらなる実施形態では約55℃〜約225℃の温度に加熱することができる。溶媒除去のための加熱は、一般的には少なくとも約0.1分間、さらなる実施形態では約0.5分間〜約30分間、さらに次の実施形態では約0.75分間〜約10分間かけて実施することができる。当業者がよく認識しているように、上で明示された範囲内の加熱温度および時間のさらなる範囲も考えられ、それらも本発明の開示に含まれる。コーティング材料の加熱処理、加水分解、および高密度化の結果として、そのコーティング材料は、屈折率および放射線の吸収の増大を示すことができ、しかもコントラストの顕著な低下はない。
気相ベースのコーティング形成
放射線でパターン形成可能な有機スズオキシドヒドロキシドコーティングの気相堆積の現像のために、Snに対して実質的に非加水分解性の結合を有するR−基と、Snに対して加水分解性の結合を有するX配位子との両方を含む前駆体化合物の現像が開発された。特に、たとえば表1に列挙したような多くのRSnX(4−n)化合物が比較的高い蒸気圧および反応性を有するため、有機スズオキシドヒドロキシドの薄膜フォトレジストを堆積させるために気相堆積法を使用することが可能となった。周囲大気から密閉された反応器内に気相で加水分解性の前駆体を導入することにより、堆積プロセス、すなわち化学気相堆積法の一部として加水分解を実施することが可能となる。使用可能な気相堆積法としては、化学気相堆積法(CVD)、原子層堆積法(ALD)、およびそれらの改良法が挙げられ、それらの多くは、金属のアルキルアミド、アルコキシド、およびハライド前駆体を用いて無機金属オキシドおよびニトリド膜を堆積させるために以前から使用されており[1〜4]、Sn(NMeからのSnOも含まれる[5]。気相堆積法を実施するには、一般的には、1種または複数の金属含有前駆体を小分子の気相反応剤、たとえば、HO、H、O、O、またはCHOHの1種または複数と反応させ、それらは、オキシドおよびオキシドヒドロキシドを作るためのO源およびH源として機能する。必要に応じて、物理気相堆積法のアプローチも実施可能であり、その場合、Snに対する加水分解性の結合を有する配位子を含む前駆体組成物を気相から堆積させ、その結合を次いで、コーティングが形成された後に加水分解させ、気相加工の場合、堆積中に加水分解/酸化を起こさせるのが一般的にはより効率的であり得る。
Figure 2019500490
CVD法では、2種以上の反応ガスを一般的にチャンバー中において基板表面の近傍で混合させる。したがって、望ましくない気相反応および核生成を制御できる反応条件になるように十分な安定性が設計されている。反応チャンバーに別途にかつ順次に導入されるALD前駆体は、典型的には、基板表面を飽和させている、化学吸着された共前駆体または分解反応生成物と反応する。RSnX(4−n)前駆体の望ましい特徴としては、たとえば、系に気相輸送するための十分な揮発性、早期の分解を防止するための熱安定性、および所定のプロセス条件下で目標の反応生成物を生成させるための共前駆体との適切な反応性が挙げられる。反応チャンバー内の圧力および温度を選択して、その反応プロセスを制御することができる。
一般的に、蒸気、エーロゾルの流れを使用し、および/または蒸発チャンバー内に直接液体を注入して、比較的低い蒸気圧を有する前駆体を導入することができる。フラッシュエバポレーターを使用して、反応チャンバー内に前駆体蒸気を調節した量で導入し、それに従ってチャンバー中での反応プロセスを調節することができる。チャンバー内に別の入口から加水分解/酸化を促進させるための二次的反応剤を導入することも可能である。この使用のために、市販のCVD装置を改造してもよく、または特定の装置を使用してもよい。堆積を容易とするために、前駆体の性質に応じて基板を加熱してもよく、または冷却してもよい。たとえば、N、Arなどの不活性ガスを適当な量で逐次流入法および連続流入法のいずれかでキャリアガス、パージガス、または圧力調節ガスとして使用してもよい。
本明細書において論じたようにして、溶液中での加水分解またはインサイチューでの加水分解によって有機スズオキシドヒドロキシドフォトレジストを作成することを示した一連のRSnX(4−n)化合物(ここで、n=0、1または2である)またはそれらの組合せも、望ましい性質を有する有機スズオキシドヒドロキシドフォトレジストの気相堆積法に適している。有用なX配位子としては、アルキルアミドおよびジアルキルアミド、クロロ、アルコキソ、またはアルキニド、シロキソ、シリルアミド、ジシリルアミド、アリールオキソ、アジド、アミダト、アミジナト、またはそれらのフッ素化類似体が挙げられ、直鎖および分岐鎖の両方のアルキル、シクロ−アルキル、アリール、アルケニル、アルキニルベンジル、およびそれらのフッ素化誘導体を含むヒドロカルビルR基と組み合わされる。好適な前駆体としては、たとえば、以下のものが挙げられる:(CHCSn(NMe、(CHCHSn(NMe、(CH(CHCH)CSn(NMe、(CHCHSn(NMe、CHSn(NMe、(CHCHSn(NMe、(CHCHSn(NMe、(C)CHSn(NMe、(C)(CH)CHSn(NMe、(C)(CH)CHSn(NMe、(CH(CN)CSn(NMe、(CH)(CN)CHSn(NMe、または(CHCSn(OBu)、(CHCHSn(OBu)、(CH(CHCH)CSn(OBu)、(CHCHSn(OBu)、CHSn(OBu)、(CHCHSn(OBu)、(CHCHSn(OBu)、(C)CHSn(OBu)、(C)(CH)CHSn(OBu)、(C)(CH)CHSn(OBu)、(CH(CN)CSn(OBu)、(CH)(CN)CHSn(OBu)、他の当業者に公知のもの。さらに、=0である1種または複数の気相前駆体化合物、たとえば、Sn(NMeまたはSn(OBu)を順次または同時に有機スズ含有前駆体と反応させて、膜中でのR:Snの比率を変化させて望ましいパターン形成特質が達成できるようにしてもよい。
したがって、加水分解性の化合物を気相加水分解により直接堆積させて、対応するアルキルスズオキシドヒドロキシドコーティングとすることが可能であり、次いでそれを適切にパターン化させることもできる。気相堆積法の利点としては、たとえば、レジスト膜の欠陥密度の低下、厚みおよび組成の均一性の改良、ならびに基板トポグラフィーの共形的コーティングおよびサイドウォールコーティングが挙げられる。
一般式RSnO(3/2−x/2)(OH)(0<x<3)を用いて有機スズオキシドヒドロキシドを直接堆積させる気相堆積法には、いくつかの実施形態では、別置きの加熱したバブラー容器に接続された不活性ガス源が含まれていてもよい。第一の容器には、不活性なキャリアガス中で輸送するのに適した分圧を発生させるに十分は蒸気圧の液状のアルキルトリス(ジアルキルアミド)スズ化合物が入っている。第二の容器には、液状の水または水/アルコール混合物が入っている。容器の温度、不活性ガスの流速、および系の全圧を調節することにより、気相のRSn(NR’およびHOを、独立して、約0.1Torr、より一般的には約0.01Torr〜約25Torrに減圧したチャンバーに輸送して、CVD圧力>25Torrの環境圧力とする。その中で前駆体を混合、反応させて、基板上に有機スズオキシドヒドロキシドを堆積させる。基板および/またはチャンバーおよび/または蒸気を加熱して、基板表面上での反応および堆積を促進させてもよい。いくつかの実施形態では、スズ化合物の脱アルキル反応を制限するため、および/またはオキシドヒドロキシドの過度な脱水および縮合を防止するために約200℃未満の反応温度とするのが望ましい。そのようなオキシドヒドロキシドが生成すると、暴露された領域と暴露されていない領域との間でフォトレジストの溶解速度のコントラストが低下する可能性がある。各種の実施形態では、ガス、チャンバー壁、および/または基板を加熱して、一般的には約40℃〜約175℃、さらなる実施形態では約50℃〜約160℃の温度とすることもできる。当業者がよく認識しているように、上で明示された範囲内の圧力および温度のさらなる範囲も考えられ、それらも本発明の開示に含まれる。同様に、関連のプロセスにおいて、適切な間隔および周期の水蒸気、不活性ガス、およびRSn(NR’のパルスを変化させて、ALD法に一般的である表面に限定された吸着および反応様式を可能としてもよい。
パターン形成およびパターン化された構造物の性質
加水分解、縮合、および乾燥の後で、放射線を用いてそのコーティング材料を微細にパターン化することができる。先にも記したように、前駆体溶液およびそれからの対応するコーティング材料の組成を設計して、所望の放射線の形態を十分に吸収するようにすることができる。放射線の吸収がエネルギーをもたらし、それが金属とアルキル配位子との間の結合を壊して、アルキル配位子の少なくとも一部がその材料の安定化にもはや利用されないようにすることができる。アルキル配位子またはそれらの破片も含めて、放射線分解反応の生成物は、プロセス変数およびそのような反応生成物の識別に依存して、その膜から拡散して出ることができ、または出ないことができる。十分な量の放射線を吸収すると、その暴露されたコーティング材料が縮合する、すなわち、より高度の金属オキソ−ヒドロキソネットワークを形成し、それは、周囲大気から吸収した追加の水を含むことができる。放射線は、一般的には、選択されたパターンに従って送達することができる。放射線のパターンが、照射された領域と照射されなかった領域とのコーティング材料中で対応するパターン、すなわち潜像に変換される。照射された領域には、化学的に変化されたコーティング材料が含まれ、および照射されなかった領域には、一般的には、最初に形成されたままのコーティング材料が含まれる。以下でも説明するように、そのコーティング材料を現像して、照射されなかったコーティング材料を除去するか、または代替的に照射されたコーティング材料を選択的に除去すると、極めて滑らかなエッジを形成することができる。
放射線は、一般的には、マスクを通してコーティングされた基板に直射することもでき、または放射線ビームを調節可能な方法で基板上においてスキャンさせることもできる。一般的に、放射線としては、電磁照射線、電子線(ベータ線)、または他の好適な放射線を挙げることができる。一般的に、電磁照射線は、所望の波長または波長範囲、たとえば可視光線、紫外光線、またはX線を有することができる。放射線パターンで達成可能な解像度は、一般的には、放射線の波長に依存し、一般的には、より短い波長の放射線を用いれば、より高い解像度パターンを得ることができる。したがって、特に高い解像度パターンを得るために紫外光、X線、または電子線を使用するのが望ましい。
国際標準のISO 21348(2007)(これは参照により本明細書に組み込まれる)によれば、紫外光とは、100nm以上〜400nm未満の波長を指す。クリプトンフルオリドレーザーは、248nmの紫外光のための光源として使用することができる。紫外光の範囲は、受理された標準では、いくつかの方法でさらに細分することも可能であり、たとえば10nm以上〜121nm未満は極端紫外(EUV)、および122nm以上〜200nm未満は遠紫外(FUV)である。アルゴンフルオリドレーザーからの193nm線は、FUVのための放射線源として使用可能である。13.5nmのEUV光がリソグラフィのために使用されてきたが、この光は、高エネルギーレーザーまたは放電パルスを使用して励起させたXeまたはSnプラズマ源で発生させる。軟X線は、0.1nm以上〜10nm未満と定義することができる。
電磁照射の量は、フルエンスまたは線量によって特性決定することができ、それは、暴露時間中の積分した放射束によって定義される。好適な放射フルエンスは、約1mJ/cm〜約150mJ/cm、さらなる実施形態では約2mJ/cm〜約100mJ/cm、さらなる実施形態では約3mJ/cm〜約50mJ/cmとすることができる。当業者がよく認識しているように、上で明示された範囲内の放射フルエンスのさらなる範囲も考えられ、それらも本発明の開示に含まれる。
電子線リソグラフィを用いる場合、そのエレクトロンビームが、一般的には、二次電子を誘起し、それが一般的に照射された材料を改質する。解像度は、少なくとも部分的に材料における二次電子の範囲の関数であり、一般的には二次電子の範囲が狭いほど、解像度が高くなると考えられる。本明細書に記載されている無機コーティング材料を用いて、電子線リソグラフィで達成可能な高解像度に基づき、その無機材料中の二次電子の範囲には限度がある。エレクトロンビームは、そのビームのエネルギーで特徴付けることができ、好適なエネルギーは、約5V〜約200kV(キロボルト)、さらなる実施形態では約7.5V〜約100kVの範囲とすることができる。近接効果補正をした(proximity−corrcted)30kVでのビーム線量は、約0.1マイクロクーロン/平方センチメートル〜約5ミリクーロン/平方センチメートル(mC/cm)、さらなる実施形態では約0.5μC/cm〜約1mC/cm、他の実施形態では約1μC/cm〜約100μC/cmの範囲とすることができる。当業者であれば、本明細書における教示に基づいて他のビームエネルギーに対応する線量を計算することができ、または上で明示された範囲内のエレクトロンビームの性質のさらなる範囲も考えられ、それらも本発明の開示に含まれる。
コーティング材料の設計に基づいて、照射された領域(コーティング材料が縮合されている)と、照射されなかった領域(実質的に有機配位子が変化していないコーティング材料)との間で材料の性質に大きいコントラストがあるようにすることができる。所定の線量でのコントラストは、照射の後の加熱処理で改良することが可能ではあるが、いくつかの実施形態では、照射後の加熱処理なしで満足のいく結果が得られることが見出された。暴露後の加熱処理では、照射されたコーティング材料をアニールしてその縮合を増大させるが、コーティング材料の照射されなかった領域では、有機配位子−金属結合の熱破断に基づく顕著な縮合を起こさないように思われる。照射後の加熱処理を使用する実施形態では、その照射後の加熱処理を約45℃〜約250℃、さらなる実施形態では約50℃〜約190℃、さらに次の実施形態では約60℃〜約175℃の温度で実施することができる。暴露後の加熱は、一般的には少なくとも約0.1分間、さらなる実施形態では約0.5分間〜約30分間、さらに次の実施形態では約0.75分間〜約10分間かけて実施することができる。当業者がよく認識しているように、上で明示された範囲内の照射後の加熱温度および時間のさらなる範囲も考えられ、それらも本発明の開示に含まれる。この材料中での高いコントラストの性能により、そのパターンに、スムーズなエッジを有する高い解像度の線を形成することが容易となり、これについては次のセクションで説明する。
放射線を用いた暴露後、そのコーティング材料は、照射された領域と照射されなかった領域とにパターン化される。図1および2を参照すると、パターン化された構造物100には、基板102、薄膜103、およびパターン化されたコーティング材料104が含まれていることが示されている。パターン化されたコーティング材料104には、照射されたコーティング材料の領域110、112、114、116と、照射されなかったコーティング材料の縮合されなかった領域118、120、122とが含まれている。縮合された領域110、112、114、116と、縮合されなかった領域118、120、122とで形成されたパターンは、コーティング材料中に作られた潜像を表し、その潜像の現像については次のセクションで論じる。
現像およびパターン化された構造物
画像の現像には、潜像を含むパターン化されたコーティング材料を現像剤組成物と接触させて、照射されなかったコーティング材料を除去してネガ画像を形成するか、または照射されたコーティングを除去してポジ画像を形成するかのいずれかが含まれる。本明細書に記載されているレジスト材料を使用すると、一般的に、適切な現像溶液を使用し、一般的には同一のコーティングをベースにして、望ましい解像度を有する効果的なネガパターン形成またはポジパターン形成を実施することができる。特に、照射された領域が少なくとも部分的に縮合されて、金属オキシドの性質が強くなっていると、その照射された材料は、有機溶媒によって溶解されることに抵抗性があり、それに対して照射されなかった組成物は、依然として有機溶媒への可溶性を保持している。縮合されたコーティング材料に言及するときには、最初の材料と比較して、材料のオキシド特性が高くなっているという意味で少なくとも部分的に縮合が起きていることを示唆する。他方、照射されなかった材料は、その材料の疎水性が原因で水性の弱塩基性または弱酸性において可溶性が低く、そのため、水性塩基を使用して、照射されていない材料をポジパターン形成で保持しながら、照射された材料を除去することが可能である。
有機−安定化配位子を有するコーティング組成物から本質的に疎水性である材料が作られる。有機金属結合の少なくとも一部を壊すように照射すると、その材料は、疎水性がより低い材料、すなわち親水性がより高い材料に転換される。この特性における変化のために、照射されたコーティングと照射されていないコーティングとの間に顕著なコントラストが得られるため、同一のレジスト組成物を用いて、ポジ調のパターン形成とネガ調のパターン形成との両方が実施できるようになる。特に、照射されたコーティング材料が縮合して、ある程度からより多くの金属オキシド組成物になるが、縮合の程度は、一般的に熱を多くかけなければ中程度であり、そのため、その照射された材料は、好都合な現像剤を用いて比較的簡単に現像される。
ネガ調の画像形成に関して図3および4を参照すると、図1および2に示した構造物の潜像が現像剤と接触させることによって現像されて、パターン化された構造物130を形成している。画像を現像した後、基板102が開口132、134、135を通して上面に暴露されている。開口132、134、135は、それぞれ縮合されなかった領域118、120、122の位置の場所にある。ポジ調の画像形成に関して図5および6を参照すると、図1および2に示した構造物の潜像が現像されて、パターン化された構造物140を形成している。パターン化された構造物140は、パターン化された構造物130と対をなす(conjugate)像を有している。パターン化された構造物140は、照射された領域110、112、114、116の位置で暴露された基板102であり、それが現像されて開口142、144、146、148が形成されている。
ネガ調の画像形成の場合、その現像剤が、有機溶媒、たとえば前駆体溶液を形成するときに使用した溶媒であってよい。一般的に、現像剤の選択に影響を与えるのは以下の項目である:照射された場合と照射されていない場合との両方のコーティング材料に関する溶解性パラメーター、ならびに現像剤の揮発性、引火性、毒性、粘性、および他のプロセス材料との化学的な相互作用の可能性。特に、好適な現像剤としては、たとえば、以下のものが挙げられる:芳香族化合物(たとえば、ベンゼン、キシレン、トルエン)、エステル(たとえば、プロピレングリコールモノメチルエステルアセテート、酢酸エチル、乳酸エチル、酢酸n−ブチル、ブチロラクトン)、アルコール(たとえば、4−メチル−2−ペンタノール、1−ブタノール、イソプロパノール、1−プロパノール、メタノール)、ケトン(たとえば、メチルエチルケトン、アセトン、シクロヘキサノン、2−ヘプタノン、2−オクタノン)、エーテル(たとえば、テトラヒドロフラン、ジオキサン、アニソール)など。現像は、約5秒間〜約30分間、さらなる実施形態では約8秒間〜約15分間、それに続く実施形態では約10秒間〜約10分間で実施することができる。当業者がよく認識しているように、上で明示された範囲内のさらなる範囲も考えられ、それらも本発明の開示に含まれる。
ポジ調の画像形成の場合、その現像剤は、一般的には、水性の酸または塩基とすることができる。いくつかの実施形態では、水性の塩基を使用してシャープな画像を得ることができる。現像剤からの汚染を低減させるために、金属原子を含まない現像剤を使用するのが望ましい。したがって、水酸化四級アンモニウム組成物、たとえば、水酸化テトラエチルアンモニウム、水酸化テトラプロピルアンモニウム、水酸化テトラブチルアンモニウム、またはそれらの組合せが現像剤として望ましい。一般的に、特に興味深い水酸化四級アンモニウムは、式RNOHで表すことができるが、ここで、R=メチル基、エチル基、プロピル基、ブチル基、またはそれらの組合せである。本明細書に記載されているコーティング材料は、一般的には、現在ポリマーレジストに一般的に使用されているのと同じ現像剤、特に水酸化テトラメチルアンモニウム(TMAH)を用いて現像することができる。商品としてのTMAHは、2.38重量パーセントで入手できるが、この濃度で、本明細書に記載されている加工に使用することができる。さらに、混合水酸化四級テトラアルキルアンモニウムも使用することができる。現像剤には、一般的には約0.5〜約30重量パーセント、さらなる実施形態では約1〜約25重量パーセント、他の実施形態では約1.25〜約20重量パーセントの、水酸化テトラ−アルキルアンモニウムまたは類似の水酸化四級アンモニウムを含むことができる。当業者がよく認識しているように、上で明示された範囲内の現像剤濃度のさらなる範囲も考えられ、それらも本発明の開示に含まれる。
主たる現像剤組成物に加えて、現像剤には、現像プロセスを容易とするための追加の組成物を含むことができる。好適な添加剤としては、たとえば、アンモニウム、d−ブロックの金属カチオン(ハフニウム、ジルコニウム、ランタンなど)、f−ブロックの金属カチオン(セリウム、ルテチウムなど)、p−ブロックの金属カチオン(アルミニウム、スズなど)、アルカリ金属(リチウム、ナトリウム、カリウムなど)、およびそれらの組合せからなる群から選択されるカチオンと、フルオリド、クロリド、ブロミド、ヨーダイド、ナイトレート、スルフェート、ホスフェート、シリケート、ボレート、ペルオキシド、ブトキシド、ホルメート、オキサレート、エチレンジアミン−テトラ酢酸(EDTA)、タングステート、モリブデートなど、およびそれらの組合せからなる群から選択されるアニオンとの溶解性の塩が挙げられる。他の可能性のある有用な添加剤としては、たとえば、分子状態のキレート剤、たとえば、ポリアミン、アルコールアミン、アミノ酸、カルボン酸、またはそれらの組合せが挙げられる。任意成分の添加剤を存在させる場合、その現像剤には、約10重量パーセント以下の添加剤、さらなる実施形態では約5重量パーセント以下の添加剤を含むことができる。当業者がよく認識しているように、上で明示された範囲内の添加剤濃度のさらなる範囲も考えられ、それらも本発明の開示に含まれる。添加剤を選択して、コントラスト、感度、および線幅粗さを改良することができる。現像剤中の添加剤は、金属オキシド粒子の生成および沈殿を防止することもさらに可能である。
より弱い現像剤、たとえば、低濃度の水性現像剤、希釈した有機現像剤、またはその中ではコーティング現像速度が遅い組成物を用いる場合、加工速度を高めるために、より高温の現像プロセスを使用することができる。より強い現像剤の場合、現像プロセスの温度を下げることにより速度を低下させ、および/または現像の動力学を調節することができる。一般的に、現像の温度は、溶媒の揮発性に見合う適切な数値間で調節するのがよい。さらに、現像剤−コーティングの界面近くでコーティング材料を溶解させる現像剤は、現像時に超音波を用いて分散させることができる。
現像剤は、各種の合理的なアプローチ方法を使用して、パターン化されたコーティング材料に適用することができる。たとえば、パターン化されたコーティング材料上に現像剤をスプレーすることができる。スピンコーティングを使用することも可能である。自動化された加工では、パドル法を使用することが可能であり、一定の形態のコーティング材料上に現像剤を注ぐ。必要に応じて、スピンリンシングおよび/または乾燥を用いて現像プロセスを完了させることができる。好適なリンシング溶液としては、たとえば以下のもの挙げられる:ネガパターン形成には、超純水、メチルアルコール、エチルアルコール、プロピルアルコール、およびそれらの組合せ、ならびにポジパターン形成のための超純水。画像が現像できた後、そのコーティング材料は基板上にパターンとして配置されている。
現像工程が完了した後、コーティング材料を熱処理することが可能であり、材料をさらに縮合させ、さらに脱水し、高密度化し、または残存している現像剤を材料から除去する。この加熱処理は、オキシドコーティング材料が最終的なデバイス内に組み込まれる実施形態では、特に望ましいものとなり得るが、さらなるパターン形成を容易にするために、コーティング材料の安定化が望ましい場合、コーティング材料がレジストとして使用されかつ最終的に除去されるいくつかの実施形態では、加熱処理を実施するのが望ましい。特に、パターン化されたコーティング材料が所望のレベルのエッチ選択性を示す条件下において、パターン化されたコーティング材料のベーキングを実施することができる。いくつかの実施形態では、パターン化されたコーティング材料を約100℃〜約600℃、さらなる実施形態では約175℃〜約500℃、さらに次の実施形態では約200℃〜約400℃の温度に加熱することができる。加熱は、少なくとも約1分間、他の実施形態では約2分間〜約1時間、さらなる実施形態では約2.5分間〜約25分間かけて実施することができる。加熱は、空気中、真空、または不活性ガス雰囲気、たとえばArもしくはN中で実施するのがよい。当業者がよく認識しているように、上で明示された範囲内の加熱処理のための温度および時間のさらなる範囲も考えられ、それらも本発明の開示に含まれる。同様に、非加熱処理、たとえば、ブランケットUV暴露、またはOのような酸化性プラズマへの暴露も同様のプロセスで採用してもよい。
従来からの有機レジストを用いた場合、構造物のアスペクト比(高さ/幅)が大きくなり過ぎると、その構造物がパターン崩壊を起こしやすくなる。パターン崩壊は、高アスペクト比の構造物の機械的な不安定性に伴うものであり、たとえば、加工工程に伴う力、たとえば表面張力が構造素子を歪ませる。可能性のある歪み力に関して、低アスペクト比の構造物はより安定性が高い。本明細書に記載されているパターン形成可能なコーティング材料を用いた場合、それらが高いエッチ耐性、およびコーティング材料のより薄い層を用いて効率的に構造物を加工する性能を有するため、高アスペクト比のパターン化されたコーティング材料を必要とすることなく、改良されたパターン形成が可能である。したがって、パターン化されたコーティング材料において高アスペクト比のフィーチャーを使用しなくても、極めて高解像度のフィーチャーが形成された。
そのようにして得られた構造物は、シャープなエッジと共に極めて低い線幅粗さを有することができる。特に、線幅粗さを低下させる性能に加えて、コントラストが高いことにより、小さいフィーチャーおよびフィーチャー間の空間の形成がさらに可能となり、かつ極めて良好に解像された二次元パターン(たとえば、シャープなコーナー)を形成する能力が得られる。したがって、いくつかの実施形態では、近接した構造の隣り合う線形セグメントが約60nm以下(ハーフピッチで30nm以下)、いくつかの実施形態では約50nm以下(ハーフピッチで25nm以下)、さらなる実施形態では約34nm以下(ハーフピッチで17nm以下)の平均ピッチ(ハーフピッチ)を有することが可能である。ピッチは、設計から評価し、走査型電子顕微鏡法(SEM)、たとえばトップダウン画像を用いて確認することができる。本明細書で使用するとき、「ピッチ」とは、繰り返されている構造素子の空間周期または中心−中心距離を指し、当技術分野で一般的に使用されているように「ハーフピッチ」はピッチの半分である。パターンのフィーチャー寸法もフィーチャーの平均幅に関連させて記述することができ、それは一般的にコーナーなどから評価される。さらに、「フィーチャー」は、材料素子間および/または材料素子までのギャップを指すことができる。平均幅は、いくつかの実施形態では約25nm以下、さらなる実施形態では約20nm以下、さらに次の実施形態では約15nm以下とすることができる。平均線幅粗さは、約5nm以下、いくつかの実施形態では約4.5nm以下、さらなる実施形態では約2.5nm〜約4nm以下とすることができる。トップダウンSEM画像を解析して、平均線幅から3σの偏差を導き出すことによって実施される。その平均には、高周波数の粗さおよび低周波数の粗さ、すなわち、それぞれ短相関距離および長相関距離が含まれる。有機レジストの線幅粗さは、主として長相関距離によって特徴付けられるが、それに対して本発明の有機金属コーティング材料は、顕著に短い相関距離を示す。パターン転写プロセスでは、エッチングプロセス時に短相関粗さを均して、より高い忠実度のパターンを得ることができる。当業者がよく認識しているように、上で明示された範囲内のピッチ、平均幅、および線幅粗さのさらなる範囲も考えられ、それらも本発明の開示に含まれる。これらのプロセスに基づき、一般的には適切に層状化された構造物、たとえばトランジスタまたは他の素子を形成するための繰り返しパターン形成プロセスを介してパターン形成を適応させて、各種のデバイス、たとえば電子集積回路を形成することができる。
ウェーハのスループットは、量産タイプの半導体製造におけるEUVリソグラフィの実施での実質的な制約因子であり、所定のフィーチャーをパターン化するのに必要な線量に直接関係する。しかしながら、画像形成線量を低減させるための化学的な戦略が存在するものの、目標のフィーチャーをプリントするのに必要な画像形成線量とフィーチャーサイズの均質性(たとえばLWR)との間の負の相関関係が、フィーチャーサイズおよびピッチが50nm未満のEUVフォトレジストで一般的に観察され、それにより最終的なデバイスの可用性とウェーハの収率とが制限される。しかしながら、本明細書に記載されている前駆体、前駆体溶液、およびフォトレジスト膜は、この制限を回避し、および実質的な線量範囲全体にわたって、LWRにおける増大が付随することなく、EUV画像形成線量を抑制することが可能となることが見出された。以下の実施例において詳しく説明するように、コーティングおよびベーキング工程中にインサイチューで加水分解される、RSnX(4−n)とSnXとのブレンド物を含む前駆体溶液を使用することにより、予備加水分解された有機スズオキシドヒドロキシド化合物の混合物から誘導されたフォトレジスト膜(’839出願の記載に従って調製されたもの)と比較して、同一の条件下で加工した場合、LWRが同等または低い一方で30%を超える線量の削減が観察される。
以下の実施例に記載されている改良されたプロセスに基づき、コーティング材料の改良された性質を相応に特徴付けることができる。たとえば、表面に無機半導体層および放射線感受性コーティング材料を含む基板を、13.5nmの波長のEUV光によって32nmのピッチで16nmの線のパターンでパターン化することができる。コーティング材料を評価するために、16nmの限界寸法を達成させるための線量を、達成可能な線幅粗さ(LWR)を用いて評価することができる。改良されたコーティングでは、約8mJ/cm〜約25mJ/cmの線量によって約4nm以下の線幅粗さで16nmの限界寸法を達成することが可能である。SuMMIT解析ソフトウェア(EUV Technology Corporation)を使用して、SEM画像からレジスト限界寸法(CD)および線幅−粗さ(LWR)を抽出した。
さらなる実施形態では、改良されたパターン形成性能を線量対ゲル値の値で表すことができる。基板およびアルキル金属オキシドヒドロキシドを含む放射線感受性コーティングからなる構造物は、約6.125mJ/cm以下、さらなる実施形態では約5.5mJ/cm〜約6mJ/cmの線量対ゲル値(D)を有することができる。線量対ゲル値の評価については以下の実施例で説明する。
参照文献(参照により本明細書に組み込まれる):
1)Maeng,W.J.;Pak,S.J.;Kim,H.J.Vac.Sci.Tech B.2006,24,2276。
2)Rodriguez−Reyes,J.C.F.;Teplyakov,A.V.J.Appl.Phys.2008,104,084907。
3)Leskelae,M.;Ritala,M.Thin Solid Films 2002,409,138。
4)Leskelae,M.;Ritala,M.J.Phys.IV 1999,9,Pr8−852。
5)Atagi,L.M.;Hoffman,D.M.;Liu,J.R.;Zheng,Z.;Chu,W.K.;Rubiano,R.R.;Springer,R.W.;Smith,D.C.Chem.Mater.1994,6,360。
実施例1 − インサイチューでの加水分解のための前駆体の調製
この実施例は、有機スズオキシドヒドロキシドのコーティングを形成するためのインサイチューでの加水分解に好適な有機スズアミド化合物の形成を目的とする。
前駆体tert−ブチルトリス(ジエチルアミド)スズ、(tBuSn(NEt、以後P−1)を、Haenssgen,D.;Puff,H.;Beckerman,N.,J.Organomet.Chem.,1985,293,191(これは参照により本明細書に組み込まれる)に記載の方法に従って合成した。テトラキス(ジエチルアミド)スズおよびtBuLi試薬は、Sigma−Aldrichから購入し、さらなる精製なしに使用した。反応剤を脱水ヘキサン(Sigma−Aldrich)中で−78℃において化学量論量で反応させた。濾過により、沈殿したリチウムアミド塩を除去し、ヘキサンを用いてその反応生成物を洗浄し、真空下で溶媒をストリップした。その粗反応生成物を真空下(約0.3torr、95℃)で蒸溜した。
Arで充満させたグローブボックス内で30−mLのアンバーガラスバイアル中に1.177g(3.0mmol)のP−1を秤込み、次いで15mLの脱水4−メチル−2−ペンタノール(3Aモレキュラーシーブ上で24時間乾燥させたもの)添加することにより、溶液を調製した。そのバイアルに蓋をして、撹拌した。このストック溶液1部を2.85部(容積)の脱水4−メチル−2−ペンタノール中に希釈してから、コーティングにかけた。
不活性雰囲気下で前駆体イソプロピルトリス(ジメチルアミド)スズ(iPrSn(NMe、以後P−2)を合成し、次いでトルエン中に溶解させてレジスト前駆体溶液を形成した。アルゴン下で1−LのSchlenk型の丸底フラスコにLiNMe(81.75g、1.6mol、Sigma−Aldrich)および脱水ヘキサン(700mL、Sigma−Aldrich)を仕込んで、スラリーを形成した。大型の撹拌バーを加え、容器を密封した。アルゴンの陽圧下で滴下ロートに、シリンジを用いてiPrSnCl(134.3g、0.5mol、Gelest)を仕込み、次いで反応フラスコに取り付けた。その反応フラスコを冷却して−78℃とし、次いでiPrSnClを2時間かけて滴下により添加した。撹拌しながら一晩かけてその反応液を室温まで温めた。その反応で副生物の固形物が生成した。沈降させてから、アルゴンの陽圧下でインラインカニューレフィルターを通してその固形物を濾過した。次いで、真空下で溶媒を除去し、その残渣を減圧下(50〜52℃、1.4mmHg)に蒸留すると、黄色の液状物が得られた(110g、収率75%)。その流出物のHおよび119Sn NMRスペクトル(C溶媒中)は、Bruker DPX−400(400MHz、BBOプローブ)スペクトロメーターで測定した。観察されたH共鳴(s、2.82ppm、−N(CH;s、1.26ppm、−CH;m、1.60ppm、−CH)は、iPrSn(NMeについて予測されたスペクトルと一致している。−65.4ppmでの119Snの一次共鳴が単独のスズ環境を有する主反応生成物に合致し、ケミカルシフトは、報告されているモノアルキルトリス(ジアルキルアミド)スズ化合物に対応している。
Arで充満させたグローブボックス内で30mLのアンバーガラスバイアル中に0.662g(2.25mmol)のP−2を秤込んで、溶液を調製した。次いで、容積で15−mLの脱水トルエン(3Aモレキュラーシーブ上で24時間乾燥させたもの)を添加して、ストック溶液(SOL−2)を作成した。次いで、そのバイアルに蓋をして、撹拌した。このストック溶液1部を3部(容積)の脱水トルエン中に希釈してからコーティングにかけた。
実施例2 − インサイチューで加水分解されるフォトレジストコーティングのパターン形成
この実施例では、実施例1の組成物から形成されたコーティングのインサイチューでの加水分解およびそれに続くEUVパターン形成に成功したことを示す。
本来のオキシド表面を有するシリコンウェーハ(直径100mm)上に薄膜を堆積させた。ヘキサメチルジシラザン(HMDS)蒸気を用いてそのSiウェーハを処理してから、アミド前駆体を堆積させた。P−1の4−メチル−2−ペンタノール中溶液を空気中で基板上に1500rpmでスピンコートし、次いでホットプレート上で空気中において100℃で2分間かけてベーキングして、残存溶媒および揮発性の加水分解反応生成物を蒸発させた。コーティングおよびベーキングの後の膜厚をエリプソメトリで測定すると、約31nmであった。
そのコーティングされた基板を極端紫外線(Lawrence Berkely National Laboratory Micro Exposure Tool)に暴露させた。13.5nm波長の放射線、ダイポール照明、および0.3の開口数を使用して、そのウェーハ上に34nmピッチの17nmの線のパターンを投影した。次いで、そのパターン化されたレジストおよび基板をホットプレート上で空気中において170℃で2分間の暴露後ベーキング(PEB)にかけた。次いで、その暴露された膜を2−ヘプタノン中に15秒間浸漬させ、次いで同じ現像剤を用いてさらに15秒間洗浄してネガ調の画像を形成した、すなわち、コーティングの暴露されていない部分を除去した。現像後、空気中で150℃において5分間の最終的なホットプレートベーキングを実施した。図7に、4−メチル−2−ペンタノールからキャストしたP−1から作成した、34nmのピッチでEUV線量56mJ/cm、計算値のLWR2.6nmである16.7nmレジスト線のSEM画像を示す。
上と同一のコーティングおよびベーキング条件を使用して、トルエン中のP−2の溶液から第二の膜をキャストした。EUV光を使用してウェーハ上に50個の直径約500umの円形パッドのリニアアレイを投影した。パッドの暴露時間を段階的に変化させて、それぞれのパッドが送達されたEUV線量が1.38〜37.99mJ・cm−2で指数的に7%の段階となるようにした。上述のようなPEB、現像、および最終的なベーキングプロセス後、J.A.Woollam M−2000 Spectroscopicエリプソメータを使用して、暴露されたパッドの残存厚みを測定した。それぞれのパッドの厚みを送達EUV線量の関数として図8にプロットしている。そのようにして得られた曲線は、明らかに暴露で生成したネガ調のコントラストを表し、残存膜厚が、約0から始まり、約15.8mJ・cm−2の送達線量において最大値(線量対ゲル値、D)に達している。
実施例3 − インサイチューで加水分解されたコーティングの評価
この実施例では、Snに対して加水分解性の結合を有する前駆体の場合、インサイチューでの加水分解アプローチ方法により、実質的に完全な加水分解が起きた証拠を示す。
比較の目的で、放射線感受性コーティングを形成するためのイソプロピルスズオキシドヒドロキシドを調製した。イソプロピルスズトリクロリド(iPrSnCl、Gelest)の固体の加水分解物を調製し、その加水分解物には、6.5g(24mmol)のイソプロピルスズトリクロリドを150mLの0.5−MのNaOH(水性)に激しく撹拌しながら急速に添加すると直ちに沈殿物が生じた。そのようにして得られた混合物を室温で1時間撹拌してから、No.1濾紙(Whatman)を通して吸引濾過した。25−mLずつのDI HOを用いて残った固形物を3回洗浄し、次いで真空下(約5torr)において室温で12時間乾燥させた。乾燥させた粉体の元素分析(18.04%C、3.76%H、1.38%Cl;Microanalysis,Inc.;Wilmington,DE)は、イソプロピルスズトリクロリドの加水分解でクロリドイオンが実質的に除去されたことと、ほぼ加水分解物の実験式PrSnO(3/2−(x/2))(OH)(ここで、x≒1)(以後P−3)であることとを示す。(CSnの計算値:18.50%C、4.14%H、0.00%Cl)。
全Sn濃度が約0.25Mになるように、2−ブタノン中に乾燥させた粉末を溶解させて、固形の加水分解物iPrSnO(3/2−(x/2))(OH)の溶液を調製した。先に述べたようにして、1.47g(5.0mmol)のP−2を10mLの4−メチル−2−ペンタノールに添加することにより、P−2の脱水4−メチル−2−ペンタノール中の溶液を調製した。それぞれの溶液について、25mm×25mmSiウェーハ上にスピンコーティングにより薄膜をキャストした。P−2の溶液は2000rpmでコーティングしたが、それに対してP−3の溶液は1250rpmでコーティングした。コーティングに続けて、150℃、空気中で2分間かけてそれぞれの膜をベーキングした。アルコールがP−2と反応して、アルコキシド配位子が形成され得るが、いずれの場合にも、アルコキシド配位子が生成したとしても、それが加水分解されてオキシドヒドロキシドの組成物を形成すると考えられる。
それらの2種の膜のフーリエ変換赤外(FTIR)透過スペクトルを、Nicolet 6700スペクトロメーターで、無垢の基板をバックグラウンドとして使用して測定した。それらの2種の膜の代表的なスペクトル(図8)は、定性的に極めて類似しており、いずれの場合も実質的に加水分解が起こってP−2の溶液からアミド/アルコキソ配位子が除去され、オキシドヒドロキシドが堆積していることを示唆する。特に、2800〜3000cm−1および1100〜1500cm−1の領域がほとんど同じであることは、2つの膜間でCH組成が類似しており、さらに実質的にC−N化学種が存在しないことを示す。
実施例4 − テトラキス−ジアルキルアミドまたは−tert−ブトキソスズ化合物を有する加水分解性の前駆体の調製
この実施例では、放射線感受性コーティング中での金属に対する放射線感受性配位子の化学量論の調節を可能とするための、混合された加水分解性の前駆体化合物の形成を述べる。
tert−ブチルトリス(ジエチルアミド)スズは、実施例1の記載に従って合成した。テトラキス(ジメチルアミド)スズ、(Sn(NMe、FW=295.01)は、Sigma−Aldrichから購入し、さらなる精製なしに使用した。
スズ(IV)tert−ブトキシド、(Sn(OBu)、FW=411.16、以後P−5)は、Hampden−Smith et al.,Canadian Journal of Chemistry,1991,69,121(これは参照により本明細書に組み込まれる)の方法に従って調製した。塩化第一スズ(152g/0.8mol)およびペンタン(1L)を、マグネチックスターラーを備え、窒素を用いてパージした3Lのオーブン乾燥させた丸底フラスコに加えた。窒素圧入口を備えた1−Lの均圧滴下ロートに、ジエチルアミン(402mL/3.9mol)およびペンタン(600mL)を仕込み、次いでフラスコに取り付け、そのフラスコを氷浴中に浸漬させた。次いで、アミン溶液を滴下により添加して、穏やかな還流が維持されるようにした。アミンの添加が完了したら、ペンタン(50mL)中の2−メチル−2−プロパノール(290g/3.9mol)を滴下ロートに加え、それからフラスコに滴下した。18時間の撹拌後、空気を排除したフリットガラスフィルターフラスコ中にそのスラリーを移し、沈殿した塩を除去した。減圧下で溶媒を除去し、目標化合物を蒸留した(沸点=60〜62℃@1torr)。H NMR(C):1.45ppm(s);119Sn NMR(C):−371.4ppm(s)。
P−1(BuSn(NEt)のストック溶液(以後S−1)、P−4の(Sn(NMe)ストック溶液(以後S−2)、およびP−5(Sn(OBu))のストック溶液(以後S−3)を、カニューレを介してそれぞれ対応する化合物を、脱水4−メチル−2−ペンタノール(4Aモレキュラーシーブ上で24時間乾燥させたもの)を入れたセパレートフラスコ中に移すことにより調製した。次いで、追加の脱水4−メチル−2−ペンタノールを加えて、その溶液を希釈して0.25M(Sn)の最終濃度とした。
イソプロパノール/ドライアイスバス中に浸漬させ、および250mLのメタノールを含む丸底フラスコ中に、マグネチックスターラープレートの上で撹拌しながら41gのP−1をカニューレ導入することにより、さらなるストック溶液S−4を調製した。BuSn(NEtのアリコートを移し終えたら、混合物を含むフラスコをアイスバスから取り出し、放置して室温に戻した。次いで、ロータリーエバポレーターを取り付けて、混合物を含むフラスコを水浴中で50℃とし、減圧(10mtorr)で溶媒をストリッピングして、溶媒の蒸発を実質的に完全に行うと、粘稠な黄色の油状物が得られた。最後に、1.0Lの4−メチ−2−ペンタノール中にその黄色の油状物を溶解させた。そのようにして得られた溶液を測定すると、溶液の残存質量を基準にして0.097M[Sn]のモル濃度を有していたが、次いで溶媒を蒸発させ、次いで残存している固形分を熱分解させてSnOとした。
ストック溶液S−1をS−2と0.5:1および9:1の容積比で混合して、コーティング溶液作成することにより、前駆体コーティング溶液のCS−a、CS−b、およびCS−cを調製し、ここで、Sn(NMeから、0(a)、10(b)および20(c)mol%の混合物中の全Sn濃度とした。次いで、これらの溶液を、4−メチル−2−ペンタノールを用いてさらに希釈して0.070M(全Sn)としてから、スピンコーティングした。たとえば、200mLのCS−bを調製するために、Sn(NMe(S−2)から調製したストック溶液の5.6mLを、BuSn(NEt(S−1)から調製した溶液の50.4mLに添加し、激しく混合し、および脱水4−メチル−2−ペンタノールを用いて全量200mLになるように希釈した。前駆体コーティング溶液、濃度、および組成のまとめを表2に示した。
前駆体コーティング溶液のCS−e〜hは、ストック溶液S−4をストック溶液S−2およびS−3と、Sn(NMe(それぞれCS−e、CS−f)およびSn(OBu)(CS−g、CS−h)から10および20mol%の全Sn濃度が得られる適切な容積比で混合し、脱水4−メチル−2−ペンタノールを用いて希釈することにより、0.044Mの全Sn濃度が得られるようにして調製した。前駆体コーティング溶液のCS−dは、ストック溶液S−4を脱水4−メチル−2−ペンタノールで直接希釈して、最終濃度が0.042M Snとなるようにして調製した。たとえば、200mLの前駆体コーティング溶液CS−eは、72.6mLのS−4を7.04mLのS−3と混合し、脱水4−メチル−2−ペンタノールを用いて全容積が200mLになるように希釈することによって調製した。
Figure 2019500490
前駆体コーティング溶液のCS−iは、予め加水分解させたt−ブチルスズオキシドヒドロキシドの加水分解物を含むメタノール溶液を、予め加水分解させたi−プロピルスズオキシドヒドロキシドの加水分解物の4−メチル−2−ペンタノール溶液と混合し、そのようにして得られた混合物を、’839出願に記載されている純溶媒を用いて0.03M[Sn]にまで希釈することにより調製した。そのようにして得られた溶液は、PrSnO(3/2−(x/2))(OH)の加水分解物とBuSnO(3/2−(x/2))(OH)の加水分解物とのブレンド物であることを特徴とし、ここで、t−BuSnO(3/2−(x/2))(OH)の割合は、Snの全モルを基準にして40%である。
実施例5 − 選択された程度の放射線感受性配位子を有する技術的コーティングを用いたパターン形成
この実施例では、実施例4に記載したようにして調製したコーティング溶液を用いて生成したコーティングをパターン化することにより得られた結果を提供し、より低い放射線量で改良されたパターン形成が実施されたことを実証する。
tert−ブチルスズオキシドヒドロキシドフォトレジスト膜を、BuSn(NEtから調製された実施例4からの前駆体コーティング溶液から、かつSn(NMeまたはSn(OBu)からのいくつかのコーティング溶液から堆積させ、次いでEUV照射を用いて暴露させた。EUV曲線のための薄膜は、本来のオキシド表面を有するシリコンウェーハ(直径100mm)上に堆積させた。ヘキサメチルジシラザン(HMDS)蒸気を用いてそのSiウェーハを処理してから堆積させた。BuSn(NEtならびに0、10、および20mol%のSn(NMeから表1の仕様に従って調製した、前駆体コーティング溶液のCS−a、CS−b、およびCS−c(0.070M Sn)を、Si基板に空気中において1500rpmでスピンコートし、およびホットプレート上で空気中において100℃で2分間ベーキングして、残存溶媒および揮発性の加水分解反応生成物を除去した。コーティングおよびベーキング後の膜厚をエリプソメトリで測定すると、約25〜28nmであった。
50個の直径約500umの円形パッドのリニアアレイを、Lawrence Berkely National Laboratory Micro Exposure Toolを使用して、EUV光を用いてそれぞれのウェーハ上で暴露させた。パッドの暴露時間を段階的に変化させて、それぞれのパッドに送達されたEUV線量が1.38〜37.99mJ・cm−2で指数的に7%の段階となるようにした。暴露させた後、ウェーハをホットプレート上で空気中において170℃で2分の暴露後のベーキング(PEB)にかけた。次いで、その暴露された膜を2−ヘプタノン中に15秒間浸漬させ、および同じ現像剤を用いてさらに15秒間洗浄してネガ調の画像を形成した、すなわち、コーティングの暴露されていない部分を除去した。現像後、空気中で150℃において5分間の最終的なホットプレートベーキングを実施した。J.A.Woolam M−2000分光エリプソメータを使用して、暴露されたパッドの残存厚みを測定した。そうして測定した厚みを、測定された最大レジスト厚みに対して正規化し、一連の暴露後ベーキング温度で暴露線量の対数に対してプロットして、それぞれのレジストについての特性曲線を作成した。図10を参照されたい。正規化された厚みに対する対数線量曲線の最大の勾配は、フォトレジストコントラスト(γ)として定義され、およびこの点を通って引いた接線が1に等しくなる線量の値がフォトレジスト線量対ゲル値(D)と定義される。このようにして、フォトレジストの特性表示に使用される共通パラメーターは、Mack,C.,Fundamental Principles of Optical Lithography,John Wiley&Sons(Chichester,U.K.),pp.271〜272,2007に従って近似させることができる。
そのようにして得られた曲線は、暴露で生成したネガ調のコントラストを明らかに示し、なぜなら、それぞれのレジスト膜での残存パッドの厚みがほぼゼロで始まり、Dの近傍で最大値に達するからである。Sn(NMeに対応する前駆体コーティング溶液中のSnのモル分率が、0(D=13.8mJ・cm−2)から、10%(D=10.6mJ・cm−2)および最終的に20%(D=5.8mJ・cm−2)と高くなるにつれて、現像速度の変化を開始させるのに必要な線量が明らかに低下していくことが観察される。
高解像度のライン−スペースパターンも同様に、EUVスキャナー、ならびに前駆体コーティング溶液のCS−d、CS−eおよびCS−fからキャストしたtert−ブチルスズオキシドヒドロキシドフォトレジスト膜を使用して印刷した。本来のオキシド表面を有するシリコンウェーハ(直径300mm)を、追加の表面処理を加えることなく基板として使用した。先に述べたようにして、BuSn(NEtおよび0、10、または20mol%のSn(NMeもしくはSn(OBu)から調製した前駆体コーティング溶液のCS−d〜hおよびCS−iを空気中において1000rpmまたは1500rpm(CS−dの場合のみ)でSi基板上にスピンコートし、ホットプレート上で空気中において100℃で2分間かけてベーキングした。
そのコーティングされた基板を、NXE:3300B EUVスキャナー(ダイポール60x照明、0.33の開口数)を使用して極端紫外線に暴露させた。そのコーティングしたウェーハ上に32nmのピッチで16nmの線のパターンを投影し、次いで2分間、100℃の塗布語ベーキング(PAB)を行った。次いで、その暴露させたレジスト膜および基板をホットプレート上で空気中において170℃で2分間のPEBにかけた。次いで、その暴露された膜を2−ヘプタノン中で15秒かけて現像し、次いで同じ現像剤を用いてさらに15秒間洗浄してネガ調の画像を形成した、すなわち、コーティングの暴露されていない部分を除去した。現像後、空気中で150℃において5分間の最終的なホットプレートベーキングを実施した。図11に、そのようにして得られた、tert−ブチルスズオキシドヒドロキシドフォトレジスト膜から現像したレジスト線のSEM画像を示す。BuSn(NEt(CS−d)、ならびに10または20mol%のSn(NMe(それぞれCS−e、CS−f)、またはSn(OBu)(CS−g、CS−h)から調製した前駆体コーティング溶液からキャストしたそれぞれの膜について、画像形成線量、限界寸法、および線幅粗さを示す。この場合も前駆体コーティング溶液に添加されるSnXの割合が高くなるにつれて、画像形成線量が低下することが観察される。16nmの限界寸法を達成するために必要とされる画像形成線量を、前駆体コーティング溶液d〜iからキャストされたそれぞれの膜の計算上のLWRに対してプロットして図12にプロットした。重要なことに、CS−eおよび−fからキャストされた膜では、CS−iと比較して、線幅−粗さ(LWR)の増大を伴うことなく、必要とされる画像形成線量の30%を超える低下が観察され、これは、予め加水分解させて混合したアルキル配位子配合物よりも実質的に改良されており、パターン形成線量とLWRとの間で一般的に観察される逆相関の(その線量範囲を超える)重要な迂回であることを示す。
実施例6 −
tert−ブチルおよびメチルの放射線感受性配位子を混合したもので調製したコーティングについてパターン化性能を評価する。
具体的には、BuSnXおよびMeSnX化合物を含む前駆体溶液をインサイチューで加水分解させることによって調製した、BuSnO(3/2−(x/2))(OH)とMeSnO(3/2−(x/2))(OH)との混合物を含む有機スズオキシドヒドロキシドフォトレジスト膜に関連して、前駆体溶液の調製、膜のコーティング、およびリソグラフィ性能を調べた。
MeSnCl(Gelest)から、以下のようにしてMeSn(OBu)(FW=353.1、以後P−6)を合成した。滴下ロートおよびマグネチックスターラーバーを備えたオーブン乾燥させたRBFに0.8Mペンタン中のMeSnClを仕込んだ。氷浴で冷却しながら、滴下ロートからペンタン中4モル当量のジエチルアミン(5.5M)を滴下により添加した。添加が完了したら、4モル当量のtert−ブチルアルコールのペンタン中混合物(容積で3.25:1)を添加し、その溶液を室温で30分間撹拌させた。次いで、その反応混合物を濾過し、真空下で揮発性物を除去すると、反応生成物が軽油として得られた。次いで、その反応生成物を55〜60℃(約0.1torr)で蒸留した。
脱水4−メチル−2−ペンタノール中に溶解させることにより、P−6のストック溶液を調製した。このMeSn(OBu)の溶液を、上述の溶液S−4の場合と同じようにして、4−メチル−2−ペンタノール中でBuSn(NEtから調製した第二のストック溶液と各種の容積比で混合し、同一の溶媒を用いて希釈して0.05Mの全Sn濃度とした。この方法により、MeSn(OtBu)として添加した、0〜60mol%の範囲の全アルキル−Sn濃度の一連の前駆体溶液を調製した。これらの前駆体溶液を100mmのSi基板上にコーティングし、100℃でベーキングしてから、線量を変えながらEUV照射に暴露させて、先に述べたようなコントラストアレイを作成した。
暴露後、そのコーティングされたウェーハを空気中において170℃でベーキングし、および2−ヘプタノン中で15秒間かけて現像し、同一の溶媒を入れた洗浄ビンを用いて15秒間洗浄し、次いでN下で乾燥させ、および空気中150℃で5分間ベーキングした。それぞれ暴露したパッドの残存厚みを測定して、線量の関数としてプロットした(図13)。求めたレジストの計量値(実施例5参照)を表2に示す。図13から、前駆体溶液中のMeSn(OtBu)のmol%が高くなるほど、Dが小さくなることが観察されるが、それに対してコントラストは、比較的低いD値の場合でも高いままである。重要なことに、残存厚み<<Dが常にほとんどゼロであり、これは、暴露されていない領域ではそのレジストが一掃されて、最小限の残分(スカム)のみが存在することを示唆する。
Figure 2019500490
同様に加工されたウェーハ上で36nmのピッチで18nmの線のパターンを、Lawrence Berkely National Laboratory Micro Exposure Toolを使用し、13.5nm波長の放射線、ダイポール照明、および0.3の開口数を使用して暴露させた。SEMを用いて線幅(CD)を測定し、画像形成線量に対してプロットした(図14)。この場合も前駆体溶液中のMeSn(OBu)のモル分率が高くなるにつれて、所定の線幅を達成するために必要とされる画像形成線量が著しく少なくなることが見出される。a)20%、b)40%、およびc)60%のP−6を含む前駆体溶液の場合の、同一のウェーハからの代表的なSEM画像を図15に示す。
上記の実施形態は、説明することを目的としており、本明細書を限定するものではない。追加の実施形態が請求項中にも存在する。加えて、特定の実施形態に関連して本発明を説明してきたが、当業者であればよく認識するであろうように、本発明の趣旨および範囲から逸脱することなく、形態および詳細における変化形態がなされ得る。上に参照した文献の組み込みはいずれも限定されており、本明細書における明白な開示に反するいかなる主題も組み込まれない。特定の構造物、組成物および/またはプロセスが、成分、素子、構成要素または他のパーティションを用いて本明細書に記載される限り、そうではないと特に断らない限り、本明細書における開示は、特定の実施形態、特定の成分、素子、構成要素、他のパーティション、またはそれらの組合せを含む実施形態、および説明において示唆してきたような主題の基本的な本質を変化させない追加の特徴を含む、そのような特定の成分、構成要素、他のパーティション、またはそれらの組合せから実質的になる実施形態を包含することが理解される。

Claims (31)

  1. コーティング溶液であって、
    有機溶媒;
    第一の有機金属組成物であって、式RSnO(2−(z/2)−(x/2))(OH)(ここで、0<z≦2および0<(z+x)≦4である)、式R’SnX4−n(ここで、n=1または2である)、またはそれらの混合物によって表され、ここで、RおよびR’が、独立して、1〜31個の炭素原子を有するヒドロカルビル基であり、およびXが、Snに対する加水分解性結合を有する配位子またはそれらの組合せである、第一の有機金属組成物;および
    加水分解性の金属化合物であって、式MX’(ここで、Mが、元素周期表の第2〜16族から選択される金属であり、v=2〜6の数であり、およびX’が、加水分解性のM−X結合を有する配位子またはそれらの組合せである)によって表される、加水分解性の金属化合物
    を含む、コーティング溶液。
  2. MがSnであり、v=4である、請求項1に記載のコーティング溶液。
  3. 約2〜約60モルパーセントの前記Snが前記加水分解性の金属化合物中に存在する、請求項2に記載のコーティング溶液。
  4. 前記溶液中に約0.0025M〜約1.5Mのスズを有する、請求項1〜3のいずれか一項に記載のコーティング溶液。
  5. XおよびX’が、独立して、アルキルアミドまたはジアルキルアミド(−NR(ここで、RおよびRが、独立して、1〜10個の炭素原子を有する炭化水素基または水素である))、シロキソ(−OSiR(ここで、R、Rが、独立して、1〜10個の炭素原子を有する炭化水素基である))、シリルアミド(−N(SiR )(R)(ここで、RおよびRが、独立して、1〜10個の炭素原子を有する炭化水素基である))、ジシリルアミド(−N(SiR )(SiR )(ここで、RおよびRが、独立して、1〜10個の炭素原子を有する炭化水素基である))、アルコキソおよびアリールオキソ(−OR(ここで、Rが、1〜10個の炭素原子を有するアルキルまたはアリール基である))、アジド(−N)、アルキニド(−C≡CR(ここで、Rが、1〜9個の炭素原子を有する炭化水素基である))、アミダト(−NR(COR)(ここで、RおよびRが、独立して、1〜7個の炭素原子を有する炭化水素基または水素である))、アミジナト(−NRC(NR)R)(ここで、RおよびRが、独立して、1〜8個の炭素原子を有する炭化水素基または水素である))、イミド(−N(COR)(COR)(ここで、RおよびRが、独立して、1〜8個の炭素原子を有する炭化水素基または水素である))もしくはそれらのフッ素化類似体またはそれらの組合せからなる群から選択される、請求項1〜4のいずれか一項に記載のコーティング溶液。
  6. XおよびX’が、独立して、−NRまたは−OR配位子であり、ここで、R、R、およびRがC1〜C10のアルキル基もしくはシクロアルキル基またはそれらの組合せである、請求項1〜4のいずれか一項に記載のコーティング溶液。
  7. RまたはR’がメチル、エチル、i−プロピル、n−ブチル、s−ブチル、またはt−ブチル基である、請求項1〜4のいずれか一項に記載のコーティング溶液。
  8. 前記溶媒がアルコール、エステル、またはそれらの混合物である、請求項1〜7のいずれか一項に記載のコーティング溶液。
  9. コーティング溶液であって、
    有機溶媒;
    全金属含量を基準にして少なくとも約10モルパーセントの第一の有機金属組成物であって、式RSnO(2−(z/2)−(x/2))(OH)(ここで、0<z≦2および0<(z+x)≦4である)、式RSnX4−n(ここで、n=1または2である)、またはそれらの混合物によって表され、ここで、Rが、1〜31個の炭素原子を有するヒドロカルビル基であり、およびXが、加水分解性のSn−X結合を有する配位子またはそれらの組合せである、第一の有機金属組成物;および
    全金属含量を基準にして少なくとも10モルパーセントの第二の有機金属組成物であって、式R’SnX’4−y(ここで、y=1または2である)によって表され、ここで、R’が、Rと異なるヒドロカルビル基であり、およびX’が、Xと同一であるかまたは異なる、加水分解性のSn−X’結合を有する配位子またはそれらの組合せである、第二の有機金属組成物
    を含む、コーティング溶液。
  10. Rがt−ブチルであり、およびR’がメチルである、請求項9に記載のコーティング溶液。
  11. XおよびX’が、独立して、アルキルアミドまたはジアルキルアミド(−NR(ここで、RおよびRが、独立して、1〜10個の炭素原子を有する炭化水素基または水素である))、シロキソ(−OSiR(ここで、R、Rが、独立して、1〜10個の炭素原子を有する炭化水素基である))、シリルアミド(−N(SiR )(R)(ここで、RおよびRが、独立して、1〜10個の炭素原子を有する炭化水素基である))、ジシリルアミド(−N(SiR )(SiR )(ここで、RおよびRが、独立して、1〜10個の炭素原子を有する炭化水素基である))、アルコキソおよびアリールオキソ(−OR(ここで、Rが、1〜10個の炭素原子を有するアルキルまたはアリール基である))、アジド(−N)、アルキニド(−C≡CR(ここで、Rが、1〜9個の炭素原子を有する炭化水素基である))、アミダト(−NR(COR)(ここで、RおよびRが、独立して、1〜7個の炭素原子を有する炭化水素基または水素である))、アミジナト(−NRC(NR)R)(ここで、RおよびRが、独立して、1〜8個の炭素原子を有する炭化水素基または水素である))、イミド(−N(COR)(COR)(ここで、RおよびRが、独立して、1〜8個の炭素原子を有する炭化水素基または水素である))もしくはそれらのフッ素化類似体またはそれらの組合せからなる群から選択される、請求項9または10に記載のコーティング溶液。
  12. 前記溶液中に約0.0025M〜約1.5Mのスズを有し、および前記溶媒がアルコール、エステル、またはそれらの混合物である、請求項9〜12のいずれか一項に記載のコーティング溶液。
  13. 全金属含量を基準にして少なくとも10モルパーセントの第三の有機金属組成物であって、式R”SnX”4−y(ここで、y=1または2である)によって表され、ここで、R”が、RおよびR’と異なるヒドロカルビル基であり、およびX”が、Xおよび/またはX’と同一であるかまたは異なる、加水分解性のSn−X”結合を有する配位子またはその組合せである、第三の有機金属組成物をさらに含む、請求項9〜12のいずれか一項に記載のコーティング溶液。
  14. RまたはR’がメチル、エチル、i−プロピル、n−ブチル、s−ブチル、またはt−ブチル基である、請求項9〜13のいずれか一項に記載のコーティング溶液。
  15. 放射線でパターン形成可能なコーティングを形成するための方法であって、
    基板上の前駆体コーティングを水に暴露させる工程であって、前記前駆体コーティングが、
    第一の有機金属組成物RSnO(2−(z/2)−(x/2))(OH)(ここで、0<z≦2および0<(z+x)≦4である)またはR’SnX4−n(ここで、n=1または2である)であって、RおよびR’が、独立して、1〜31個の炭素原子を有するヒドロカルビル基であり、およびXが、加水分解性のSn−X結合を有する配位子もしくはそれらの組合せまたはそれらの混合物である、第一の有機金属組成物;および
    第二の有機金属組成物R”SnX’4−y(ここで、y=1または2であり、およびR”がR’と異なり、およびX’が、Xと同一であるかまたは異なる、加水分解性のSn−X’結合を有する配位子またはそれらの組合せである)、または無機組成物ML(ここで、vが、2≦v≦6であり、およびLが、XおよびX’と同一であるかまたは異なる、加水分解性のM−L結合を有する配位子もしくはその組合せまたはそれらの混合物である)
    を含み、
    前記暴露が、前記前駆体コーティングの加水分解をもたらして、((Rおよび/またはR’)R”)SnO(2−((a+b)/2)−(w/2))(OH)(ここで、0<(a+b)≦2および0<(a+b+w)<4である)を含むか、またはy((RまたはR’)R”)SnO(2−((a+b)/2)−(w/2))(OH)・zMO((m/2)−l/2)(OH)(ここで、0<(a+b)≦2であり、0<(a+b+w)<4であり、m=Mm+のホルマール原子価であり、0≦l≦mであり、y/z=(0.05〜0.6)であり、およびM=M’またはSnであり、ここで、M’が、周期表の第2〜16族の、スズではない金属である)を含むコーティングを形成する、方法。
  16. 前記暴露工程が、前記基板を約0.5分〜約30分にわたり約45℃〜約250℃の温度に加熱することを含む、請求項15に記載の方法。
  17. 前記前駆体コーティングが、有機溶媒中に約0.0025M〜約1.5Mのスズを有する溶液を使用して形成される、請求項15または16に記載の方法。
  18. 前記溶液がスピンコートされて、前記前駆体コーティングを形成する、請求項17に記載の方法。
  19. 前記第一の有機金属組成物がR’SnX4−nを含む、請求項15〜18のいずれか一項に記載の方法。
  20. 前記無機組成物がSnLを含む、請求項15〜19のいずれか一項に記載の方法。
  21. 金属炭素結合および金属酸素結合を有する有機配位子を有する金属カチオンとのオキソ−ヒドロキソネットワークを含む放射線でパターン形成可能なコーティングを形成するための方法であって、
    周囲大気から密閉された堆積チャンバー内に、式RSnX4−n(ここで、n=1または2であり、Rが、金属−炭素結合でSnと結合されている1〜31個の炭素原子を有する有機配位子であり、n=1または2であり、およびXが、Snとの加水分解性結合を有する配位子である)によって表される組成物を含む第一の前駆体蒸気を導入する工程、および
    その後または同時に、前記第一の前駆体蒸気中の前記組成物と反応することが可能な酸素含有化合物を含む第二の前駆体蒸気を、1つまたは複数のX配位子を有する反応生成物を含む複数または1つの非揮発性成分を有する組成物を形成するための前記堆積チャンバー内の条件下において導入する工程
    を含み、基板が、前記組成物の前記非揮発性成分を受け取る表面で構成されている、方法。
  22. 前記第二の前駆体蒸気が水蒸気を含む、請求項21に記載の方法。
  23. 前記堆積チャンバーが約0.01Torr〜約25Torrの圧力を有する、請求項21または22に記載の方法。
  24. 前記堆積チャンバーが約40℃〜約175℃の温度を有する、請求項21〜23のいずれか一項に記載の方法。
  25. 前駆体蒸気を導入するそれぞれのサイクル間に不活性なパージガスを導入する工程をさらに含む、請求項21〜24のいずれか一項に記載の方法。
  26. 第三の前駆体が個別に前記チャンバーに導入され、前記前駆体が、ML(ここで、vが2≦v≦6であり、およびLが、酸化可能な配位子もしくは加水分解性のM−L結合を有する配位子またはそれらの組合せであり、およびMが、周期表の第2〜16族から選択される金属である)を含む、請求項21〜25のいずれか一項に記載の方法。
  27. 表面および前記表面上のコーティングを有する基板を含むコーティングされた基板であって、式y(RSnO(2−(z/2)−(w/2))(OH)・zMO((m/2)−l/2)(OH)(ここで、Rが、1〜31個の炭素原子を有するヒドロカルビル基またはそれらの組合せであり、0<z≦2であり、0<(z+w)≦4であり、m=Mm+のホルマール原子価であり、0≦l≦mであり、y/z=(0.05〜0.6)であり、およびM=M’またはSnであり、ここで、M’が、周期表の第2〜16族の、スズではない金属である)によって表される有機金属組成物を含む基板。
  28. 基板と、約6.125mJ/cm以下の線量対ゲル値(D)を有するアルキル金属オキシドヒドロキシドを含む放射線感受性コーティングとを含む構造物。
  29. 表面に沿って無機半導体層および放射線感受性コーティング材料を含む基板を含む構造物であって、前記放射線コーティング材料が、13.5nmの波長のEUV光によって32nmピッチで16nmの線のパターンでパターン化されて、約8mJ/cm〜約25mJ/cmの線量によって約4nm以下の線幅粗さで16nmの限界寸法を達成することができる、構造物。
  30. 前記放射線感受性コーティング材料が少なくとも5重量パーセントの金属を有する、請求項29に記載の構造物。
  31. 前記放射線感受性コーティング材料が少なくとも20重量パーセントの金属を有する、請求項29に記載の構造物。
JP2018518688A 2015-10-13 2016-10-12 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成 Active JP6805244B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562240812P 2015-10-13 2015-10-13
US62/240,812 2015-10-13
US201662297540P 2016-02-19 2016-02-19
US62/297,540 2016-02-19
PCT/US2016/056637 WO2017066319A2 (en) 2015-10-13 2016-10-12 Organotin oxide hydroxide patterning compositions, precursors, and patterning

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2020200742A Division JP7179816B2 (ja) 2015-10-13 2020-12-03 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成

Publications (3)

Publication Number Publication Date
JP2019500490A true JP2019500490A (ja) 2019-01-10
JP2019500490A5 JP2019500490A5 (ja) 2019-05-09
JP6805244B2 JP6805244B2 (ja) 2020-12-23

Family

ID=58500023

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2018518688A Active JP6805244B2 (ja) 2015-10-13 2016-10-12 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成
JP2020200742A Active JP7179816B2 (ja) 2015-10-13 2020-12-03 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成
JP2022183177A Pending JP2023027078A (ja) 2015-10-13 2022-11-16 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2020200742A Active JP7179816B2 (ja) 2015-10-13 2020-12-03 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成
JP2022183177A Pending JP2023027078A (ja) 2015-10-13 2022-11-16 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成

Country Status (7)

Country Link
US (8) US10228618B2 (ja)
EP (4) EP4089482A1 (ja)
JP (3) JP6805244B2 (ja)
KR (4) KR20230035713A (ja)
CN (2) CN108351594B (ja)
TW (6) TWI761135B (ja)
WO (1) WO2017066319A2 (ja)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020021071A (ja) * 2018-07-31 2020-02-06 三星エスディアイ株式会社Samsung SDI Co., Ltd. 半導体レジスト用組成物およびこれを用いたパターン形成方法
JP2020122959A (ja) * 2019-01-30 2020-08-13 インプリア・コーポレイションInpria Corporation 低い金属汚染及び/又は微粒子汚染を有するモノアルキルスズトリアルコキシド及び/又はモノアルキルスズトリアミド、並びに対応する方法
JP2020122960A (ja) * 2019-01-30 2020-08-13 インプリア・コーポレイションInpria Corporation 微粒子汚染を有するモノアルキルスズトリアルコキシド及び/又はモノアルキルスズトリアミド、並びに対応する方法
KR20200126884A (ko) * 2019-04-30 2020-11-09 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
JP2020184074A (ja) * 2019-04-30 2020-11-12 三星エスディアイ株式会社Samsung SDI Co., Ltd. 半導体フォトレジスト用組成物およびこれを用いたパターン形成方法
JP2021063985A (ja) * 2019-10-15 2021-04-22 三星エスディアイ株式会社Samsung SDI Co., Ltd. 半導体フォトレジスト用組成物およびこれを利用したパターン形成方法
WO2021193202A1 (ja) * 2020-03-24 2021-09-30 東京エレクトロン株式会社 熱処理装置及び熱処理方法
JP2021162865A (ja) * 2020-04-02 2021-10-11 三星エスディアイ株式会社Samsung SDI Co., Ltd. 半導体フォトレジスト用組成物およびこれを利用したパターン形成方法
JP2021165842A (ja) * 2015-11-20 2021-10-14 ラム リサーチ コーポレーションLam Research Corporation 蒸着金属酸化物含有ハードマスクのeuvフォトパターニング
JP2021528536A (ja) * 2018-06-21 2021-10-21 インプリア・コーポレイションInpria Corporation モノアルキルスズアルコキシドの安定な溶液、並びにそれらの加水分解生成物及び縮合生成物
JP2021179606A (ja) * 2020-05-12 2021-11-18 三星エスディアイ株式会社Samsung SDI Co., Ltd. 半導体フォトレジスト用組成物およびこれを用いたパターン形成方法
KR20220029663A (ko) 2019-07-02 2022-03-08 오지 홀딩스 가부시키가이샤 패턴 형성 방법, 레지스트 재료, 및 패턴 형성 장치
JP2022526031A (ja) * 2019-04-12 2022-05-20 インプリア・コーポレイション 有機金属フォトレジスト現像剤組成物及び処理方法
JP2022538040A (ja) * 2019-06-26 2022-08-31 ラム リサーチ コーポレーション ハロゲン化化学物質によるフォトレジスト現像
WO2022202402A1 (ja) * 2021-03-26 2022-09-29 Jsr株式会社 半導体基板の製造方法及びレジスト下層膜形成用組成物
US11673903B2 (en) 2018-04-11 2023-06-13 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography

Families Citing this family (246)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9778561B2 (en) 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
EP3230294B1 (en) * 2014-10-23 2021-06-30 Inpria Corporation Organometallic solution based high resolution patterning compositions
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
GB201517273D0 (en) 2015-09-30 2015-11-11 Univ Manchester Resist composition
EP4089482A1 (en) * 2015-10-13 2022-11-16 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017156388A1 (en) 2016-03-11 2017-09-14 Inpria Corporation Pre-patterned lithography templates, processes based on radiation patterning using the templates and processes to form the templates
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP7065076B2 (ja) 2016-08-12 2022-05-11 インプリア・コーポレイション 金属含有レジストからのエッジビード領域における金属残留物を低減する方法
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US20180347039A1 (en) * 2017-06-05 2018-12-06 Applied Materials, Inc. Aerosol Assisted CVD For Industrial Coatings
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CA2975104A1 (en) * 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019099981A2 (en) * 2017-11-20 2019-05-23 Inpria Corporation Organotin clusters, solutions of organotin clusters, and application to high resolution patterning
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
TWI778248B (zh) 2018-04-05 2022-09-21 美商英培雅股份有限公司 錫十二聚物及具有強euv吸收的輻射可圖案化塗層
CA3219374A1 (en) * 2018-04-11 2019-10-17 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US10787466B2 (en) 2018-04-11 2020-09-29 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
SG11202009703QA (en) * 2018-05-11 2020-10-29 Lam Res Corp Methods for making euv patternable hard masks
KR102207893B1 (ko) * 2018-05-25 2021-01-25 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102211158B1 (ko) * 2018-06-08 2021-02-01 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11054742B2 (en) * 2018-06-15 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. EUV metallic resist performance enhancement via additives
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
KR102296793B1 (ko) * 2018-07-06 2021-08-31 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11092890B2 (en) 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US11092889B2 (en) * 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
KR102307977B1 (ko) * 2018-07-31 2021-09-30 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102306444B1 (ko) * 2018-07-31 2021-09-28 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102229623B1 (ko) * 2018-08-10 2021-03-17 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102307981B1 (ko) * 2018-08-10 2021-09-30 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11031244B2 (en) * 2018-08-14 2021-06-08 Lam Research Corporation Modification of SNO2 surface for EUV lithography
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
TW202016279A (zh) * 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP6950662B2 (ja) 2018-10-30 2021-10-13 信越化学工業株式会社 基板保護膜形成用材料及びパターン形成方法
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) * 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
WO2020132281A1 (en) * 2018-12-20 2020-06-25 Lam Research Corporation Dry development of resists
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11327398B2 (en) 2019-04-30 2022-05-10 Samsung Electronics Co., Ltd. Photoresist compositions and methods for fabricating semiconductor devices using the same
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US20220308462A1 (en) * 2019-06-27 2022-09-29 Lam Research Corporation Apparatus for photoresist dry deposition
US20220342301A1 (en) * 2019-06-28 2022-10-27 Lam Research Corporation Photoresist with multiple patterning radiation-absorbing elements and/or vertical composition gradient
KR20220031649A (ko) * 2019-06-28 2022-03-11 램 리써치 코포레이션 금속-함유 레지스트의 리소그래피 성능을 향상시키기 위한 소성 (bake) 전략들
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
WO2021016229A1 (en) * 2019-07-22 2021-01-28 Inpria Corporation Organometallic metal chalcogenide clusters and application to lithography
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11651961B2 (en) 2019-08-02 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning process of a semiconductor structure with enhanced adhesion
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
JP7149241B2 (ja) 2019-08-26 2022-10-06 信越化学工業株式会社 レジスト材料及びパターン形成方法
JP7264771B2 (ja) 2019-08-30 2023-04-25 信越化学工業株式会社 レジスト材料及びパターン形成方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR102446459B1 (ko) * 2019-10-15 2022-09-21 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR102480432B1 (ko) * 2019-11-18 2022-12-21 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11934101B2 (en) * 2019-11-27 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist composition and method of forming photoresist pattern
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR102539806B1 (ko) * 2020-01-15 2023-06-05 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
KR102555497B1 (ko) * 2020-01-21 2023-07-12 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
EP4115242A4 (en) * 2020-03-02 2024-03-13 Inpria Corp PROCESSING ENVIRONMENT FOR THE FORMATION OF INORGANIC RESERVE PATTERNS
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US20210302839A1 (en) * 2020-03-30 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR102577300B1 (ko) * 2020-04-17 2023-09-08 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102538092B1 (ko) * 2020-04-17 2023-05-26 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
EP4147269A1 (en) 2020-05-06 2023-03-15 Inpria Corporation Multiple patterning with organometallic photopatternable layers with intermediate freeze steps
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP3919979A1 (en) 2020-06-02 2021-12-08 Imec VZW Resistless patterning mask
TW202205433A (zh) * 2020-06-19 2022-02-01 日商東京威力科創股份有限公司 蝕刻方法、基板處理裝置及基板處理系統
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20220002869A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Vapor phase photoresists deposition
US20220005687A1 (en) * 2020-07-02 2022-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method
KR20230031923A (ko) * 2020-07-03 2023-03-07 엔테그리스, 아이엔씨. 유기주석 화합물의 제조 방법
CN115004110A (zh) 2020-07-07 2022-09-02 朗姆研究公司 用于图案化辐射光致抗蚀剂图案化的集成干燥工艺
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
CN116194838A (zh) * 2020-07-17 2023-05-30 朗姆研究公司 利用有机共反应物的干式沉积光致抗蚀剂
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CA3190105A1 (en) * 2020-08-25 2022-03-03 Inpria Corporation Methods to produce organotin compositions with convenient ligand providing reactants
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
KR102586099B1 (ko) * 2020-09-14 2023-10-05 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102586112B1 (ko) 2020-09-14 2023-10-05 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
KR102598259B1 (ko) * 2020-12-18 2023-11-02 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US20220197146A1 (en) * 2020-12-22 2022-06-23 Applied Materials, Inc. Photoresists by physical vapor deposition
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN116888133A (zh) * 2021-01-28 2023-10-13 恩特格里斯公司 制备有机锡化合物的方法
US11697660B2 (en) * 2021-01-29 2023-07-11 Entegris, Inc. Process for preparing organotin compounds
JP2024506160A (ja) * 2021-02-12 2024-02-09 ラム リサーチ コーポレーション 量子効率の良いフォトレジストおよびその方法
US20220269169A1 (en) 2021-02-19 2022-08-25 Inpria Corporation Organometallic radiation patternable coatings with low defectivity and corresponding methods
KR20230148424A (ko) * 2021-02-23 2023-10-24 램 리써치 코포레이션 할로겐-함유 유기주석 포토레지스트 및 지방족-함유 유기주석 포토레지스트 및 이의 방법들
TWI773231B (zh) * 2021-04-07 2022-08-01 國立成功大學 製備金屬奈米粒子的方法
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
WO2022251029A1 (en) * 2021-05-25 2022-12-01 Tokyo Electron Limited Metalorganic films for extreme ultraviolet patterning
US20220397826A1 (en) * 2021-06-15 2022-12-15 Inpria Corporation Organotin patterning materials with ligands having silicon/germanium; precursor compositions; and synthesis methods
CN117651708A (zh) * 2021-06-18 2024-03-05 恩特格里斯公司 制备有机锡化合物的方法
CN117693513A (zh) 2021-07-30 2024-03-12 默克专利股份有限公司 二有机锡二卤化物的制备
KR102382858B1 (ko) * 2021-08-06 2022-04-08 주식회사 레이크머티리얼즈 트리할로 주석 화합물의 제조방법 및 이를 포함하는 트리아미드 주석 화합물의 제조방법
US11894228B2 (en) * 2021-08-26 2024-02-06 Applied Materials, Inc. Treatments for controlling deposition defects
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11459656B1 (en) 2021-09-13 2022-10-04 Gelest, Inc Method and precursors for producing oxostannate rich films
US20230098280A1 (en) * 2021-09-14 2023-03-30 Entegris, Inc. Synthesis of fluoroalkyl tin precursors
US20230143629A1 (en) * 2021-11-09 2023-05-11 Tokyo Electron Limited EUV Active Films for EUV Lithography
US20230160058A1 (en) * 2021-11-24 2023-05-25 Entegris, Inc. Organotin precursor compounds
US11827659B2 (en) * 2022-03-31 2023-11-28 Feng Lu Organometallic tin compounds as EUV photoresist
WO2023227564A1 (en) 2022-05-26 2023-11-30 Merck Patent Gmbh Developable resist overlayer composition as well as method for manufacturing resist overlayer pattern and resist pattern
WO2023235534A1 (en) 2022-06-02 2023-12-07 Gelest, Inc. High purity alkyl tin compounds and manufacturing methods thereof
US20230391803A1 (en) * 2022-06-03 2023-12-07 Entegris, Inc. Compositions and related methods of alkyltintrihalides
WO2023239628A1 (en) * 2022-06-06 2023-12-14 Inpria Corporation Gas-based development of organometallic resist in an oxidizing halogen-donating environment
WO2023245047A1 (en) * 2022-06-17 2023-12-21 Lam Research Corporation Tin precursors for deposition of euv dry resist
WO2024017921A1 (en) 2022-07-22 2024-01-25 Merck Patent Gmbh Developer tolerance resist underlayer composition and method for manufacturing resist pattern
US20240045332A1 (en) * 2022-08-02 2024-02-08 Tokyo Electron Limited Method of forming photosensitive organometallic oxides by chemical vapor polymerization
US20240085785A1 (en) * 2022-08-17 2024-03-14 Inpria Corporation Additives for metal oxide photoresists, positive tone development with additives, and double bake double develop processing
IL305619A (en) 2022-09-14 2024-04-01 Shinetsu Chemical Co Compound for forming a metal-containing layer, composition for forming a metal-containing layer, printing method, and semiconductor masking sensitizer
WO2024064071A1 (en) * 2022-09-20 2024-03-28 Lam Research Corporation Bake-sensitive underlayers to reduce dose to size of euv photoresist

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001081560A (ja) * 1999-07-08 2001-03-27 Air Prod And Chem Inc 多元金属又は金属化合物層の成長のための方法及び組成物
US20110166268A1 (en) * 2008-05-15 2011-07-07 Arkema France High purity monoalkyltin compounds and uses thereof
US20120223418A1 (en) * 2011-02-28 2012-09-06 Stowers Jason K Solution processible hardmasks for high resolution lithography
WO2014150411A1 (en) * 2013-03-15 2014-09-25 The Sherwin-Williams Company Curable compositions
JP2018502173A (ja) * 2014-10-23 2018-01-25 インプリア・コーポレイションInpria Corporation 有機金属溶液に基づいた高解像度パターニング組成物および対応する方法

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3385915A (en) 1966-09-02 1968-05-28 Union Carbide Corp Process for producing metal oxide fibers, textiles and shapes
US3635883A (en) * 1970-05-07 1972-01-18 Stauffer Chemical Co Stabilized styrene-acrylonitrile polymer compositions
US3949146A (en) * 1973-08-24 1976-04-06 Rca Corporation Process for depositing transparent electrically conductive tin oxide coatings on a substrate
US4104292A (en) 1976-11-02 1978-08-01 M&T Chemicals Inc. Method for preparing organotin compounds
US4102683A (en) 1977-02-10 1978-07-25 Rca Corp. Nonreflecting photoresist process
US4380559A (en) 1980-09-25 1983-04-19 Murata Manufacturing Co., Ltd. Method for producing boundary layer semiconductor ceramic capacitors
JPS57123126A (en) 1981-01-23 1982-07-31 Adeka Argus Chem Co Ltd Stabilized allyl chloride composition
US4380599A (en) * 1981-02-06 1983-04-19 Berger, Jenson And Nicholson Ltd. Organotin polymers method of making them and paints containing them
US4370405A (en) 1981-03-30 1983-01-25 Hewlett-Packard Company Multilayer photoresist process utilizing an absorbant dye
US4910122A (en) 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4639208A (en) 1984-04-03 1987-01-27 Matsushita Electric Industrial Co., Ltd. Pulse combustion apparatus with a plurality of pulse burners
US4601917A (en) 1985-02-26 1986-07-22 M&T Chemicals Inc. Liquid coating composition for producing high quality, high performance fluorine-doped tin oxide coatings
US4710122A (en) 1986-03-07 1987-12-01 Villanueva Eliseo H Machine for manufacturing flat bodies in a continuous line
US5025094A (en) 1985-07-10 1991-06-18 Union Carbide Chemicals And Plastics Technology Corporation Heterogeneous alkoxylation using anion-bound metal oxides
US4732841A (en) 1986-03-24 1988-03-22 Fairchild Semiconductor Corporation Tri-level resist process for fine resolution photolithography
DE3738634C2 (de) 1986-11-13 1996-11-14 Sunstar Engineering Inc Epoxyharzmasse mit darin dispergierten Siliconharzteilchen
JPH07733B2 (ja) 1986-11-13 1995-01-11 サンスタ−技研株式会社 エポキシ樹脂組成物
JPH01175118A (ja) * 1987-12-28 1989-07-11 Central Glass Co Ltd 透明導電膜の形成法
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US5090985A (en) * 1989-10-17 1992-02-25 Libbey-Owens-Ford Co. Method for preparing vaporized reactants for chemical vapor deposition
JPH03148659A (ja) 1989-11-06 1991-06-25 Fujitsu Ltd 電離放射線感応性ネガ型レジスト材料組成物
US7323581B1 (en) * 1990-07-06 2008-01-29 Advanced Technology Materials, Inc. Source reagent compositions and method for forming metal films on a substrate by chemical vapor deposition
US5672243A (en) 1995-11-28 1997-09-30 Mosel Vitelic, Inc. Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide
US5698262A (en) * 1996-05-06 1997-12-16 Libbey-Owens-Ford Co. Method for forming tin oxide coating on glass
US5891985A (en) 1996-10-09 1999-04-06 E. I. Du Pont De Nemours And Company Soluble mono-alkyl stannoic acid catalyst and its use in preparing high molecular weight polyesters
US6183716B1 (en) 1997-07-30 2001-02-06 State Of Oregon Acting By And Through The State Board Of Higher Education Of Behalf Of Oregon State University Solution method for making molybdate and tungstate negative thermal expansion materials and compounds made by the method
EP0959496B1 (en) * 1998-05-22 2006-07-19 Applied Materials, Inc. Methods for forming self-planarized dielectric layer for shallow trench isolation
US6179922B1 (en) 1998-07-10 2001-01-30 Ball Semiconductor, Inc. CVD photo resist deposition
US6060380A (en) 1998-11-06 2000-05-09 Advanced Micro Devices, Inc. Antireflective siliconoxynitride hardmask layer used during etching processes in integrated circuit fabrication
US6020269A (en) 1998-12-02 2000-02-01 Advanced Micro Devices, Inc. Ultra-thin resist and nitride/oxide hard mask for metal etch
US6287951B1 (en) 1998-12-07 2001-09-11 Motorola Inc. Process for forming a combination hardmask and antireflective layer
US6194323B1 (en) 1998-12-16 2001-02-27 Lucent Technologies Inc. Deep sub-micron metal etch with in-situ hard mask etch
US6268457B1 (en) 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6197896B1 (en) 1999-07-12 2001-03-06 International Business Machines Corporation Graft polymers and use thereof
EP1094506A3 (en) 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
AU2001265390A1 (en) 2000-06-06 2001-12-17 Ekc Technology, Inc. Method of making electronic materials
US6420088B1 (en) 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
EP1347468A4 (en) 2000-12-28 2005-04-20 Nissan Chemical Ind Ltd METHOD FOR MODELING THE CONTOURS OF AN ELECTRO-CONDUCTIVE TIN OXIDE LAYER
AU2002243617A1 (en) * 2001-01-17 2002-07-30 Neophotonics Corporation Optical materials with selected index-of-refraction
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
CN1524104A (zh) * 2001-04-09 2004-08-25 积水化学工业株式会社 光反应性组合物
US6521295B1 (en) * 2001-04-17 2003-02-18 Pilkington North America, Inc. Chemical vapor deposition of antimony-doped metal oxide and the coated article made thereby
KR20030057133A (ko) 2001-12-28 2003-07-04 삼성전자주식회사 금속 패턴 형성용 유기금속 전구체 및 이를 이용한 금속패턴 형성방법
JP4110952B2 (ja) * 2002-01-16 2008-07-02 株式会社村田製作所 誘電体薄膜の形成方法
WO2003078678A1 (fr) * 2002-03-19 2003-09-25 Kabushiki Kaisha Ekisho Sentan Gijutsu Kaihatsu Center D'interconnexion, procede de formation selective de metal, appareil de formation selective de metal et appareil de substrat
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6946677B2 (en) 2002-06-14 2005-09-20 Nokia Corporation Pre-patterned substrate for organic thin film transistor structures and circuits and related method for making same
KR100520961B1 (ko) 2003-05-30 2005-10-17 엘지전자 주식회사 인쇄회로기판의 제조방법
US6927108B2 (en) 2003-07-09 2005-08-09 Hewlett-Packard Development Company, L.P. Solution-processed thin film transistor formation method
DE10345455A1 (de) 2003-09-30 2005-05-04 Infineon Technologies Ag Verfahren zum Erzeugen einer Hartmaske und Hartmasken-Anordnung
US7071121B2 (en) 2003-10-28 2006-07-04 Hewlett-Packard Development Company, L.P. Patterned ceramic films and method for producing the same
US7001821B2 (en) 2003-11-10 2006-02-21 Texas Instruments Incorporated Method of forming and using a hardmask for forming ferroelectric capacitors in a semiconductor device
JP4602971B2 (ja) * 2004-02-20 2010-12-22 日本曹達株式会社 光感応性基体及びパターニング方法
US7773365B2 (en) 2004-04-30 2010-08-10 Hewlett-Packard Development Company, L.P. Dielectric material
US7312165B2 (en) * 2004-05-05 2007-12-25 Jursich Gregory M Codeposition of hafnium-germanium oxides on substrates used in or for semiconductor devices
JP2008502805A (ja) * 2004-06-15 2008-01-31 アヴィザ テクノロジー インコーポレイテッド 多成分誘電体膜を形成するためのシステム及び方法
US20060088962A1 (en) 2004-10-22 2006-04-27 Herman Gregory S Method of forming a solution processed transistor having a multilayer dielectric
DE102005002960A1 (de) * 2005-01-21 2006-08-03 Leibniz-Institut Für Neue Materialien Gemeinnützige Gmbh Kompositzusammensetzung für mikrogemusterte Schichten mit hohem Relaxationsvermögen, hoher chemischer Beständigkeit und mechanischer Stabilität
JP2006284947A (ja) 2005-03-31 2006-10-19 Fuji Photo Film Co Ltd 遮光膜用感光性樹脂組成物、遮光膜の作製方法、転写材料及びその製造方法
KR100643570B1 (ko) 2005-06-28 2006-11-10 주식회사 하이닉스반도체 반도체 소자 제조 방법
JP4699140B2 (ja) * 2005-08-29 2011-06-08 東京応化工業株式会社 パターン形成方法
US8969865B2 (en) 2005-10-12 2015-03-03 Hewlett-Packard Development Company, L.P. Semiconductor film composition
JP5362176B2 (ja) 2006-06-12 2013-12-11 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
DE102006033280A1 (de) * 2006-07-18 2008-01-24 Leibniz-Institut Für Neue Materialien Gemeinnützige Gmbh Kompositzusammensetzung für mikrostrukturierte Schichten
US20080055597A1 (en) 2006-08-29 2008-03-06 Jie-Wei Sun Method for characterizing line width roughness (lwr) of printed features
JP4844299B2 (ja) * 2006-09-01 2011-12-28 Tdk株式会社 ホログラム記録材料、その製造方法及びホログラム記録媒体
JP2008091215A (ja) 2006-10-02 2008-04-17 Nitto Kasei Co Ltd 酸化錫膜形成剤、該酸化錫膜形成剤を用いる酸化錫膜形成方法、及び該形成方法により形成される酸化錫膜
KR20110137400A (ko) 2006-11-01 2011-12-22 더 스테이트 오브 오레곤 액팅 바이 앤드 쓰루 더 스테이트 보드 오브 하이어 에쥬케이션 온 비해프 오브 오레곤 스테이트 유니버시티 용액 처리된 박막들 및 적층체들, 상기 박막들 및 적층체들을 포함하는 장치들, 및 그들의 사용 방법 및 제조 방법
TWI334177B (en) 2007-03-29 2010-12-01 Nanya Technology Corp Method for forming a semiconductor device
US7709056B2 (en) 2007-05-16 2010-05-04 Uchicago Argonne, Llc Synthesis of transparent conducting oxide coatings
US7799503B2 (en) 2007-05-17 2010-09-21 International Business Machines Corporation Composite structures to prevent pattern collapse
US7718546B2 (en) 2007-06-27 2010-05-18 Sandisk 3D Llc Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon
US20090087561A1 (en) * 2007-09-28 2009-04-02 Advanced Technology Materials, Inc. Metal and metalloid silylamides, ketimates, tetraalkylguanidinates and dianionic guanidinates useful for cvd/ald of thin films
CN101441415A (zh) * 2007-10-12 2009-05-27 气体产品与化学公司 抗反射涂层
US20090174036A1 (en) 2008-01-04 2009-07-09 International Business Machines Corporation Plasma curing of patterning materials for aggressively scaled features
KR100954541B1 (ko) 2008-03-20 2010-04-23 한국화학연구원 신규의 주석 아미노알콕사이드 화합물 및 그 제조 방법
WO2009120169A1 (en) 2008-03-27 2009-10-01 State Of Oregon Acting By And Through The State Board Of Higher Education On Behalf Of Oregon State University Solution processed thin films and laminates, devices comprising such thin films and laminates, and method for the use and manufacture
JP2010094583A (ja) * 2008-10-14 2010-04-30 Nippon Soda Co Ltd 有機薄膜の製造方法
GB2466486A (en) 2008-12-23 2010-06-30 Dow Corning Moisture curable composition
KR20110064153A (ko) 2009-12-07 2011-06-15 삼성전자주식회사 금속 유기 전구체, 이의 제조방법, 및 이를 이용한 전도성 금속막 또는 패턴 형성방법
US8366967B2 (en) 2010-02-22 2013-02-05 Inpria Corporation Metal chalcogenide aqueous precursors and processes to form metal chalcogenide films
US8435728B2 (en) 2010-03-31 2013-05-07 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications
US8796483B2 (en) 2010-04-01 2014-08-05 President And Fellows Of Harvard College Cyclic metal amides and vapor deposition using them
US9176377B2 (en) * 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
TW201224190A (en) * 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP2014502590A (ja) 2010-12-08 2014-02-03 東レ・ダウコーニング株式会社 金属酸化物ナノ粒子の変性方法
JP2012203061A (ja) * 2011-03-24 2012-10-22 Jnc Corp 金属アルコキシドを含有する感光性組成物及びそれを用いたパターン状透明膜の製造方法
US8703386B2 (en) 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
US9477087B2 (en) 2013-03-12 2016-10-25 3DIcon Corporation Holoform 3D projection display
US9632411B2 (en) * 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9005875B2 (en) 2013-03-15 2015-04-14 Intel Corporation Pre-patterned hard mask for ultrafast lithographic imaging
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9372402B2 (en) 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
JP6167016B2 (ja) * 2013-10-31 2017-07-19 富士フイルム株式会社 積層体、有機半導体製造用キットおよび有機半導体製造用レジスト組成物
US9778561B2 (en) * 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
JP6572898B2 (ja) 2014-09-17 2019-09-11 Jsr株式会社 パターン形成方法
JP6572899B2 (ja) 2014-09-17 2019-09-11 Jsr株式会社 パターン形成方法
US10695794B2 (en) * 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
EP4089482A1 (en) * 2015-10-13 2022-11-16 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
JP2018017780A (ja) 2016-07-25 2018-02-01 Jsr株式会社 感放射線性組成物及びパターン形成方法
KR20180063754A (ko) * 2016-12-02 2018-06-12 삼성전자주식회사 주석 화합물, 그의 합성 방법, ald용 주석 전구체 화합물 및 함주석 물질막의 형성 방법
KR20190099428A (ko) 2016-12-28 2019-08-27 제이에스알 가부시끼가이샤 감방사선성 조성물, 패턴 형성 방법 그리고 금속 함유 수지 및 그의 제조 방법
EP3564752A4 (en) 2016-12-28 2020-08-26 JSR Corporation RADIATION-SENSITIVE COMPOSITION, STRUCTURE FORMATION PROCESS AND METAL OXIDE
JPWO2018139109A1 (ja) 2017-01-26 2019-11-14 Jsr株式会社 感放射線性組成物及びパターン形成方法
JPWO2018168221A1 (ja) 2017-03-13 2020-01-16 Jsr株式会社 感放射線性組成物及びパターン形成方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001081560A (ja) * 1999-07-08 2001-03-27 Air Prod And Chem Inc 多元金属又は金属化合物層の成長のための方法及び組成物
US20110166268A1 (en) * 2008-05-15 2011-07-07 Arkema France High purity monoalkyltin compounds and uses thereof
US20120223418A1 (en) * 2011-02-28 2012-09-06 Stowers Jason K Solution processible hardmasks for high resolution lithography
WO2014150411A1 (en) * 2013-03-15 2014-09-25 The Sherwin-Williams Company Curable compositions
JP2018502173A (ja) * 2014-10-23 2018-01-25 インプリア・コーポレイションInpria Corporation 有機金属溶液に基づいた高解像度パターニング組成物および対応する方法

Cited By (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7282830B2 (ja) 2015-11-20 2023-05-29 ラム リサーチ コーポレーション 蒸着金属酸化物含有ハードマスクのeuvフォトパターニング
JP2021165842A (ja) * 2015-11-20 2021-10-14 ラム リサーチ コーポレーションLam Research Corporation 蒸着金属酸化物含有ハードマスクのeuvフォトパターニング
US11673903B2 (en) 2018-04-11 2023-06-13 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
JP7295891B2 (ja) 2018-06-21 2023-06-21 インプリア・コーポレイション モノアルキルスズアルコキシドの安定な溶液、並びにそれらの加水分解生成物及び縮合生成物
US11300876B2 (en) 2018-06-21 2022-04-12 Inpria Corporation Stable solutions of monoalkyl tin alkoxides and their hydrolysis and condensation products
JP2021528536A (ja) * 2018-06-21 2021-10-21 インプリア・コーポレイションInpria Corporation モノアルキルスズアルコキシドの安定な溶液、並びにそれらの加水分解生成物及び縮合生成物
US11868046B2 (en) 2018-06-21 2024-01-09 Inpria Corporation Stable solutions of monoalkyl tin alkoxides and their hydrolysis and condensation products
JP2020021071A (ja) * 2018-07-31 2020-02-06 三星エスディアイ株式会社Samsung SDI Co., Ltd. 半導体レジスト用組成物およびこれを用いたパターン形成方法
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US11966158B2 (en) 2019-01-30 2024-04-23 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with low metal contamination and/or particulate contamination, and corresponding methods
JP2020122960A (ja) * 2019-01-30 2020-08-13 インプリア・コーポレイションInpria Corporation 微粒子汚染を有するモノアルキルスズトリアルコキシド及び/又はモノアルキルスズトリアミド、並びに対応する方法
US11498934B2 (en) 2019-01-30 2022-11-15 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with particulate contamination and corresponding methods
JP7200147B2 (ja) 2019-01-30 2023-01-06 インプリア・コーポレイション 低い金属汚染及び/又は微粒子汚染を有するモノアルキルスズトリアルコキシド及び/又はモノアルキルスズトリアミド、並びに対応する方法
JP2020122959A (ja) * 2019-01-30 2020-08-13 インプリア・コーポレイションInpria Corporation 低い金属汚染及び/又は微粒子汚染を有するモノアルキルスズトリアルコキシド及び/又はモノアルキルスズトリアミド、並びに対応する方法
JP7200148B2 (ja) 2019-01-30 2023-01-06 インプリア・コーポレイション 微粒子汚染を有するモノアルキルスズトリアルコキシド及び/又はモノアルキルスズトリアミド、並びに対応する方法
TWI811538B (zh) * 2019-04-12 2023-08-11 美商英培雅股份有限公司 有機金屬光阻顯影劑組合物及處理方法
JP2022526031A (ja) * 2019-04-12 2022-05-20 インプリア・コーポレイション 有機金属フォトレジスト現像剤組成物及び処理方法
US11609494B2 (en) 2019-04-30 2023-03-21 Samsung Sdi Co., Ltd. Semiconductor photoresist composition and method of forming patterns using the composition
JP7025474B2 (ja) 2019-04-30 2022-02-24 三星エスディアイ株式会社 半導体フォトレジスト用組成物およびこれを用いたパターン形成方法
KR20200126884A (ko) * 2019-04-30 2020-11-09 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
JP2020184074A (ja) * 2019-04-30 2020-11-12 三星エスディアイ株式会社Samsung SDI Co., Ltd. 半導体フォトレジスト用組成物およびこれを用いたパターン形成方法
KR102606844B1 (ko) 2019-04-30 2023-11-27 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
JP2022538040A (ja) * 2019-06-26 2022-08-31 ラム リサーチ コーポレーション ハロゲン化化学物質によるフォトレジスト現像
KR20220029663A (ko) 2019-07-02 2022-03-08 오지 홀딩스 가부시키가이샤 패턴 형성 방법, 레지스트 재료, 및 패턴 형성 장치
JP2021063985A (ja) * 2019-10-15 2021-04-22 三星エスディアイ株式会社Samsung SDI Co., Ltd. 半導体フォトレジスト用組成物およびこれを利用したパターン形成方法
JP7028940B2 (ja) 2019-10-15 2022-03-02 三星エスディアイ株式会社 半導体フォトレジスト用組成物およびこれを利用したパターン形成方法
US11415885B2 (en) 2019-10-15 2022-08-16 Samsung Sdi Co., Ltd. Semiconductor photoresist composition, and method of forming patterns using the composition
WO2021193202A1 (ja) * 2020-03-24 2021-09-30 東京エレクトロン株式会社 熱処理装置及び熱処理方法
JP7456491B2 (ja) 2020-03-24 2024-03-27 東京エレクトロン株式会社 熱処理装置及び熱処理方法
JP2021162865A (ja) * 2020-04-02 2021-10-11 三星エスディアイ株式会社Samsung SDI Co., Ltd. 半導体フォトレジスト用組成物およびこれを利用したパターン形成方法
JP7168715B2 (ja) 2020-04-02 2022-11-09 三星エスディアイ株式会社 半導体フォトレジスト用組成物およびこれを利用したパターン形成方法
JP2021179606A (ja) * 2020-05-12 2021-11-18 三星エスディアイ株式会社Samsung SDI Co., Ltd. 半導体フォトレジスト用組成物およびこれを用いたパターン形成方法
JP7189268B2 (ja) 2020-05-12 2022-12-13 三星エスディアイ株式会社 半導体フォトレジスト用組成物およびこれを用いたパターン形成方法
WO2022202402A1 (ja) * 2021-03-26 2022-09-29 Jsr株式会社 半導体基板の製造方法及びレジスト下層膜形成用組成物

Also Published As

Publication number Publication date
EP4089482A1 (en) 2022-11-16
US10228618B2 (en) 2019-03-12
US20170102612A1 (en) 2017-04-13
TW202126668A (zh) 2021-07-16
CN113534609A (zh) 2021-10-22
KR20210135647A (ko) 2021-11-15
US10732505B1 (en) 2020-08-04
JP6805244B2 (ja) 2020-12-23
KR102204773B1 (ko) 2021-01-18
US11754924B2 (en) 2023-09-12
EP3391148A2 (en) 2018-10-24
CN108351594B (zh) 2021-07-09
WO2017066319A2 (en) 2017-04-20
WO2017066319A8 (en) 2017-05-18
EP3391148A4 (en) 2019-10-02
US10775696B2 (en) 2020-09-15
US20220334488A1 (en) 2022-10-20
TW202126669A (zh) 2021-07-16
US20220299878A1 (en) 2022-09-22
TW202126670A (zh) 2021-07-16
KR102508142B1 (ko) 2023-03-08
TW202212344A (zh) 2022-04-01
KR20210008151A (ko) 2021-01-20
US11809081B2 (en) 2023-11-07
TW201734025A (zh) 2017-10-01
US20220334487A1 (en) 2022-10-20
TWI777408B (zh) 2022-09-11
KR102346372B1 (ko) 2021-12-31
JP7179816B2 (ja) 2022-11-29
US11537048B2 (en) 2022-12-27
EP4273625A2 (en) 2023-11-08
EP3896520B1 (en) 2022-10-05
TWI783376B (zh) 2022-11-11
JP2023027078A (ja) 2023-03-01
EP4273625A3 (en) 2024-02-28
KR20230035713A (ko) 2023-03-14
TW202230049A (zh) 2022-08-01
US20200257196A1 (en) 2020-08-13
US20190137870A1 (en) 2019-05-09
EP3391148B1 (en) 2021-09-15
WO2017066319A3 (en) 2017-08-24
EP3896520A1 (en) 2021-10-20
KR20180054917A (ko) 2018-05-24
CN108351594A (zh) 2018-07-31
TWI761135B (zh) 2022-04-11
JP2021073367A (ja) 2021-05-13
US20230004090A1 (en) 2023-01-05
TWI744252B (zh) 2021-11-01
US20200371439A1 (en) 2020-11-26

Similar Documents

Publication Publication Date Title
JP7179816B2 (ja) 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成
JP7227205B2 (ja) 有機金属溶液に基づいた高解像度パターニング組成物および対応する方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190328

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190328

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200421

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200611

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201104

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20201203

R150 Certificate of patent or registration of utility model

Ref document number: 6805244

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250