TWI811538B - 有機金屬光阻顯影劑組合物及處理方法 - Google Patents
有機金屬光阻顯影劑組合物及處理方法 Download PDFInfo
- Publication number
- TWI811538B TWI811538B TW109112139A TW109112139A TWI811538B TW I811538 B TWI811538 B TW I811538B TW 109112139 A TW109112139 A TW 109112139A TW 109112139 A TW109112139 A TW 109112139A TW I811538 B TWI811538 B TW I811538B
- Authority
- TW
- Taiwan
- Prior art keywords
- developer
- radiation
- volume
- patterned layer
- organometallic
- Prior art date
Links
- 239000000203 mixture Substances 0.000 title claims abstract description 160
- 125000002524 organometallic group Chemical group 0.000 title claims abstract description 56
- 229920002120 photoresistant polymer Polymers 0.000 title claims description 117
- 238000003672 processing method Methods 0.000 title description 3
- 238000000034 method Methods 0.000 claims abstract description 94
- 239000002904 solvent Substances 0.000 claims abstract description 78
- 238000000059 patterning Methods 0.000 claims abstract description 58
- CATSNJVOTSVZJV-UHFFFAOYSA-N heptan-2-one Chemical compound CCCCCC(C)=O CATSNJVOTSVZJV-UHFFFAOYSA-N 0.000 claims description 184
- BDAGIHXWWSANSR-UHFFFAOYSA-N methanoic acid Natural products OC=O BDAGIHXWWSANSR-UHFFFAOYSA-N 0.000 claims description 124
- 230000007547 defect Effects 0.000 claims description 103
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 claims description 102
- 239000000654 additive Substances 0.000 claims description 67
- 239000000463 material Substances 0.000 claims description 64
- OSWFIVFLDKOXQC-UHFFFAOYSA-N 4-(3-methoxyphenyl)aniline Chemical compound COC1=CC=CC(C=2C=CC(N)=CC=2)=C1 OSWFIVFLDKOXQC-UHFFFAOYSA-N 0.000 claims description 62
- 235000019253 formic acid Nutrition 0.000 claims description 62
- 238000000576 coating method Methods 0.000 claims description 54
- LLHKCFNBLRBOGN-UHFFFAOYSA-N propylene glycol methyl ether acetate Chemical compound COCC(C)OC(C)=O LLHKCFNBLRBOGN-UHFFFAOYSA-N 0.000 claims description 52
- 230000005855 radiation Effects 0.000 claims description 50
- 239000011248 coating agent Substances 0.000 claims description 46
- 230000000996 additive effect Effects 0.000 claims description 34
- LYCAIKOWRPUZTN-UHFFFAOYSA-N ethylene glycol Natural products OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 claims description 32
- 229910052751 metal Inorganic materials 0.000 claims description 32
- 239000002184 metal Substances 0.000 claims description 32
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 32
- 239000002243 precursor Substances 0.000 claims description 25
- OBETXYAYXDNJHR-UHFFFAOYSA-N alpha-ethylcaproic acid Natural products CCCCC(CC)C(O)=O OBETXYAYXDNJHR-UHFFFAOYSA-N 0.000 claims description 24
- XLYOFNOQVPJJNP-UHFFFAOYSA-M hydroxide Chemical compound [OH-] XLYOFNOQVPJJNP-UHFFFAOYSA-M 0.000 claims description 24
- 239000000758 substrate Substances 0.000 claims description 22
- 239000003446 ligand Substances 0.000 claims description 21
- OBETXYAYXDNJHR-SSDOTTSWSA-M (2r)-2-ethylhexanoate Chemical compound CCCC[C@@H](CC)C([O-])=O OBETXYAYXDNJHR-SSDOTTSWSA-M 0.000 claims description 18
- 229910052718 tin Inorganic materials 0.000 claims description 18
- ARXJGSRGQADJSQ-UHFFFAOYSA-N 1-methoxypropan-2-ol Chemical compound COCC(C)O ARXJGSRGQADJSQ-UHFFFAOYSA-N 0.000 claims description 16
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical group [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims description 16
- WGCNASOHLSPBMP-UHFFFAOYSA-N hydroxyacetaldehyde Natural products OCC=O WGCNASOHLSPBMP-UHFFFAOYSA-N 0.000 claims description 15
- 150000001875 compounds Chemical class 0.000 claims description 13
- CSCPPACGZOOCGX-UHFFFAOYSA-N Acetone Chemical compound CC(C)=O CSCPPACGZOOCGX-UHFFFAOYSA-N 0.000 claims description 12
- 229910052799 carbon Inorganic materials 0.000 claims description 12
- 238000010894 electron beam technology Methods 0.000 claims description 12
- 229940015975 1,2-hexanediol Drugs 0.000 claims description 11
- DNIAPMSPPWPWGF-UHFFFAOYSA-N Propylene glycol Chemical compound CC(O)CO DNIAPMSPPWPWGF-UHFFFAOYSA-N 0.000 claims description 11
- FHKSXSQHXQEMOK-UHFFFAOYSA-N hexane-1,2-diol Chemical compound CCCCC(O)CO FHKSXSQHXQEMOK-UHFFFAOYSA-N 0.000 claims description 11
- -1 pyrrolidine Ketones Chemical class 0.000 claims description 11
- 238000000151 deposition Methods 0.000 claims description 10
- BDERNNFJNOPAEC-UHFFFAOYSA-N propan-1-ol Chemical compound CCCO BDERNNFJNOPAEC-UHFFFAOYSA-N 0.000 claims description 10
- ZWEHNKRNPOVVGH-UHFFFAOYSA-N 2-Butanone Chemical compound CCC(C)=O ZWEHNKRNPOVVGH-UHFFFAOYSA-N 0.000 claims description 9
- UHOVQNZJYSORNB-UHFFFAOYSA-N Benzene Chemical compound C1=CC=CC=C1 UHOVQNZJYSORNB-UHFFFAOYSA-N 0.000 claims description 9
- 150000002576 ketones Chemical class 0.000 claims description 9
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 claims description 8
- 239000007788 liquid Substances 0.000 claims description 8
- XEKOWRVHYACXOJ-UHFFFAOYSA-N Ethyl acetate Chemical compound CCOC(C)=O XEKOWRVHYACXOJ-UHFFFAOYSA-N 0.000 claims description 7
- 125000004432 carbon atom Chemical group C* 0.000 claims description 7
- 150000002148 esters Chemical class 0.000 claims description 7
- 235000013772 propylene glycol Nutrition 0.000 claims description 7
- OKKJLVBELUTLKV-UHFFFAOYSA-N Methanol Chemical compound OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 claims description 6
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 claims description 6
- 150000001298 alcohols Chemical class 0.000 claims description 6
- BTANRVKWQNVYAZ-UHFFFAOYSA-N butan-2-ol Chemical compound CCC(C)O BTANRVKWQNVYAZ-UHFFFAOYSA-N 0.000 claims description 6
- DNIAPMSPPWPWGF-GSVOUGTGSA-N (R)-(-)-Propylene glycol Chemical compound C[C@@H](O)CO DNIAPMSPPWPWGF-GSVOUGTGSA-N 0.000 claims description 5
- WVYWICLMDOOCFB-UHFFFAOYSA-N 4-methyl-2-pentanol Chemical compound CC(C)CC(C)O WVYWICLMDOOCFB-UHFFFAOYSA-N 0.000 claims description 5
- PEDCQBHIVMGVHV-UHFFFAOYSA-N Glycerine Chemical compound OCC(O)CO PEDCQBHIVMGVHV-UHFFFAOYSA-N 0.000 claims description 5
- 150000002170 ethers Chemical class 0.000 claims description 5
- 238000004528 spin coating Methods 0.000 claims description 5
- CETWDUZRCINIHU-UHFFFAOYSA-N 2-heptanol Chemical compound CCCCCC(C)O CETWDUZRCINIHU-UHFFFAOYSA-N 0.000 claims description 4
- ZPVFWPFBNIEHGJ-UHFFFAOYSA-N 2-octanone Chemical compound CCCCCCC(C)=O ZPVFWPFBNIEHGJ-UHFFFAOYSA-N 0.000 claims description 4
- YEJRWHAVMIAJKC-UHFFFAOYSA-N 4-Butyrolactone Chemical compound O=C1CCCO1 YEJRWHAVMIAJKC-UHFFFAOYSA-N 0.000 claims description 4
- SECXISVLQFMRJM-UHFFFAOYSA-N N-Methylpyrrolidone Chemical compound CN1CCCC1=O SECXISVLQFMRJM-UHFFFAOYSA-N 0.000 claims description 4
- AMQJEAYHLZJPGS-UHFFFAOYSA-N N-Pentanol Chemical compound CCCCCO AMQJEAYHLZJPGS-UHFFFAOYSA-N 0.000 claims description 4
- JKRZOJADNVOXPM-UHFFFAOYSA-N Oxalic acid dibutyl ester Chemical compound CCCCOC(=O)C(=O)OCCCC JKRZOJADNVOXPM-UHFFFAOYSA-N 0.000 claims description 4
- WYURNTSHIVDZCO-UHFFFAOYSA-N Tetrahydrofuran Chemical compound C1CCOC1 WYURNTSHIVDZCO-UHFFFAOYSA-N 0.000 claims description 4
- 239000002253 acid Substances 0.000 claims description 4
- DKPFZGUDAPQIHT-UHFFFAOYSA-N butyl acetate Chemical compound CCCCOC(C)=O DKPFZGUDAPQIHT-UHFFFAOYSA-N 0.000 claims description 4
- 150000001735 carboxylic acids Chemical class 0.000 claims description 4
- JHIVVAPYMSGYDF-UHFFFAOYSA-N cyclohexanone Chemical compound O=C1CCCCC1 JHIVVAPYMSGYDF-UHFFFAOYSA-N 0.000 claims description 4
- 238000005530 etching Methods 0.000 claims description 4
- LZCLXQDLBQLTDK-UHFFFAOYSA-N ethyl 2-hydroxypropanoate Chemical compound CCOC(=O)C(C)O LZCLXQDLBQLTDK-UHFFFAOYSA-N 0.000 claims description 4
- ZXEKIIBDNHEJCQ-UHFFFAOYSA-N isobutanol Chemical compound CC(C)CO ZXEKIIBDNHEJCQ-UHFFFAOYSA-N 0.000 claims description 4
- ZFPGARUNNKGOBB-UHFFFAOYSA-N 1-Ethyl-2-pyrrolidinone Chemical compound CCN1CCCC1=O ZFPGARUNNKGOBB-UHFFFAOYSA-N 0.000 claims description 3
- 238000007598 dipping method Methods 0.000 claims description 3
- 150000002334 glycols Chemical class 0.000 claims description 3
- 150000002596 lactones Chemical class 0.000 claims description 3
- HNJBEVLQSNELDL-UHFFFAOYSA-N pyrrolidin-2-one Chemical compound O=C1CCCN1 HNJBEVLQSNELDL-UHFFFAOYSA-N 0.000 claims description 3
- 238000005507 spraying Methods 0.000 claims description 3
- DNIAPMSPPWPWGF-VKHMYHEASA-N (+)-propylene glycol Chemical compound C[C@H](O)CO DNIAPMSPPWPWGF-VKHMYHEASA-N 0.000 claims description 2
- YPFDHNVEDLHUCE-UHFFFAOYSA-N 1,3-propanediol Substances OCCCO YPFDHNVEDLHUCE-UHFFFAOYSA-N 0.000 claims description 2
- RYHBNJHYFVUHQT-UHFFFAOYSA-N 1,4-Dioxane Chemical compound C1COCCO1 RYHBNJHYFVUHQT-UHFFFAOYSA-N 0.000 claims description 2
- XNWFRZJHXBZDAG-UHFFFAOYSA-N 2-METHOXYETHANOL Chemical compound COCCO XNWFRZJHXBZDAG-UHFFFAOYSA-N 0.000 claims description 2
- YYLLIJHXUHJATK-UHFFFAOYSA-N Cyclohexyl acetate Chemical compound CC(=O)OC1CCCCC1 YYLLIJHXUHJATK-UHFFFAOYSA-N 0.000 claims description 2
- CTQNGGLPUBDAKN-UHFFFAOYSA-N O-Xylene Chemical compound CC1=CC=CC=C1C CTQNGGLPUBDAKN-UHFFFAOYSA-N 0.000 claims description 2
- 150000001491 aromatic compounds Chemical class 0.000 claims description 2
- 229930188620 butyrolactone Natural products 0.000 claims description 2
- 229940116333 ethyl lactate Drugs 0.000 claims description 2
- 230000000737 periodic effect Effects 0.000 claims description 2
- 229920000166 polytrimethylene carbonate Polymers 0.000 claims description 2
- 150000004040 pyrrolidinones Chemical class 0.000 claims description 2
- YLQBMQCUIZJEEH-UHFFFAOYSA-N tetrahydrofuran Natural products C=1C=COC=1 YLQBMQCUIZJEEH-UHFFFAOYSA-N 0.000 claims description 2
- 239000008096 xylene Substances 0.000 claims description 2
- 150000002430 hydrocarbons Chemical group 0.000 claims 5
- MUBZPKHOEPUJKR-UHFFFAOYSA-N Oxalic acid Chemical compound OC(=O)C(O)=O MUBZPKHOEPUJKR-UHFFFAOYSA-N 0.000 claims 3
- 238000007664 blowing Methods 0.000 claims 2
- 238000009987 spinning Methods 0.000 claims 2
- RWRDLPDLKQPQOW-UHFFFAOYSA-N tetrahydropyrrole Natural products C1CCNC1 RWRDLPDLKQPQOW-UHFFFAOYSA-N 0.000 claims 2
- LCGLNKUTAGEVQW-UHFFFAOYSA-N Dimethyl ether Chemical compound COC LCGLNKUTAGEVQW-UHFFFAOYSA-N 0.000 claims 1
- 235000011054 acetic acid Nutrition 0.000 claims 1
- 235000011187 glycerol Nutrition 0.000 claims 1
- 239000003607 modifier Substances 0.000 claims 1
- 235000006408 oxalic acid Nutrition 0.000 claims 1
- 230000008707 rearrangement Effects 0.000 claims 1
- 239000000243 solution Substances 0.000 description 132
- 235000012431 wafers Nutrition 0.000 description 64
- 230000008569 process Effects 0.000 description 41
- 239000011295 pitch Substances 0.000 description 35
- 238000011161 development Methods 0.000 description 32
- 230000018109 developmental process Effects 0.000 description 31
- 238000012545 processing Methods 0.000 description 30
- 238000001878 scanning electron micrograph Methods 0.000 description 21
- 238000001900 extreme ultraviolet lithography Methods 0.000 description 19
- 239000010408 film Substances 0.000 description 17
- 230000009467 reduction Effects 0.000 description 17
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 description 15
- 239000002585 base Substances 0.000 description 12
- 238000011010 flushing procedure Methods 0.000 description 12
- 238000004458 analytical method Methods 0.000 description 11
- 238000003384 imaging method Methods 0.000 description 11
- 238000002360 preparation method Methods 0.000 description 11
- 125000000217 alkyl group Chemical group 0.000 description 10
- 230000000875 corresponding effect Effects 0.000 description 9
- 230000007423 decrease Effects 0.000 description 9
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 8
- 230000015572 biosynthetic process Effects 0.000 description 8
- 230000001965 increasing effect Effects 0.000 description 8
- 239000003960 organic solvent Substances 0.000 description 8
- 229910052710 silicon Inorganic materials 0.000 description 8
- 239000010703 silicon Substances 0.000 description 8
- 239000011521 glass Substances 0.000 description 7
- 238000010438 heat treatment Methods 0.000 description 7
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 7
- 229910044991 metal oxide Inorganic materials 0.000 description 7
- 150000004706 metal oxides Chemical class 0.000 description 7
- 238000002156 mixing Methods 0.000 description 7
- 239000002798 polar solvent Substances 0.000 description 7
- 230000000694 effects Effects 0.000 description 6
- 229910052739 hydrogen Inorganic materials 0.000 description 6
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 6
- 230000008021 deposition Effects 0.000 description 5
- 239000003517 fume Substances 0.000 description 5
- 125000001183 hydrocarbyl group Chemical group 0.000 description 5
- 239000001257 hydrogen Substances 0.000 description 5
- 150000003138 primary alcohols Chemical class 0.000 description 5
- 238000004513 sizing Methods 0.000 description 5
- 239000000126 substance Substances 0.000 description 5
- 239000011324 bead Substances 0.000 description 4
- 150000001768 cations Chemical class 0.000 description 4
- AUYOHNUMSAGWQZ-UHFFFAOYSA-L dihydroxy(oxo)tin Chemical compound O[Sn](O)=O AUYOHNUMSAGWQZ-UHFFFAOYSA-L 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 125000000524 functional group Chemical group 0.000 description 4
- XXMIOPMDWAUFGU-UHFFFAOYSA-N hexane-1,6-diol Chemical compound OCCCCCCO XXMIOPMDWAUFGU-UHFFFAOYSA-N 0.000 description 4
- 230000007062 hydrolysis Effects 0.000 description 4
- 238000006460 hydrolysis reaction Methods 0.000 description 4
- 230000006872 improvement Effects 0.000 description 4
- 238000001459 lithography Methods 0.000 description 4
- 239000002245 particle Substances 0.000 description 4
- 238000000206 photolithography Methods 0.000 description 4
- 230000001681 protective effect Effects 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 238000005406 washing Methods 0.000 description 4
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 3
- 238000005481 NMR spectroscopy Methods 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 238000013461 design Methods 0.000 description 3
- 238000000572 ellipsometry Methods 0.000 description 3
- 238000001879 gelation Methods 0.000 description 3
- 230000003993 interaction Effects 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 125000000740 n-pentyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 3
- 239000002105 nanoparticle Substances 0.000 description 3
- 150000003333 secondary alcohols Chemical class 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- 125000003903 2-propenyl group Chemical group [H]C([*])([H])C([H])=C([H])[H] 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical group [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- KCXVZYZYPLLWCC-UHFFFAOYSA-N EDTA Chemical compound OC(=O)CN(CC(O)=O)CCN(CC(O)=O)CC(O)=O KCXVZYZYPLLWCC-UHFFFAOYSA-N 0.000 description 2
- 206010073306 Exposure to radiation Diseases 0.000 description 2
- 238000010521 absorption reaction Methods 0.000 description 2
- 125000003342 alkenyl group Chemical group 0.000 description 2
- 125000000304 alkynyl group Chemical group 0.000 description 2
- 150000001450 anions Chemical class 0.000 description 2
- RDOXTESZEPMUJZ-UHFFFAOYSA-N anisole Chemical compound COC1=CC=CC=C1 RDOXTESZEPMUJZ-UHFFFAOYSA-N 0.000 description 2
- 125000003118 aryl group Chemical group 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 2
- 150000001732 carboxylic acid derivatives Chemical class 0.000 description 2
- 238000012512 characterization method Methods 0.000 description 2
- 239000003795 chemical substances by application Substances 0.000 description 2
- 125000004122 cyclic group Chemical group 0.000 description 2
- 125000001995 cyclobutyl group Chemical group [H]C1([H])C([H])([H])C([H])(*)C1([H])[H] 0.000 description 2
- 125000001511 cyclopentyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C1([H])[H] 0.000 description 2
- 125000001559 cyclopropyl group Chemical group [H]C1([H])C([H])([H])C1([H])* 0.000 description 2
- DIOQZVSQGTUSAI-UHFFFAOYSA-N decane Chemical compound CCCCCCCCCC DIOQZVSQGTUSAI-UHFFFAOYSA-N 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 238000001035 drying Methods 0.000 description 2
- 229960001484 edetic acid Drugs 0.000 description 2
- 238000011156 evaluation Methods 0.000 description 2
- 238000005286 illumination Methods 0.000 description 2
- 238000010191 image analysis Methods 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- 125000001449 isopropyl group Chemical group [H]C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- UQDUPQYQJKYHQI-UHFFFAOYSA-N methyl laurate Chemical compound CCCCCCCCCCCC(=O)OC UQDUPQYQJKYHQI-UHFFFAOYSA-N 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 239000013110 organic ligand Substances 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 230000035945 sensitivity Effects 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 239000004094 surface-active agent Substances 0.000 description 2
- 238000007740 vapor deposition Methods 0.000 description 2
- JSJVFFWMERFSLW-UHFFFAOYSA-N 1H-pyridin-2-one 1H-pyrrole Chemical compound N1C(C=CC=C1)=O.N1C=CC=C1 JSJVFFWMERFSLW-UHFFFAOYSA-N 0.000 description 1
- PTTPXKJBFFKCEK-UHFFFAOYSA-N 2-Methyl-4-heptanone Chemical compound CC(C)CC(=O)CC(C)C PTTPXKJBFFKCEK-UHFFFAOYSA-N 0.000 description 1
- QGZKDVFQNNGYKY-UHFFFAOYSA-O Ammonium Chemical compound [NH4+] QGZKDVFQNNGYKY-UHFFFAOYSA-O 0.000 description 1
- BTBUEUYNUDRHOZ-UHFFFAOYSA-N Borate Chemical compound [O-]B([O-])[O-] BTBUEUYNUDRHOZ-UHFFFAOYSA-N 0.000 description 1
- CPELXLSAUQHCOX-UHFFFAOYSA-M Bromide Chemical compound [Br-] CPELXLSAUQHCOX-UHFFFAOYSA-M 0.000 description 1
- FIPWRIJSWJWJAI-UHFFFAOYSA-N Butyl carbitol 6-propylpiperonyl ether Chemical compound C1=C(CCC)C(COCCOCCOCCCC)=CC2=C1OCO2 FIPWRIJSWJWJAI-UHFFFAOYSA-N 0.000 description 1
- 229910052684 Cerium Inorganic materials 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 1
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 description 1
- BDAGIHXWWSANSR-UHFFFAOYSA-M Formate Chemical compound [O-]C=O BDAGIHXWWSANSR-UHFFFAOYSA-M 0.000 description 1
- DGAQECJNVWCQMB-PUAWFVPOSA-M Ilexoside XXIX Chemical compound C[C@@H]1CC[C@@]2(CC[C@@]3(C(=CC[C@H]4[C@]3(CC[C@@H]5[C@@]4(CC[C@@H](C5(C)C)OS(=O)(=O)[O-])C)C)[C@@H]2[C@]1(C)O)C)C(=O)O[C@H]6[C@@H]([C@H]([C@@H]([C@H](O6)CO)O)O)O.[Na+] DGAQECJNVWCQMB-PUAWFVPOSA-M 0.000 description 1
- 238000003109 Karl Fischer titration Methods 0.000 description 1
- WHXSMMKQMYFTQS-UHFFFAOYSA-N Lithium Chemical compound [Li] WHXSMMKQMYFTQS-UHFFFAOYSA-N 0.000 description 1
- 229910002651 NO3 Inorganic materials 0.000 description 1
- NHNBFGGVMKEFGY-UHFFFAOYSA-N Nitrate Chemical compound [O-][N+]([O-])=O NHNBFGGVMKEFGY-UHFFFAOYSA-N 0.000 description 1
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 description 1
- 229910019142 PO4 Inorganic materials 0.000 description 1
- ZLMJMSJWJFRBEC-UHFFFAOYSA-N Potassium Chemical compound [K] ZLMJMSJWJFRBEC-UHFFFAOYSA-N 0.000 description 1
- QAOWNCQODCNURD-UHFFFAOYSA-L Sulfate Chemical compound [O-]S([O-])(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-L 0.000 description 1
- 238000000560 X-ray reflectometry Methods 0.000 description 1
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 1
- VZPPHXVFMVZRTE-UHFFFAOYSA-N [Kr]F Chemical compound [Kr]F VZPPHXVFMVZRTE-UHFFFAOYSA-N 0.000 description 1
- 125000003670 adamantan-2-yl group Chemical group [H]C1([H])C(C2([H])[H])([H])C([H])([H])C3([H])C([*])([H])C1([H])C([H])([H])C2([H])C3([H])[H] 0.000 description 1
- ORILYTVJVMAKLC-UHFFFAOYSA-N adamantane Chemical compound C1C(C2)CC3CC1CC2C3 ORILYTVJVMAKLC-UHFFFAOYSA-N 0.000 description 1
- 239000003513 alkali Substances 0.000 description 1
- 229910052783 alkali metal Inorganic materials 0.000 description 1
- 150000001340 alkali metals Chemical class 0.000 description 1
- 150000001345 alkine derivatives Chemical class 0.000 description 1
- 150000004703 alkoxides Chemical class 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 150000001412 amines Chemical class 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 239000007864 aqueous solution Substances 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- ISQINHMJILFLAQ-UHFFFAOYSA-N argon hydrofluoride Chemical compound F.[Ar] ISQINHMJILFLAQ-UHFFFAOYSA-N 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 239000012298 atmosphere Substances 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 125000001797 benzyl group Chemical group [H]C1=C([H])C([H])=C(C([H])=C1[H])C([H])([H])* 0.000 description 1
- WIHMDCQAEONXND-UHFFFAOYSA-M butyl-hydroxy-oxotin Chemical group CCCC[Sn](O)=O WIHMDCQAEONXND-UHFFFAOYSA-M 0.000 description 1
- 150000007942 carboxylates Chemical class 0.000 description 1
- ZMIGMASIKSOYAM-UHFFFAOYSA-N cerium Chemical compound [Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce] ZMIGMASIKSOYAM-UHFFFAOYSA-N 0.000 description 1
- 238000012822 chemical development Methods 0.000 description 1
- 239000013626 chemical specie Substances 0.000 description 1
- 239000008199 coating composition Substances 0.000 description 1
- 238000010668 complexation reaction Methods 0.000 description 1
- 238000009833 condensation Methods 0.000 description 1
- 230000005494 condensation Effects 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 230000002596 correlated effect Effects 0.000 description 1
- 150000003983 crown ethers Chemical class 0.000 description 1
- 125000004093 cyano group Chemical group *C#N 0.000 description 1
- 125000000753 cycloalkyl group Chemical group 0.000 description 1
- 125000000113 cyclohexyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C1([H])[H] 0.000 description 1
- 230000002950 deficient Effects 0.000 description 1
- 238000000280 densification Methods 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 230000001627 detrimental effect Effects 0.000 description 1
- 235000014113 dietary fatty acids Nutrition 0.000 description 1
- MTHSVFCYNBDYFN-UHFFFAOYSA-N diethylene glycol Chemical compound OCCOCCO MTHSVFCYNBDYFN-UHFFFAOYSA-N 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 238000004090 dissolution Methods 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 230000005670 electromagnetic radiation Effects 0.000 description 1
- 238000000609 electron-beam lithography Methods 0.000 description 1
- 239000012776 electronic material Substances 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- 125000004185 ester group Chemical group 0.000 description 1
- 125000001033 ether group Chemical group 0.000 description 1
- 230000001747 exhibiting effect Effects 0.000 description 1
- 229930195729 fatty acid Natural products 0.000 description 1
- 239000000194 fatty acid Substances 0.000 description 1
- 150000004665 fatty acids Chemical class 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 229940044170 formate Drugs 0.000 description 1
- 239000007789 gas Substances 0.000 description 1
- 238000009499 grossing Methods 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- 150000004820 halides Chemical class 0.000 description 1
- 125000005842 heteroatom Chemical group 0.000 description 1
- FFUAGWLWBBFQJT-UHFFFAOYSA-N hexamethyldisilazane Chemical compound C[Si](C)(C)N[Si](C)(C)C FFUAGWLWBBFQJT-UHFFFAOYSA-N 0.000 description 1
- ACCCMOQWYVYDOT-UHFFFAOYSA-N hexane-1,1-diol Chemical compound CCCCCC(O)O ACCCMOQWYVYDOT-UHFFFAOYSA-N 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- XMBWDFGMSWQBCA-UHFFFAOYSA-N hydrogen iodide Chemical compound I XMBWDFGMSWQBCA-UHFFFAOYSA-N 0.000 description 1
- 230000003301 hydrolyzing effect Effects 0.000 description 1
- 150000004679 hydroxides Chemical class 0.000 description 1
- 150000002440 hydroxy compounds Chemical class 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 238000007689 inspection Methods 0.000 description 1
- 239000002563 ionic surfactant Substances 0.000 description 1
- 238000012804 iterative process Methods 0.000 description 1
- 125000000468 ketone group Chemical group 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 description 1
- 239000006193 liquid solution Substances 0.000 description 1
- 229910052744 lithium Inorganic materials 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 229910021518 metal oxyhydroxide Inorganic materials 0.000 description 1
- UZKWTJUDCOPSNM-UHFFFAOYSA-N methoxybenzene Substances CCCCOC=C UZKWTJUDCOPSNM-UHFFFAOYSA-N 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 238000000386 microscopy Methods 0.000 description 1
- 230000000116 mitigating effect Effects 0.000 description 1
- MEFBJEMVZONFCJ-UHFFFAOYSA-N molybdate Chemical compound [O-][Mo]([O-])(=O)=O MEFBJEMVZONFCJ-UHFFFAOYSA-N 0.000 description 1
- 125000004108 n-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 239000002070 nanowire Substances 0.000 description 1
- 125000001971 neopentyl group Chemical group [H]C([*])([H])C(C([H])([H])[H])(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- 239000002736 nonionic surfactant Substances 0.000 description 1
- 229920000847 nonoxynol Polymers 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 150000007524 organic acids Chemical class 0.000 description 1
- 239000006259 organic additive Substances 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 230000005298 paramagnetic effect Effects 0.000 description 1
- 125000001147 pentyl group Chemical group C(CCCC)* 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 150000002978 peroxides Chemical class 0.000 description 1
- NIXKBAZVOQAHGC-UHFFFAOYSA-N phenylmethanesulfonic acid Chemical class OS(=O)(=O)CC1=CC=CC=C1 NIXKBAZVOQAHGC-UHFFFAOYSA-N 0.000 description 1
- NBIIXXVUZAFLBC-UHFFFAOYSA-K phosphate Chemical compound [O-]P([O-])([O-])=O NBIIXXVUZAFLBC-UHFFFAOYSA-K 0.000 description 1
- 239000010452 phosphate Substances 0.000 description 1
- 150000003014 phosphoric acid esters Chemical class 0.000 description 1
- 238000005240 physical vapour deposition Methods 0.000 description 1
- 229960005235 piperonyl butoxide Drugs 0.000 description 1
- 229920001223 polyethylene glycol Polymers 0.000 description 1
- 229920005862 polyol Polymers 0.000 description 1
- 150000003077 polyols Chemical class 0.000 description 1
- 230000008092 positive effect Effects 0.000 description 1
- 229910052700 potassium Inorganic materials 0.000 description 1
- 239000011591 potassium Substances 0.000 description 1
- 238000001556 precipitation Methods 0.000 description 1
- 230000007261 regionalization Effects 0.000 description 1
- 239000013557 residual solvent Substances 0.000 description 1
- 150000003839 salts Chemical class 0.000 description 1
- 238000005070 sampling Methods 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 125000003808 silyl group Chemical group [H][Si]([H])([H])[*] 0.000 description 1
- 229910052708 sodium Inorganic materials 0.000 description 1
- 239000011734 sodium Substances 0.000 description 1
- 241000894007 species Species 0.000 description 1
- 238000001228 spectrum Methods 0.000 description 1
- 230000008080 stochastic effect Effects 0.000 description 1
- 125000000999 tert-butyl group Chemical group [H]C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- 150000005622 tetraalkylammonium hydroxides Chemical class 0.000 description 1
- 150000005621 tetraalkylammonium salts Chemical class 0.000 description 1
- 238000007669 thermal treatment Methods 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 125000004149 thio group Chemical group *S* 0.000 description 1
- 230000001988 toxicity Effects 0.000 description 1
- 231100000419 toxicity Toxicity 0.000 description 1
- PBYZMCDFOULPGH-UHFFFAOYSA-N tungstate Chemical compound [O-][W]([O-])(=O)=O PBYZMCDFOULPGH-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/30—Imagewise removal using liquid means
- G03F7/32—Liquid compositions therefor, e.g. developers
- G03F7/325—Non-aqueous compositions
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/30—Imagewise removal using liquid means
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/0042—Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/0042—Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
- G03F7/0043—Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/16—Coating processes; Apparatus therefor
- G03F7/162—Coating on a rotating support, e.g. using a whirler or a spinner
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2002—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
- G03F7/2004—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
- G03F7/2006—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light using coherent light; using polarised light
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2041—Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
- G03F7/2043—Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means with the production of a chemical active agent from a fluid, e.g. an etching agent; with meterial deposition from the fluid phase, e.g. contamination resists
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/30—Imagewise removal using liquid means
- G03F7/32—Liquid compositions therefor, e.g. developers
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/40—Treatment after imagewise removal, e.g. baking
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/42—Stripping or agents therefor
- G03F7/422—Stripping or agents therefor using liquids only
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Metallurgy (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
- Materials For Photolithography (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
Abstract
基於溶劑摻合物來闡述顯影劑組合物,其中顯影劑對於使用有機金屬系圖案化組合物進行的EUV圖案化特別有效。闡述該等顯影組合物之使用方法。溶劑摻合物可基於漢森溶解度參數來選擇。概括而言,一種溶劑具有由δP + δH之和表達之低極性,且顯影劑之第二溶劑組分具有較高之δP + δH值。闡述對應的溶劑組合物。
Description
本發明係關於有機金屬系輻射圖案化組合物之改進處理以減少缺陷。特別地,闡述改進之化學顯影組合物以減少微橋(microbridge)及類似缺陷。亦闡述用於減少缺陷之顯影後沖洗。
為形成基於半導體系裝置以及其他電子裝置或其他複雜精細結構,一般對材料進行圖案化以整合該結構。因此,結構一般係藉由依序之沉積步驟及蝕刻步驟的反覆製程形成,藉由該等步驟由各種材料形成圖案。如此一來,大量裝置可形成至一小區域中。此項技術中之一些進步可涉及減少裝置之覆蓋面積(footprint),此對於增強效能可為理想的。
有機組合物可用作輻射圖案化光阻,使得使用輻射圖案來改變對應於圖案之有機組合物的化學結構。例如,對半導體晶圓進行圖案化之製程可能需要微影轉移來自有機輻射敏感材料的薄膜之期望的影像。光阻之圖案化一般涉及幾個步驟,該等步驟包括例如經由光罩將光阻曝光於一選定的能量源,以記錄潛像(latent image),然後顯影並移除光阻之選定區。對於正型光阻,曝光區被轉換成使此等區可選擇性地移除,而對於負型光阻,未曝光區可更容易移除。
一般而言,可用輻射、反應性氣體或液體溶液對圖案進行顯影,以移除光阻之選擇性敏感部分,而光阻之其他部分充當保護性耐蝕刻層。液體顯影劑可對顯影潛像特別有效。可藉由保護性光阻層之其餘區域中的窗或間隙來選擇性地蝕刻基板。作為另一選擇,可藉由保護性光阻層之其餘區域中的顯影窗或間隙將材料沉積至下伏基板之曝光區中。最終,移除保護性光阻層。可重複該製程以形成額外的圖案化材料層。可使用化學氣相沉積、物理氣相沉積、旋塗或其他合適之方法來沉積材料。可使用額外的處理步驟,例如沉積導電材料或植入摻雜劑。於微製作及奈米製作領域中,積體電路中之特徵尺寸已變得非常小,以達成高積體密度及改善電路功能。
本文所揭露之組合物及材料能夠於有機金屬光阻之微影處理中形成具有改善的(即降低的圖案缺陷密度,例如微橋接(microbridging))高保真圖案及結構。
於第一方案中,本發明係關於一種對輻射曝光之有機金屬圖案化層進行顯影以形成顯影圖案化層的方法,該顯影圖案化層包含有機金屬氧化物/氫氧化物網狀物,該方法包含使該輻射曝光之有機金屬圖案化層接觸含有溶劑摻合物的顯影劑組合物。該溶劑摻合物可包含至少二種溶劑,其中至少55體積%的一或多種溶劑各自獨立具有不超過約16(焦耳/立方公分)1/2
的漢森溶解度參數(Hansen solubility parameter)δH + δP之和,且其中約0.25體積%至約45體積%的一或多種溶劑各自獨立具有至少約16(焦耳/立方公分)1/2
的漢森溶解度參數δH + δP之和。
於另一方案中,本發明係關於一種對包含有機金屬氧化物/氫氧化物網狀物之輻射曝光之有機金屬圖案化層進行顯影的方法,該方法包含使該輻射曝光之有機金屬圖案化層接觸顯影劑組合物,該顯影劑組合物包含溶劑摻合物,該溶劑摻合物具有約0.25體積%至約45體積%的水、醇、二醇醚、吡咯啶酮、內酯、羧酸、或其組合,以及至少55體積%的酮、醚、酯、或其組合。
作為有機金屬光阻之顯影劑,已發現會降低圖案化缺陷密度之改進的溶液。顯影劑溶液組合物一般係針對其能夠於光阻之曝光區與未曝光區之間達成高溶解度對比的能力來進行選擇。換言之,顯影劑能夠有效地將光阻塗層內之曝光誘發的潛像轉變成物理圖案。本文所述之顯影劑組合物包含大部分的有機溶劑及選定的添加劑,該有機溶劑提供經曝光之有機金屬光阻的基線負型顯影,該等添加劑已被發現可用於改善圖案缺陷率。於一些實施態樣中,可視需要添加一定量的水(一般小於1體積%),以進一步幫助減少缺陷。用於顯影劑之理想的有機添加劑一般可藉由其漢森溶解度參數(Hansen solubility parameter)及/或藉由其官能基來識別。
為產生商業上期望的圖案化結果,一般期望圖案化材料被很好地界定且具有高保真度,以達成積體裝置之特定功能,例如導電性或電容。圖案中例如孔或斷裂等缺陷可對裝置功能有害,並且可降低總體裝置產率。因此,期望顯影後的光阻圖案具有高保真度且在很大程度上沒有缺陷(例如相鄰特徵之間不期望的橋接),以使圖案化結構成功地整合至裝置或電路中。因此,需要產生高保真圖案(即具有低缺陷率)的顯影方法及組合物。已經發現,某些添加劑組合物之存在可改善圖案化效能,特別是於減輕圖案化缺陷(例如特徵之間的微橋或浮渣)方面。線寬粗糙度(LWR)亦可得到改善,如以下實例所示。
新種類之基於輻射的光阻可基於使用輻射敏感配位基的金屬氧化物化學性質(金屬氧基/氫氧基組合物),來控制光阻之穩定性及可處理性。一般而言,當用有機溶劑顯影時,該等光阻組合物作用為負型光阻。一般而言,該等金屬系組合物之圖案化可受益於本文所述之顯影劑。相關的光阻化合物係論述於授予巴斯(Bass)等人的美國專利8,703,386 B2中,該美國專利之標題為「用於電子束、深紫外及極紫外光阻應用之具有有機共配位基之金屬過氧化化合物(Metal Peroxo Compounds With Organic Co-ligands for Electron Beam, Deep UV and Extreme UV Photoresist Applications)」,且該專利以引用方式併入本文中。本文例示錫組合物,且儘管本文提供之資料著重於錫系光阻,但預料本文所述之顯影劑組合物對下文所述之其他金屬系光阻有效。
例如有機錫氧化物氫氧化物等有機金屬光阻已經顯示出具有優異的性質以作為用於微影光圖案化的光阻。合適的有機金屬光阻包括以下文獻中闡述的有機錫材料:授予邁耶斯(Meyers)等人的美國專利9,310,684 B2,標題為「基於有機金屬溶液之高解析度圖案化組合物(Organometallic Solution Based High Resolution Patterning Compositions)」;邁耶斯等人的已公開之美國專利申請案2016/0116839 A1,標題為「基於有機金屬溶液之高解析度圖案化組合物及對應方法(Organometallic Solution Based High Resolution Patterning Compositions and Corresponding Methods)」);以及美國專利10,228,618 B2,標題為「有機錫氧化物氫氧化物圖案化組合物、前驅物及圖案化(Organotin Oxide Hydroxide Patterning Compositions, Precursors, and Patterning)」),以上文獻全部以引用方式併入本文中。基於各種金屬之其他有機金屬圖案化組合物闡述於以下文獻中:馬洛尼(Maloney)等人的已公開之美國專利申請案2002/0076495,標題為「製作電子材料之方法(Method of Making Electronic Material)」);及授予弗里德曼(Freedman)等人的美國專利9,372,402 B2,標題為「用於EUV之分子有機金屬光阻(Molecular Organometallic Resists for EUV)」),二者皆以引用方式併入本文中。具有有機塗層之金屬氧化物顆粒的光阻闡述於薩爾馬(Sarma)等人的已公開之美國專利申請案2015/0234272 A1中,該美國專利申請案之標題為「金屬氧化物奈米顆粒及光阻組合物(Metal Oxide Nanoparticles and Photoresist Compositions)」,且該申請案以引用方式併入本文中。本申請人已經開發出已發展至非常高之程度的有機錫圖案化材料,且該等材料中的一些為例示性組合物。
合適的有機錫材料一般係基於由式Rz
SnO(2-(z/2)-(x/2))
(OH)x
表示之輻射敏感圖案化組合物的化學性質,其中0 < z ≤ 2且0 <(z + x)≤ 4,其中R為具有1至31個碳原子的烴基或其具有不同R基團之摻合物,對於N種不同之組合物,其可被寫成RN
。於塗層中,組合物可整合至共同的氧基/氫氧基網狀物中。特別地,對於一些圖案化組合物,支鏈烷基配位基可為理想的,其中化合物可表示為R1
R2
R3
CSn(NR')3
,其中R1
及R2
獨立為具有1至10個碳原子的烷基,且R3
為氫或具有1至10個碳原子的烷基。如下所述,烷基配位基R之此種表示可類似地適用於一般具有R1
R2
R3
CSn(X)3
的其他實態樣,其中X對應於三烷氧基或三醯胺部分。於一些實施態樣中,R1
及R2
可形成環狀烷基部分,且R3
亦可接合其他在環狀部分中的基團。合適的支鏈烷基配位基可為例如,異丙基(R1
及R2
為甲基,且R3
為氫)、三級丁基(R1
、R2
及R3
為甲基)、三級戊基(R1
及R2
為甲基,且R3
為-CH2
CH3
)、二級丁基(R1
為甲基,R2
為-CH2
CH3
,且R3
為氫)、新戊基(R1
及R2
為氫,且R3
為-C(CH3
)3
)、環己基、環戊基、環丁基、及環丙基。合適的環狀基團的實例包括例如1-金剛烷基(於三級碳處鍵結至金屬之-C(CH2
)3
(CH)3
(CH2
)3
或三環(3.3.1.13,7)癸烷)及2-金剛烷基(於二級碳處鍵結至金屬之-CH(CH)2
(CH2
)4
(CH)2
(CH2
)或三環(3.3.1.13,7)癸烷)。於其他實施態樣中,烴基可包括芳基或烯基(例如苯甲基或烯丙基)或炔基。於其他實施態樣中,烴基配位基R可包括僅由C及H組成且含有1至31個碳原子的任何基團。總之,鍵結至錫之合適的烷基的一些實例包括例如,直鏈或支鏈烷基(i-Pr((CH3
)2
CH-)、t-Bu((CH3
)3
C-)、Me(CH3
-)、n-Bu(CH3
CH2
CH2
CH2
-))、環-烷基(環-丙基、環-丁基、環-戊基)、烯屬(烯基、芳基、烯丙基)或炔基、或其組合。於另一些實施態樣中,合適的R基團可包括被雜原子官能基取代的烴基,該等雜原子官能基包括氰基、硫基、矽烷基、醚基、酮基、酯基、或鹵化基、或其組合。
形成整合至共同的氧基/氫氧基網狀物中的有機錫氧基/羥基塗層組合物的前驅物組合物可包含一或多種可溶性有機錫氧基/氫氧基化合物、或具有可水解配位基的對應化合物,其於水解時形成氧基及/或氫氧基配位基。對於具有複數種化合物的前驅物組合物,該等化合物可具有含金屬-碳鍵之不同的有機配位基以及相同或不同的可水解配位基。因此,形成輻射敏感塗層的前驅物組合物可包含由Rn
SnX4-n
表示的一或多種化合物及其混合物的溶液,其中n = 1或2,其中R為具有1至31個碳原子的烴基,如上所述,且X為具有可水解的M-X鍵的配位基。合適的可水解配位基可包括例如,炔化物RC≡C、烷氧化物RO-
、羧酸根RCOO-
、鹵化物、二烷基醯胺、或其組合。特別地,有機錫三烷氧化物組合物可由式RSn(OR0
)3
表示,其中R0
基團可為以上針對R闡述之相同部分的其中一個。於一些實施態樣中,前述有機錫前驅物組合物可更包含由MX4
及/或MO((m/2)-l/2)
(OH)l
表示的組合物,其中0 < z ≤ 2,0 < (z + w) ≤ 4,m = Mm+
之形式價數,0 ≤ l ≤ m,且M = M’或Sn,其中M’為元素週期表之2至16族的非錫金屬。一般而言,有機錫光阻表現出高解析度及高蝕刻耐性,此使得能夠形成小的特徵及圖案。塗佈製程期間或塗佈步驟之後的原位(in situ)水解可用於將可水解的M-X鍵水解,以於圖案化之前於塗層中形成氧基/氫氧基網狀物。前驅物化合物亦可具有適當之重排配位基地於溶液中形成群簇,其中至少一些可水解的配位基可被氧橋或羥基取代,例如被三個錫原子取代,如以下文獻中所述:卡迪諾(Cardineau)等人的已公開之美國專利申請案2019/0053001,標題為「有機錫群簇、有機錫群簇之溶液以及對高解析度圖案化之應用(Organotin Clusters, Solutions of organotin Clusters, and Application to High Resolution Patterning)」;以及卡迪諾等人的已公開之美國專利申請案2019/0308998,標題為「具有強EUV吸收之錫十二聚體及輻射可圖案化塗層(Tin Dodecamers and Radiation Patternable Coatings With Strong EUV Absorption)」,該二個專利申請案以引用方式併入本文中。
感光性有機錫塗層之形成可藉由此項技術中具有通常知識者已知之各種手段(例如旋塗)來達成。對於上述錫系光阻之前驅物的溶液沉積,以錫的量計,錫濃度一般可介於約1 mM至約1 M之範圍內,於另一些實施態樣中為約2 mM至約750 mM,且於其他實施態樣中為約5 mM至約500 mM。於一些實施態樣中,感光性有機錫塗層可藉由例如原子層沉積(atomic layer deposition ;ALD)技術或化學氣相沉積(chemical vapor deposition;CVD)技術等氣相沉積技術形成,如分別於以下文獻中所述:邁耶斯等人的美國專利第10,228,618 B2號,標題為「有機錫氧化物氫氧化物圖案化組合物、前驅物及圖案化(Organotin Oxide Hydroxide Patterning Compositions, Precursors, and Patterning)」;及史密斯(Smith)等人的美國專利第9,996,004 B2號,標題為「含氣相沉積金屬氧化物之硬光罩的EUV光圖案化(EUV Photopatterning of Vapor-Deposited Metal Oxide-Containing Hardmasks)」,且該二個專利以引用方式併入本文中。
塗層的厚度一般可為前驅物溶液濃度、黏度及旋轉速度之函數。對於其他塗佈製程(例如氣相沉積),厚度一般亦可藉由選擇塗佈參數來調節。於一些實施態樣中,使用薄塗層以利於形成小且高度解析的特徵可能是理想的。於一些實施態樣中,塗層材料於顯影前的平均乾厚度係不超過約1微米,於另一些實施態樣中不超過約250奈米(nm),於另外的實施態樣中為約1奈米(nm)至約50奈米,於其他實施態樣中為約1奈米至約40奈米,且於一些實施態樣中為約1奈米至約25奈米。在認識到顯影可移除相對少量之曝光材料的條件下,曝光區的顯影後塗層厚度的範圍一般落入與上述相同之範圍內。此項技術中具有通常知識者將認識到,處於上述明確範圍內的其他溶液濃度及厚度範圍係可被預期到且包含於本發明內。基於膜之光學性質,可使用x射線反射率及/或橢偏儀之非接觸方法來評估厚度。
於沉積及形成有機錫塗層之後,一般使用邊緣珠粒移除(edge bead removal;EBR)沖洗步驟。EBR處理通常發生於光阻沉積之後的任何熱處理或烘烤之前,且涉及用溶劑沖洗晶圓或基板之週邊邊緣以移除選定區中的光阻。EBR及背面沖洗涉及將邊緣珠粒沖洗溶液施加至晶圓之邊緣及背部,如沃勒(Waller)等人的美國專利第10,627,719號中所述,該專利之標題為「自含金屬的光阻減少邊緣珠粒區中之金屬殘留的方法(Methods of Reducing Metal Residue in Edge Bead Region from Metal-Containing Resists)」且該專利以引用方式併入本文中。
通常於輻射曝光之前進行軟式烘烤或施加後烘烤(post-apply bake;PAB),以將前驅物組合物中之可水解的鍵水解,及/或進一步驅除溶劑,並促進塗層材料之緻密化。於一些實施態樣中,PAB可於約25℃至約250℃,於另外的實施態樣中,約50℃至約200℃,且於另一些實施態樣中,約80℃至約150℃之溫度下進行。曝光後加熱一般可進行至少約0.1分鐘,於另一些實施態樣中,約0.5分鐘至約30分鐘,且於另外的實施態樣中,約0.75分鐘至約10分鐘。此項技術中具有通常知識者將認識到,處於上述明確範圍內的其他PEB溫度及時間範圍係可被預期到且包含於本發明內。塗佈材料一般包含基於將氧基-氫氧基配位基與金屬結合的聚合金屬氧基-氫氧基網狀物,其中該等金屬亦具有一些烷基配位基;或者由具有烷基配位基之多核金屬氧基-氫氧基物質所構成之分子固體。
一般而言,有機錫光阻塗層可使用輻射來圖案化。合適的輻射源包括極紫外(EUV)、紫外(ultraviolet;UV)、或電子束(electron beam;EB)輻射。為製作半導體裝置,EUV輻射一般係較佳的,因為其解析度高於紫外輻射,且其生產量高於基於電子束的處理。輻射一般可經由光罩被引導至基板材料,或者輻射束可被可控地掃描過基板,以於光阻塗層內形成潛像。
根據以引用方式併入本文的國際標準ISO 21348(2007),紫外光於大於或等於100奈米且小於400奈米之波長之間延伸。氟化氪雷射可用作248奈米之紫外光源。根據公認的標準,紫外線範圍可以多種方式細分,例如大於或等於10奈米至小於121奈米之極紫外線(EUV)、及大於或等於122奈米至小於200奈米之遠紫外線(far ultraviolet;FUV)。來自氟化氬雷射之193奈米線可用作FUV中的輻射源。EUV光已經用於13.5奈米之微影,且此光係由使用高能雷射或放電脈衝激發的Xe或Sn電漿源產生。EUV光子之商業來源包括荷蘭阿斯瑪控股公司(ASML Holding N.V. Netherlands)製作的掃描儀。軟x射線可定義為大於或等於0.1奈米至小於10奈米。光經由光罩引導,以於具有曝光區及未曝光區之輻射敏感塗層中形成潛像。
電磁輻射量可藉由將輻射通量對曝光時間積分而獲得的注量(fluence)或劑量來表徵。於一些實施態樣中,合適的輻射注量可為約1毫焦/平方公分至約200毫焦/平方公分,於另一些實施態樣中為約2毫焦/平方公分至約150毫焦/平方公分,且於另一些實施態樣中為約3毫焦/平方公分至約100毫焦/平方公分。於一實施態樣中,EUV輻射可以小於或等於約150毫焦/平方公分之劑量進行,或者於30千伏特下用劑量等於或不超過約2毫庫倫/平方公分之電子束進行。此項技術中具有通常知識者將認識到,處於上述明確範圍內之其他輻射注量範圍係可被設想到且包含於本發明內。
在利用電子束微影之情況下,電子束一般會誘發二次電子,該等二次電子一般會改變被照射的材料。解析度至少一部分可為材料中之二次電子程距的函數,其中一般認為更高的解析度係由更短程的二次電子產生。基於藉由使用本文所述之有機金屬塗層材料的電子微影可達成的高解析度,有機金屬材料中之二次電子的程距係受到限制。電子束可由電子束之能量來表徵,且合適的能量可介於約5電子伏特至約200千電子伏特,且於另一些實施態樣中約7.5電子伏特至約100千電子伏特的範圍內。於30千電子伏特下之鄰近校正射束劑量可介於約0.1微庫侖/平方公分(µC/cm2
)至約5毫庫侖/平方公分(mC/平方公分),於另一些實施態樣中約0.5微庫倫/平方公分至約1毫庫倫/平方公分,且於其他實施態樣中約1微庫倫/平方公分至約100微庫倫/平方公分的範圍內。此項技術中具有通常知識者可基於本文之教導內容來計算於其他射束能量下的對應劑量,且將認識到,處於上述明確範圍內之其他電子束性質範圍係可被預期到且包含本發明內。
於曝光於輻射及形成潛像之後,通常進行隨後的曝光後烘烤(PEB)。於一些實施態樣中,PEB可於約45℃至約250℃之溫度下進行,於另外的實施態樣中約50℃至約190℃,且於另一些實施態樣中約60℃至約175℃之溫度下進行。曝光後加熱一般可進行至少約0.1分鐘,於另一些實施態樣中約0.5分鐘至約30分鐘,且於另外的實施態樣中約0.75分鐘至約10分鐘。此項技術中具有通常知識者將認識到,處於上述明確範圍內之PEB溫度及時間的額外範圍被預期到,且處於本發明內。PEB可被設計成進一步加固曝光區,而不將未曝光區分解成金屬氧化物。
由於其組合物包含金屬氧化物及有機配位基二者,已經顯示在有機錫氧化物氫氧化物系統中可達成正型圖案化及負型圖案化二者。例如,當有機溶劑用作顯影劑時,則會達成負型圖案化,其中未曝光材料被溶解掉,而曝光材料保留下來。相反,當使用酸或鹼的水溶液(例如包含氫氧化四烷基銨)作為顯影劑時,則可達成正型圖案化,其中曝光材料被溶解掉,而未曝光材料保留下來。本文所述之改進的顯影劑係針對負型影像形成。
對於負型成像,顯影劑可包含有機溶劑,例如用於形成前驅物溶液的溶劑。為形成改進的顯影劑,可向基礎有機溶劑中添加添加劑。添加劑可為其他有機溶劑,且闡述顯影劑組分之性質以使得可識別及區分不同之組分。已經發現,有效的添加劑包括具有特定漢森溶解度參數(HSP)值的組合物,漢森溶解度參數係被開發以用於表徵溶劑性質。漢森溶解度參數包括δD(分散)、δP(極性)、δH(氫鍵結)、及δT(總量,其中(δT)2
= (δD)2
+ (δP)2
+ (δH2
))。關於漢森溶解度參數之符號,文獻係可互換地使用「δ」或「d」任一者,且臨時優先權申請案係使用「d」約定。漢森溶解度參數的值首次係於查爾斯M.漢森(Charles M. Hansen)1967年的博士論文中提出,且此後在技術文獻中被廣泛地論述。一般而言,組合物之漢森溶解度參數係根據經驗確定,且本文提出的值係來自克諾維溶劑(Knovel Solvent)-性質資料庫((2008,2012)作者/編輯:威畢奇,喬治(Wypych, George),出版商:化學技術(ChemTec)出版版權日期2008;2012年;2019ISBNN/艾萊克托尼克(AElectronic)ISBN978-1-59124-533-9),該文獻以引用方式併入本文中。
在不欲受理論限制之下,據信相對於2-庚酮溶劑等,具有更高δH及/或δP參數的組合物能夠更佳地溶解部分水解及/或縮合的材料,該等材料被懷疑包含某些圖案化缺陷(例如特徵之間的微橋及/或浮渣)。如下所述,基礎溶劑選擇可圍繞2-庚酮來推廣,且添加劑可藉由相對大的δH與δP之和值來更有效地識別。具有組合物摻合物之顯影劑的增強效果亦可歸因於至少部分之顯影劑或沖洗組合物與有機錫材料的化學相互作用,即錯合及/或配位。一般而言,預期δH及δP參數高於2-庚酮的顯影劑組合物可達成改善的結果,即更低的缺陷密度。溶劑摻合物的選擇使得可控制顯影劑的強度,以於合理的劑量下產生期望的特徵。可選擇基礎溶劑以有效地溶解未曝光圖案化組合物。添加劑溶劑可增加顯影劑強度,以幫助溶解可能存在於沿圖案邊緣或圖案中之隨機位置處的部分曝光或部分縮合的圖案化組合物,使得可獲得更尖銳的邊緣(即邊緣粗糙度更低的邊緣)。
一般而言,適宜的顯影劑溶劑組合物的選擇可受以下影響:針對照射或未照射之塗層材料的溶解度參數、以及顯影劑揮發性、可燃性、毒性、黏度、及與其他製程材料的潛在化學相互作用。特別地,用於顯影劑之合適的基礎溶劑包括例如,芳族化合物(例如,苯、二甲苯、甲苯)、酯(例如,丙二醇單甲醚乙酸酯、乙酸乙酯、乳酸乙酯、乙酸正丁酯、丁內酯)、酮(例如,甲乙酮、丙酮、2-丁酮、環己酮、2-庚酮、2-辛酮)、醚(例如,四氫呋喃、二噁烷、苯甲醚)、4-甲基-2-戊醇(及其他弱極性醇)、其摻合物等。一般而言,基礎溶劑之漢森溶解度參數δH + δP之和係不超過約16.0(焦耳/立方公分)1/2
。此外,合適的溶劑一般於以上指出的化學屬中指出,要注意的是,該等群組之所有成員可具有所指出的溶解性質,尤其是在其包括複數個官能基時。於部分上下文中,溶劑摻合物可方便地用化學物種及其官能基來表徵,而不用參考溶解度參數。
對於具有溶劑摻合物的實施態樣,顯影劑一般包含至少約55體積%之基礎溶劑,於另一些實施態樣中約60體積%至約99.75體積%,於另外的實施態樣中約65體積%至約99.5體積%,於另外的實施態樣中約70體積%至約99.25體積%,且於其他實施態樣中形成約75體積%至約99體積%的基礎溶劑,其可涉及具有選定溶解度參數的一或多種溶劑化合物。此項技術中具有通常知識者將認識到,處於上述明確範圍內之其他基礎溶劑濃度範圍係可被預期到且包含於本發明內。基於摻合前的溶劑體積計算體積百分比值。需要時,可根據密度將體積百分比值轉換為重量百分比值。
如本文所述,一或多種高極性的額外溶劑(被稱為極性溶劑)可添加至溶劑摻合物中以形成改進的顯影劑。於一些實施態樣中,顯影劑可具有0.25體積%至約45體積%的極性溶劑,於另一些實施態樣中為約0.4體積%至約30體積%,於另外的實施態樣中為約0.5體積%至約25體積%,且於其他實施態樣中形成約0.75體積%至約22體積%的極性溶劑。於一些實施態樣中,極性溶劑可具有至少約16.0(焦耳/立方公分)1/2
的漢森溶解度參數δH + δP之和。合適的極性溶劑包括例如水、丙酮、極性單羥基醇(例如甲醇、乙醇、丙醇、異丁醇、戊醇、及其混合物)、多羥基化合物(例如乙二醇、丙二醇、甘油)、吡咯啶酮(例如2-吡咯啶酮、1-乙基-2-吡咯啶酮、N-甲基-2-吡咯啶酮)、二醇醚(例如乙二醇單甲醚)、羧酸(例如甲酸、乙酸草酸、2-乙基己酸)、二醇(例如1,2-己二醇、1,2-丙二醇、1,3-丙二醇)、及其混合物。此項技術中具有通常知識者將認識到,處於上述明確範圍內之其他極性溶劑濃度範圍係可被預期到且包含於本發明內。
水可存在於初始溶劑中,且於評估水含量時應慮及此種固有的水。為方便區分具有潛在顯著貢獻的顯影劑與水,顯影劑可被分類為具有至少0.1重量%(1000 ppm)或小於0.1重量%(1000 ppm)的水,為了方便起見,本文可分別被稱為具有水或無水或有效地無水。對於水,重量百分比可根據密度轉換成體積百分比,以使得可計算與本文概括論述一致的水的體積百分比。除非另有說明,否則本文的ppm係表示以質量計的百萬分率。於一些實施態樣中,水於基礎溶劑中係以少量單獨用作添加劑。因此,於該等實施態樣中,顯影劑具有至少約2000 ppm的水,於另一些實施態樣中約2500 ppm至約10重量%,於一些實施態樣中約3500 ppm至約5重量%,且於其他實施態樣中約4000 ppm至約3重量%的水。此項技術中具有通常知識者將認識到,處於上述明確範圍內之其他組成範圍係可被預期到且包含於於本發明內。
除主顯影劑溶劑組合物之外,顯影劑可包含額外的組合物以利於顯影製程。合適的添加劑包括例如,具有陽離子及陰離子之溶解鹽,該等陽離子係選自由銨、d-嵌段金屬陽離子(鉿、鋯、鑭等)、f-嵌段金屬陽離子(鈰、鑥等)、p-嵌段金屬陽離子(鋁、錫等)、鹼金屬(鋰、鈉、鉀等)、及其組合組成的群組,該等陰離子係選自由氟化物、氯化物、溴化物、碘化物、硝酸根、硫酸根、磷酸根、矽酸根、硼酸根、過氧化物、丁氧化物、甲酸根、乙二胺-四乙酸(ethylenediamine-tetraacetic acid;EDTA)、鎢酸根、鉬酸根等、及其組合組成的群組。可添加界面活性劑來降低表面張力,以利於施加顯影劑。合適的界面活性劑可包括例如離子界面活性劑(例如烷基醚硫酸鹽、苯甲基磺酸鹽、及磷酸酯等)及非離子界面活性劑(例如乙氧基化及烷氧基化脂肪酸、乙氧基化胺、乙氧基化醇、烷基、及壬基苯酚乙氧基化物等)。其他合適之視需要的添加劑包括例如,相轉移劑(例如四烷基銨鹽、聚乙二醇、及冠醚)。若存在視需要的添加劑,則顯影劑可包含不超過約5重量%的添加劑,於另一些實施態樣中不超過約2.5重量%的添加劑,且於另外的實施態樣中不超過約1重量%的添加劑。此項技術中具有通常知識者將認識到,處於上述明確範圍內之其他添加劑濃度範圍係可被預期到且包含於本發明內。可選擇添加劑來提高對比度、靈敏度、及線寬粗糙度。顯影劑中之添加劑亦可抑制金屬氧化物顆粒的形成及沉澱。
可使用任何合理的方法將顯影劑施加至圖案化塗層材料。例如,可將顯影劑噴塗至圖案化塗層材料上,或者可將結構浸漬或以其他方式浸沒於顯影劑中。亦可使用旋塗。對於自動處理,可使用覆液方法(puddle method),該覆液方法涉及將顯影劑以固定的形式傾倒至塗層材料上。需要時,可使用旋轉沖洗及/或乾燥來完成顯影製程。影像顯影後,塗層材料係作為圖案設置於基板上。
顯影可使用任何合理的製程方法進行,例如噴塗、覆液式浸漬等。對於商業生產,顯影一般適用於所提供之製程設備。顯影可進行約2秒至約30分鐘,於另一些實施態樣中為約3秒至約15分鐘,於其他實施態樣中為約4秒至約10分鐘,且於另外的實施態樣中為約5秒至約5分鐘。此項技術中具有通常知識者將認識到,處於上述明確範圍內之其他範圍係可被預期到且包含於本發明內。
基於塗層材料之設計,於具有縮合塗層材料的照射區與具有實質上完整的感光性配位基(例如有機及/或羧酸配位基)之塗層材料的未照射區之間,可存在材料性質的很大對比。材料性質之此種高對比會進一步利於在如實例中所述之顯影之後在圖案中形成具有平滑邊緣之高解析度線。
於包括任何視需要之沖洗的顯影步驟完成後,可對塗層材料進行熱處理,以進一步縮合材料,並進一步脫水、緻密化、或自材料中移除殘留顯影劑。此種熱處理對於其中氧化物塗層材料被併入最終裝置中的實施態樣而言可為特別理想的,儘管對於如下一些實施態樣而言執行熱處理可能是理想的:其中塗層材料被用作光阻,且若期望塗層材料穩定時則最終被移除,以利於進一步圖案化。特別地,圖案化塗層材料之烘烤可於使得圖案化塗層材料表現出期望水準的蝕刻選擇性的條件下進行。於一些實施態樣中,圖案化塗層材料可被加熱至約100℃至約600℃,於另一些實施態樣中為約175℃至約500℃,且於另外的實施態樣中為約200℃至約400℃的溫度。加熱可進行至少約1分鐘,於其他實施態樣中約2分鐘至約1小時,於另一些實施態樣中約2.5分鐘至約25分鐘。加熱可於空氣、真空或惰性氣體環境(例如Ar或N2
)中進行。此項技術中具有通常知識者將認識到,處於上述明確範圍內之其他熱處理的溫度及時間範圍係可被預期到且包含於本發明內。同樣,非熱處理(non-thermal treatment)(包括毯式紫外曝光或曝光於例如O2
等氧化電漿)亦可用於類似目的。
於一些實施態樣中,相鄰結構之鄰近線性區段可具有不超過約60奈米(30奈米半節距)的平均節距(半節距),於一些實施態樣中不超過約50奈米(25奈米半節距),且於另一些實施態樣中不超過約34奈米(17奈米半節距)。節距可藉由設計進行評估,並藉由掃描式電子顯微鏡(SEM)進行確認,例如利用自上而下的影像(top-down image)進行確認。如本文所使用的節距是指空間週期,或者重複結構元件的中心至中心的距離,且如此項技術中常用的半節距是指節距的一半。圖案之特徵尺寸亦可針對特徵之平均寬度來闡述,該平均寬度一般於遠離隅角等進行評估。此外,特徵可指材料元件之間的間隙及/或至材料元件的間隙。於一些實施態樣中,平均寬度可不大於約25奈米,於另一些實施態樣中不大於約20奈米,且於另外的實施態樣中不大於約15奈米。此項技術中具有通常知識者將認識到,處於上述明確範圍內之其他節距及平均寬度的範圍係可被預期到且包含於本發明內。基於該等製程,圖案化可適於形成各種裝置(例如電子積體電路),一般藉由重複的圖案化製程來形成適當的層狀結構(例如電晶體或其他組件)。
晶圓生產量係在高體積半導體製造中實施EUV微影的實質限制因素,且與對給定特徵進行圖案化所需之劑量直接相關。然而,儘管存在有減少成像劑量之化學策略,但對於特徵尺寸及節距< 50奈米的EUV光阻而言,通常觀察到印刷目標特徵所需之成像劑量與特徵尺寸均勻性(例如LWR)之間的負相關性,從而限制了最終裝置的可操作性及晶圓產率。光阻靈敏度可根據凝膠化所需之劑量(dose-to-gel)值來表達,且成像劑量需求量可藉由形成曝光墊陣列來評估,其中曝光時間在墊之間步進以改變曝光劑量。然後可對膜進行顯影,且可例如使用橢偏光譜法對所有墊評估剩餘光阻之厚度。所量測的厚度可被標準化為最大量測的光阻厚度,且相對於曝光劑量之對數來繪圖,以形成特徵曲線。標準化厚度與對數劑量曲線的最大斜率被定義為光阻對比度(γ
),且經由某點所繪製之切線等於1時的劑量值被定義為光阻凝膠化所需之劑量(Dg
)。以此種方式,用於光阻表徵之常用參數可大致遵循麥克C.(Mack, C.)《光學微影基本原理(Fundamental Principles of Optical Lithography
)》,英國奇切斯特約翰威利父子公司(John Wiley & Sons, Chichester, U.K);第271-272頁,2007年。
於一些實施態樣中,平均線寬粗糙度可為不大於約5.5奈米,於一些實施態樣中不大於約5奈米,且於另一些實施態樣中不大於約4.5奈米。如實施例所示,線寬粗糙度可被評估為臨界尺寸之函數。藉由分析自上而下的SEM影像來評估線寬粗糙度,從而得出相對於平均線寬之3σ偏差。平均值包含高頻及低頻粗糙度二者,即分別為短相關長度及長相關長度。有機光阻之線寬粗糙度主要係藉由長相關長度來表徵,而本發明有機金屬塗層材料表現出顯著更短的相關長度。於圖案轉移製程中,短相關粗糙度可於蝕刻製程期間平滑化,從而產生高得多的保真度圖案。此項技術中具有通常知識者將認識到,處於上述明確範圍內之其他線寬粗糙度的範圍係可被預期到且包含於本發明內。基於該等製程,圖案化可適於形成各種裝置(例如電子積體電路),一般藉由重複的圖案化製程來形成適當的層狀結構(例如電晶體或其他組件)。
於一些實施態樣中,於顯影製程之後立即執行額外的沖洗製程可為有益的。沖洗製程一般可包括使經顯影的材料與含溶劑或溶劑及添加劑的額外組合物接觸。如實例所示,僅使用溶劑之沖洗步驟傾向導致更大量的缺陷。使用上述具有添加劑之改進的顯影組合物的沖洗步驟可使得缺陷減少及/或處理結果的均勻性更大。於一些實施態樣中,沖洗組合物可為與以上針對顯影劑所述相同的其中一個組合物。例如,沖洗組合物可包含選自酮、酯、醚、或其混合物的溶劑,且添加劑可包括羧酸、單羥基醇、多元醇(例如二醇)、吡咯啶酮、內酯、或其混合物。具體而言,例如,沖洗組合物可包含2-庚酮與1,2-己二醇的混合物。一般而言,除了顯影步驟導致的顯著塗層移除以外,沖洗製程可類似於顯影製程,而沖洗步驟一般不涉及材料的大量移除。沖洗製程可進行約1秒至約20分鐘,於另一些實施態樣中約2秒至約12分鐘,且於另外的實施態樣中約4秒至約6分鐘。此項技術中具有通常知識者將認識到,處於上述明確範圍內之其他範圍係可被預期到且包含於本發明內。
本申請人於柯奇士(Kocsis)等人之序列號為16/654,080的美國專利申請案中闡述一種替代沖洗方法,該專利申請案之標題為「圖案化有機金屬光阻及圖案化方法(Patterned Organometallic Photoresists and Methods of Patterning)」,且該專利申請案以引用方式併入本文中。'080申請案中之沖洗係基於水性沖洗劑,其傾向在一定程度上溶解圖案之曝光部分,儘管沖洗製程被控制以限制圖案之曝光部分的溶解。此種沖洗會有效地移除缺陷,且其亦會改變圖案尺寸。此種處理可被視為本發明改進的顯影處理之替代形式。於實施例中闡述的沖洗中,單獨使用基礎溶劑進行之沖洗步驟一般會導致缺陷增加。使用改進的顯影劑溶液進行之沖洗會使得缺陷出現一些額外的減少。
本發明處理方法係設計來減少或消除缺陷,例如微橋接缺陷以及更大的缺陷。為了資料比較的一致性,於評估微橋缺陷時不考慮橋接缺陷、顆粒缺陷及大群簇缺陷。橋接不同於微橋接,乃因橋接可由在y方向上的關鍵畫素計數來確定,即若其超過尺寸閾值,則被視為橋,並被排除。於大多數情況下,但非所有情況下,人工查看該等影像指出了不同的機制,例如落下型顆粒(fall-on type particle)。相對於微橋計數,該等缺陷對總缺陷計數的貢獻相對小(對於如對照晶圓般的高缺陷樣品係<10%)。如以下實施例所述,於評估微缺陷時使用自動化過程。基本上,拍攝一系列臨界尺寸掃描式電子顯微照片。使用分析軟體分析臨界尺寸SEM(CD-SEM)影像,以對微橋之數目進行計數。微橋的實例係示於第1圖中之SEM顯微照片中。
為幫助評估顯影,對晶圓進行圖案化以評估作為EUV劑量之函數的圖案形成。首先,成像被認為是照射區及非照射區之階梯函數。對於非常精細之特徵(例如線及空間)的圖案化,以及推動顯影以達成低特徵粗糙度,評估對於特定劑量而言的實際特徵寬度及節距以確定Esize,Esize可被定義為與光罩併用而產生出目標特徵寬度的劑量,該光罩被設計為使用選定之顯影劑達成特徵寬度。對於特定照射劑量,更強的顯影劑可沿著邊緣蝕刻更多,而更弱的顯影劑相應地沿著邊緣蝕刻更少,使得顯影線寬度取決於顯影劑。晶圓在各種EUV劑量下曝光,以產生標稱地相同特徵的場網格,但每一晶圓係用不同的EUV劑量照射,以產生相應不同的特徵尺寸。達成目標線寬度時的劑量被稱為Esize,其相應地具有劑量單位(毫焦/平方公分)。Esize的值呈現於實施例中,以評估顯影劑於特定製程條件下之效能。
一般而言,降低EUV劑量以提高通過EUV掃描組件的生產量是理想的,乃因照射時間可取決於劑量。然而,圖案化劑量與特徵粗糙度及/或最終解析度之間的權衡係眾所習知的,使得圖案之品質一般隨著劑量增加而提高。如以下實施例中所見,相較於傳統酮顯影劑而言,本文所述之顯影劑、製程條件及相關方法能夠達成更大的製程窗,即具有足夠低的LWR及/或低缺陷率的劑量範圍。此外,本發明容許選擇特定製程條件及顯影劑組合物來降低LWR及/或圖案化缺陷率,而不會伴隨劑量的增加。圖案化組合物本身被設計成具有更低的劑量需求。因此,改進的圖案化組合物及方法的設計(例如本文所用之組合物及方法)使得可以合理劑量值達成優異的圖案品質。
於圖案化之後,圖案化材料可用於進一步處理,例如將材料沉積至圖案化材料中的間隙中,及/或蝕刻以移除圖案化材料中間隙之間的基板材料。然後,在用合適的蝕刻劑組合物(例如稀鹼或BCl3
電漿)進一步處理之後,可移除圖案化光阻材料。經常重複處理以形成圖案化層之堆疊,從而形成功能組件。
於下面的實施例中,呈現證實本發明組合物之改進效能的數據。將邁耶斯(Meyers)等人的美國專利第10,228,618B2號中闡述的代表性有機錫光阻組合物用於研究本發明組合物的功效,該專利以引用方式併入本文中。本發明之效能顯示相較於標準酮溶劑顯影劑組合物而言得以改善。新發現的顯影劑溶液能夠以等效尺寸形成具有改善之圖案化缺陷密度(特別是微橋接缺陷)的圖案及結構。實施例
< 實施例1. 用各種顯影劑組合物進行圖案化 >
此實施例呈現藉由將有機錫氧化物氫氧化物光阻曝光於EUV輻射並於具有不同漢森溶解度參數之有機溶劑中顯影而獲得的凝膠化所需之劑量值。
由如下前驅物塗層溶液沉積三級丁基錫氧化物氫氧化物光阻膜:該前驅物塗層溶液係藉由將單獨製備之t
BuSn(NEt2
)3
及Sn(NMe2
)4
溶液於乾燥的4-甲基-2-戊醇中組合來製備,以獲得包含0.04 Mt
BuSn(NEt2
)3
及0.01 M Sn(NMe2
)4
之混合物的最終溶液,如上文引用的'618專利之實施例4中所述。將用於EUV對比曲線的薄膜沉積於具有天然氧化物表面的矽晶圓(直徑為100毫米)上。於沉積之前,將矽晶圓用六甲基二矽氮烷(hexamethyldisilazane;HMDS)蒸氣灌注(prime)處理。將前驅物塗層溶液於空氣中以1000轉/分鐘的速度旋塗於矽基板上,並於空氣中於熱板上於100℃下烘烤2分鐘,以消除殘留溶劑及揮發性水解產物。塗佈及烘烤後的膜厚度係藉由橢偏儀量測為約25奈米。
使用勞倫斯柏克萊國家實驗室微曝光工具(Lawrence Berkeley National Laboratory Micro Exposure Tool),用EUV光於每一晶圓上曝光50個直徑為約500微米的圓形墊的線性陣列。調整墊曝光時間,使得用於各個墊的遞送之EUV劑量係從0.63步進至27.39毫焦/平方公分,其中步進幅度為指數8%。曝光後,於空氣中於160℃下,於熱板上將晶圓曝光後烘烤(PEB)2分鐘。然後將曝光膜於表1所列之其中一個顯影劑溶劑中浸漬30秒以形成負型影像,即移除塗層之未曝光部分。自顯影劑溶劑中將膜移除,且用氮氣槍吹乾。顯影後,於空氣中於150℃下進行最後5分鐘的熱板烘烤。使用J. A.伍勒姆M-2000光譜橢偏儀(J. A. Woollam M-2000 spectroscopic ellipsometer)量測曝光墊之剩餘厚度。然後,將每一墊之量測厚度標準化為最大量測光阻厚度,並相對於曝光劑量之對數繪圖,以形成每一顯影劑溶劑之特徵曲線。標準化厚度與對數劑量關係曲線之最大斜率被定義為光阻對比(γ),且出現於劑量值D1
處。光阻凝膠化所需之劑量(Dg
)被定義為經由D1
繪製之具有斜率γ之切線等於1時的劑量。以此種方式,用於光阻表徵之常用參數可大致遵循麥克C. (Mack, C.)《光學微影基本原理(Fundamental Principles of Optical Lithography
)》,英國奇切斯特約翰威利父子公司(John Wiley & Sons, Chichester, U.K);第271-272頁,2007年。
Dg
之一種實用的理解方式為,相對於未曝光材料達成最大顯影速率變化所需之劑量。將相同的有機錫氧化物氫氧化物光阻膜於表1之各種溶劑中顯影所得的Dg
值相對於各溶劑之總極性項繪製於第2圖中,該總極性項被定義為漢森δP與δH參數之和。漢森溶解度參數取自威畢奇G. (Wypych, G.)克諾微溶劑資料庫(Knovel Solvent database),化學技術(ChemTec)出版,2008,2012。觀察到Dg
與總極性項之間存在明顯的正相關性,因此發現更高極性的顯影劑溶劑一般為更強的顯影劑,且對於固定的光阻及製程具有更高的Dg
。
表1
< 實施例2:製備有機錫塗佈的光阻及評估圖案化效能 >
顯影劑溶劑 | δD ( MPa1/2 ) | δP ( MPa1/2 ) | δH ( MPa1/2 ) | Dg ( mJ /cm2 ) |
1-乙基-2-吡咯啶酮 | 18 | 12 | 7 | 8.1 |
2-丁酮 | 16 | 9 | 5.1 | 5.4 |
2-庚酮 | 16.2 | 5.7 | 4.1 | 4.1 |
二異丁基酮 | 16 | 3.7 | 4.1 | 1.5 |
2-丁醇 | 15.8 | 5.7 | 14.5 | 7.4 |
2-庚醇 | 15.7 | 5.4 | 11.7 | 4.6 |
4-甲基-2-戊醇 | 15.4 | 3.3 | 12.3 | 5.1 |
乙酸乙酯 | 15.8 | 5.3 | 7.2 | 4.6 |
乙酸環己酯 | 16.9 | 2.8 | 5.6 | 4.8 |
草酸二丁酯 | 16.2 | 4.4 | 6.6 | 7.7 |
月桂酸甲酯 | 16.1 | 3.6 | 4.2 | 1.5 |
PGMEA | 15.6 | 5.6 | 9.8 | 3.1 |
於此實施例中,顯影劑組合物係在有機錫氧化物氫氧化物光阻膜之上下文中闡述,該光阻膜包含藉由原位水解含t
BuSnX3
及MeSnX3
化合物的前驅物溶液而製備之t
BuSnO(3/2-(x/2))
(OH)x
與MeSnO(3/2-(x/2))
(OH)x
的混合物,且於以下實施例中大致闡述該等膜之評估以提供結果內容。
使用與蔣(Jiang)等人的已公開之美國專利申請案2019/0391486中所述之方法類似的方法製備光阻前驅物塗層溶液。於惰性氣氛下製備包含20莫耳%的MeSn(Ot
Amyl)3
與80莫耳%的t
BuSn(Ot
Amyl)3
(其中Ot
Amyl = 2-甲基丁-2-氧化物)的混合物,隨後將其溶解於4-甲基-2-戊醇中,其中H2
O濃度已預先調節至約300 ppm。前驅物塗層溶液之最終錫濃度為0.05 M。
第3圖示出針對晶圓圖案化分析缺陷的流程圖。使用泰爾清潔軌道黎塞洛斯普羅茲(TEL CLEAN TRACK LITHIUS Pro Z)塗佈機/顯影劑將上述前驅物溶液用於塗佈有機錫氧化物氫氧化物光阻膜。將矽晶圓(直徑為300毫米)塗佈予10奈米旋塗玻璃(spin-on-glass;SOG)底層(ISX328,JSR),並於光阻塗佈之前於空氣中於220℃下烘烤1分鐘。使用PGME/PGMEA混合物進行之溶劑預潤濕步驟以用於提高光阻塗層的均勻性。然後於空氣中以1394轉/分鐘的速度將光阻前驅物塗層溶液旋塗於底層塗佈的基板上。於邊緣珠粒沖洗(edge-bead rinse;EBR)及背面沖洗之後,將塗佈的晶圓於熱板上於空氣中於100℃下施加後烘烤(PAB)1分鐘。邊緣珠粒沖洗大致闡述於沃勒(Waller)等人的已公開之美國專利申請案2018/0046086中,該申請案之標題為「自含金屬的光阻減少邊緣珠粒區中之金屬殘留的方法(Methods of Reducing Metal Residue in Edge Bead Region From Metal-Containing Resists)」,且該專利申請案以引用方式併入本文中。
繼PAB之後,使用ASML NXE:3300B掃描儀在0.33的數值孔徑下與偶極90x照射,將光阻塗佈的基板曝光於極紫外輻射。將等垂直16奈米線及空間(16p32)的圖案以固定焦點投射於塗佈的晶圓上,且晶圓於每次曝光後步進,從而以不同的曝光劑量於晶圓中心週圍產生大致環形的場陣列。然後將曝光的光阻膜及基板返回至塗佈機/顯影劑軌道,並於空氣中於特定溫度下將其進行熱板PEB 1分鐘。於PEB之後,然後使用具有選定顯影劑組合物之設定的覆液式顯影配方(set puddle development recipe)將曝光膜顯影15秒,然後用沖洗溶液再動態沖洗10秒以形成負型影像,即,塗層的未曝光部分被移除。顯影後,於空氣中於150℃下進行最終1分鐘的熱板烘烤。
針對沿著掃描劑量範圍之每一場,使用日立(Hitachi)CG5000 CD-SEM量測平均線寬(臨界尺寸,CD)及3σ線寬粗糙度(LWR)。根據該等資料,使用CD-劑量曲線的擬合來計算尺寸化所需之劑量(Esize
,即印刷等於二分之一節距之線CD所需的劑量)及跨越針對微橋缺陷分析而選擇之目標CD的4個場。
藉由自每一晶圓上之4個選定線-空間場中每一者內的相同獨特位置收集150個CD-SEM影像來執行微橋缺陷分析。於電視掃描中,以約164,000X的放大倍數、0.823微米 × 0.823微米的視場(Field of View;FOV)、使用800伏特的加速電壓及8皮安培(pA)的束電流收集影像。每一影像平均16個訊框。使用斯道克麗思(Stochalis)影像分析軟體(於比利時大學校際微電子中心(Interuniversity Microelectronics Centre, Belgium)開發並可自該中心獲得)來分析每組150個影像(於「空間」模式下操作,參數設置如下: SumLines = 4,Smoothing = 7;CD_Threshold = 61;DThres _ nok _ Space = 90;且Lnok_min_Space = 4),且對於每一場偵測到的微橋缺陷的總數係列於表格中。EUV影像分析進一步闡述於以下文獻中:P. De畢肖普及E.亨德里克(P. De Bisschop and E. Hendrickx)的「EUV微影中之隨機效應(Stochastic effects in EUV lithography)」,國際光學工程學會學報(Proc. SPIE)10583,極紫外(EUV)微影IX,105831K(2018年3月19日);https://doi.org/10.1117/12.2300541,其以引用方式併入本文中。藉由將指數函數擬合至由每一晶圓的4個資料點所構建之缺陷密度與臨界尺寸的關係曲線,於量測範圍所跨越的目標CD(在各個實施例中指定為16奈米或18奈米)處的微橋缺陷密度被估計並報告為每150個影像的缺陷或「Def/Die 16p32」。每150個影像的總採樣面積為約101.6平方微米。對於含有甲酸添加劑的顯影劑,結果呈現於第5圖至第6圖及第13圖中;對於含有EHA添加劑的顯影劑,結果呈現於第8圖至第9圖中;對於含有乙酸添加劑的顯影劑,結果呈現於第15圖中;對於含有二醇添加劑的顯影劑,結果呈現於第16圖中;對於含有水作為添加劑的顯影劑,結果呈現於第17圖中;及對於醇顯影劑組合物,結果呈現於第21圖中。第13圖顯示對於含有甲酸添加劑的顯影劑於18p32圖案上的缺陷密度。第15圖顯示對於含有乙酸添加劑的顯影劑於18p32圖案上的缺陷密度。第18圖顯示沖洗條件對缺陷密度之影響。所揭露之添加劑及處理條件顯示一般會降低圖案化有機錫氧化物氫氧化物光阻之缺陷密度。
< 實施例3. 2-庚酮與甲酸顯影劑組合物 >
此實施例證明使用2-庚酮與甲酸的混合物的顯影劑溶液而獲得之改善的結果,其中微橋缺陷顯著減少。
使用傳統2-庚酮顯影劑組合物(HF0
)作為對照顯影劑及沖洗溶劑。
藉由以2體積%的甲酸(D1
)或10體積%的甲酸(D2
)之濃度將98%(重量/重量)純甲酸與2-庚酮混合來製備一組顯影劑溶液。配製前,所有玻璃器皿係用異丙醇沖洗三次,並於100℃下烘烤24小時。製備係於通風櫥中進行。將已知體積的2-庚酮(EUVR顯影劑,美國TOK公司(TOK America),100 ppm至300 ppm H2
O)分配至玻璃瓶中。然後,藉由量筒或自動吸量管將達成目標體積%之甲酸(來自EMD密理博公司(EMD Millipore))所需的體積添加至瓶中,且將混合物加蓋並置於搖台上以充分混合。
根據實施例2對晶圓進行塗佈、曝光、顯影、沖洗及分析。沖洗溶劑為2-庚酮。於140℃、160℃及180℃之曝光後烘烤溫度下對所有樣品進行測試。另外於120℃之曝光後烘烤溫度下對樣品(D1
)及(D2
)進行測試。然後用(HD0
)、(D1
)或(D2
)對樣品進行顯影。顯影後,於空氣中於150℃下進行最終60秒熱板烘烤。
若假設甲酸原料含有2重量%的水,則10體積%的甲酸顯影劑溶液含有約3000 ppm的水,且2體積%的甲酸顯影劑溶液含有約600 ppm的水。於實施例9中探討2-庚酮溶液中之水的分離效果,但該等顯影劑樣品中之水效果顯著小於甲酸效果。
顯影劑組合物(D2
)之1
H NMR分析係於製備時及一週後進行,並與實施例2之2-庚酮對照顯影劑(HF0
)進行比較。參見第4圖。NMR結果顯示顯影劑組合物於1週期間內為穩定的。
第5圖提供作為曝光後烘烤溫度之函數的微橋密度。對於(D1
)及(D2
),於所有測試的PEB溫度下,觀察到相較於2-庚酮對照顯影劑(HF0
)而言,微橋密度降低。
第6圖提供作為等效劑量密度之函數的微橋密度。儘管於設定的PEB下發現劑量增加,但藉由改變製程PEB溫度,於尺寸化所需之等效劑量(Esize)下,缺陷率可降低。
第7圖提供作為尺寸化所需之等效劑量之函數的以奈米為單位的線寬粗糙度(LWR)。儘管於設定的PEB下發現劑量增加,但藉由改變製程PEB溫度,於等效劑量密度(毫焦/平方公分)下,LWR可降低。
來自第5圖至第7圖之資料顯示,相對於2-庚酮對照顯影劑組合物,於2-庚酮中含有2%至10%(體積)甲酸添加劑的顯影劑組合物可降低於32奈米節距上具有16奈米線之有機錫光阻圖案中的微橋密度。於等效劑量密度下,微橋密度的降低為約一個數量級,且於相當大的劑量損失下,為約二個數量級。於給定的PEB溫度或劑量密度下,顯示出增加添加劑濃度會降低微橋接密度。於給定的劑量密度下,顯示出增加添加劑濃度一般會降低線寬粗糙度。
< 實施例4. 2-庚酮與2-乙基己酸(EHA)顯影劑組合物 >
此實施例證明使用2-庚酮與乙基己酸之混合物的顯影劑溶液而獲得改善的結果,其中微橋缺陷顯著減少。
使用傳統2-庚酮顯影劑組合物(HF0
)作為對照顯影劑及沖洗溶劑。
藉由將純乙基己酸(EHA)以2體積%的EHA(D3
)或10體積%的EHA(D4
)的濃度與2-庚酮混合來製備一組顯影劑溶液。配製前,所有玻璃器皿係用異丙醇沖洗三次,並於100℃下烘烤24小時。製備係於通風櫥中進行。將已知體積的2-庚酮(EUVR顯影劑,美國TOK公司,100 ppm至300 ppm H2
O)分配至玻璃瓶中。然後,藉由量筒或自動吸量管將達成目標體積%之EHA(阿法埃莎公司(Alfa Aesar))所需的體積添加至瓶中,且將混合物加蓋並置於搖台上以充分混合。
根據實施例2對晶圓進行塗佈、曝光、顯影、沖洗及分析。沖洗溶劑為2-庚酮。於140℃、160℃及180℃之曝光後烘烤溫度下對所有樣品進行測試。然後用(HD0
)、(D3
)或(D4
)對樣品進行顯影。顯影後,於空氣中於150℃下進行最終60秒熱板烘烤。
第8圖提供作為曝光後烘烤溫度之函數的目標CD為16奈米的微橋密度。對於(D3
)及(D4
),於幾個測試PEB溫度下,觀察到相較於2-庚酮對照顯影劑(HF0
),微橋密度降低。
第9圖提供對於對照顯影劑(HF0
)及(D3
)及(D4
),作為等效劑量密度之函數的微橋密度。儘管於設定的PEB下發現劑量增加,但藉由改變製程PEB溫度,於等效劑量密度下,缺陷率可降低。
來自第8圖至第9圖的資料顯示,相對於2-庚酮對照顯影劑組合物,於2-庚酮中含有2%至10%(體積)EHA添加劑的顯影劑組合物可降低於32奈米節距上具有16奈米線之有機錫氧化物氫氧化物光阻圖案中的微橋密度。於160℃及180℃之曝光後烘烤溫度下,對於含有EHA的顯影劑,觀察到微橋密度明顯降低。於第7圖中,看到於180℃之PEB溫度下,在使用顯影劑(D4
)之情況下,微橋密度約為在使用對照顯影劑(HF0
)之情況下觀察到的微橋密度的三分之一。此外,於120℃之曝光後烘烤溫度下,對於具有更高EHA濃度(10體積%的EHA)的顯影劑(D4
),觀察到微橋密度降低。第8圖顯示,於給定劑量密度下,將添加劑濃度自2體積%增加至10體積%會使微橋接密度降低。添加劑濃度的增加大致與缺陷密度的降低相關,然而,結果顯示,相較於對照顯影劑而言,該等顯影劑溶液之效能受PEB溫度及劑量密度影響。於140℃或約42毫焦/平方公分下,2體積%的EHA並沒有比對照顯影劑表現得更佳。EHA添加劑之正面效果於較低的劑量密度下尤其明顯。例如,相較於對照顯影劑(HF0
)而言,於30毫焦/平方公分之劑量密度下,2體積%的EHA使缺陷減少約1.7倍。
< 實施例5. 有機溶劑與羧酸顯影劑組合物 >
此實施例闡述製備由2-庚酮或PGMEA與作為添加劑之甲酸或乙酸所構成的顯影劑溶液。
配製前,所有玻璃器皿係用異丙醇沖洗三次,並於100℃下烘烤24小時。製備係於通風櫥中進行。將已知體積的溶劑,即2-庚酮(EUVR顯影劑,美國TOA公司,100 ppm至300 ppm H2
O)或者丙二醇甲醚乙酸酯(PGMEA,西格瑪奧德里奇公司(Sigma Aldrich),99.5%)分配至玻璃瓶中。然後藉由量筒或自動吸量管將達成目標體積%之乙酸(J.T.貝克(Baker),99.5%至100.5%)或甲酸(98%至100%,EMD)所需的體積添加至瓶中,且將混合物加蓋並置於搖台上以充分混合。相對於所添加的酸及所添加的溶劑之總體積,改變所添加的添加劑之體積百分比,以製備表2所列的溶液。
表2
實施例6及實施例7呈現出表2之顯影劑組合物的效能資料。
< 實施例6. 來自實施例5之含甲酸的顯影劑的微橋接分析 >
顯影劑溶液 | 溶劑 | 溶劑之體積% | 添加劑 | 添加劑之體積% |
HF0 | 2-庚酮 | 100 | 無 | 0 |
HF1 | 2-庚酮 | 95 | 甲酸 | 5 |
HF2 | 2-庚酮 | 90 | 甲酸 | 10 |
HF3 | 2-庚酮 | 85 | 甲酸 | 15 |
HF4 | 2-庚酮 | 80 | 甲酸 | 20 |
PF0 | PGMEA | 100 | 無 | 0 |
PF1 | PGMEA | 95 | 甲酸 | 5 |
PF2 | PGMEA | 90 | 甲酸 | 10 |
PF3 | PGMEA | 85 | 甲酸 | 15 |
PF4 | PGMEA | 80 | 甲酸 | 20 |
PA1 | PGMEA | 99 | 乙酸 | 1 |
PA2 | PGMEA | 98 | 乙酸 | 2 |
PA3 | PGMEA | 95 | 乙酸 | 5 |
PA4 | PGMEA | 92.5 | 乙酸 | 7.5 |
PA5 | PGMEA | 90 | 乙酸 | 10 |
此實施例證明使用甲酸作為顯影劑添加劑而獲得之改進的圖案化效能。使用如實施例5製備的2-庚酮或PGMEA與甲酸的混合物之顯影劑溶液對有機錫氧化物氫氧化物光阻圖案進行顯影。如實施例2所述,分析16p32影像的尺寸化所需之劑量及LWR,且基於18奈米的CD評估四個場之圖案的缺陷計數。相較於對照顯影劑而言,對於具有甲酸添加劑的顯影劑,一般會觀察到效能改善,隨著線CD的增加而觀察到效能顯著改善。
如實施例2所述製備及處理有機錫氧化物氫氧化物光阻前驅物溶液及光阻膜,以於32奈米節距上形成線-空間圖案。於顯影步驟中使用來自實施例5的顯影劑溶液HF0
至HF4
及PF0
至PF4
,且所有晶圓係用其對應的顯影劑溶液沖洗10秒。於180℃下進行PEB的晶圓上,對所有八種顯影劑溶液進行測試,而於140℃及160℃下進行PEB的晶圓上,僅對顯影劑溶液(HF0
)、(PF0
)、(HF2
)及(PF2
)進行測試。
對於第10圖及第11圖中之每一晶圓,呈現自平均線CD最接近16奈米的場所得之32奈米節距的圖案的代表性SEM。於等效PEB溫度下,發現具有甲酸添加劑的顯影劑溶液會導致場劑量增加,其約等於Esize
,且導致LWR相對於對照溶劑減少,此與顯影劑溶液中之甲酸體積百分比的增加相關。此種趨勢於視覺上亦為顯而易見的,乃因於含有較高濃度甲酸添加劑的溶液中顯影的圖案即使在由單個影像採樣的小區域中亦表現出減少的浮渣及微橋發生率。如第11圖所示,於180°C之PEB下,由純PGMEA(PF0
)所構成的顯影劑溶液無法始終如一地解析線-空間圖案,且大量顆粒、橋及浮渣缺陷為顯而易見的。然而,當甲酸添加劑存在於相同溶劑中時,於所測試的所有添加劑水準下,影像保真度皆會顯著提高。
於第12A圖至第12C圖中,對於140℃、160℃及180℃之PEB溫度,第10圖中所示之所有12個晶圓之具有可量測線CD之所有場的LWR值係分別為CD的函數而繪製。對於每一PEB溫度,於低CD(以及伴隨之低劑量)下,除了對照顯影劑(PF0
)之外,所有顯影劑溶液皆顯現出對LWR具有前述之類似的影響。相對於用對照顯影劑(PF0
)及(HF0
)進行的顯影,對於(PF2
)及(HF2
),一般會觀察到於固定CD下適度的LWR降低。然而,當線CD接近16奈米時,觀察到強烈的發散。於(PF0
)及(HF0
)中顯影之圖案的LWR開始快速增加,而於(PF2
)及(HF2
)中顯影之圖案的LWR則繼續緩慢降低,直至最終隨著CD超過約18奈米而開始增加。於某種程度上,微影製程窗受LWR及邊緣放置誤差限制,(由於許多先進節點應用可能存在)於此「LWR起飛」之前可印刷的較大線尺寸表示,當用本文揭露之含甲酸的顯影劑溶液顯影時,光阻之有效製程窗延伸。
將實施例2中闡述的微橋缺陷分析方法類似地應用於上述18個晶圓。選擇18奈米的目標CD,且自每一晶圓上CD接近目標的4個場中之每一者收集及分析150個SEM影像。於影像分析、缺陷計數及曲線擬合之後,於每一製程條件下針對18p32圖案,得到每150個影像的缺陷計數的估計值。此數字之對數(以10為底)係相對於Esize
(於16p32下)地繪製於第13圖中。用於每一晶圓的顯影劑溶液係示於資料點標籤中。對於180℃之PEB條件,相對於對照顯影劑(PF0
,HF0
),即使於所測試之最低體積%的甲酸(PF1
,HF1
)下,亦會清楚地觀察到微橋缺陷數目之強烈減少(約50倍)。隨著甲酸的體積%自(HF1
)及(PF1
)增加至(HF4
)及(PF4
),缺陷的數目持續減少。於所測試的所有PEB中,發現(HF2
)及(PF2
)的缺陷率相對於(HF0
)及(PF0
)提供了大致類似(約500倍)的降低。值得注意的是,儘管於固定PEB下之缺陷率的顯著降低係發生於增加的劑量(Esize
),但於大致相等的劑量條件下(即,HF0
、160℃及HF1
、180℃或HF0
、140℃及HF2
、180℃)的資料點及趨勢線的比較顯示,顯影劑添加劑可與其他製程條件一起使用,以於標稱等效劑量下實質上降低缺陷率。
< 實施例7. 由實施例5之含乙酸的顯影劑進行微橋接分析 >
此實施例證明用乙酸作為顯影劑添加劑而獲得之改進的圖案化效能。使用PGMEA與乙酸的混合物之顯影劑溶液對有機錫氧化物氫氧化物光阻圖案進行顯影。使用高解析度成像證明微橋缺陷的顯著減少。
如實施例2所述製備及處理有機錫氧化物氫氧化物光阻前驅物溶液及光阻膜,以於32奈米的節距上形成線-空間圖案。如實施例5所述,藉由混合乙酸及PGMEA製備顯影劑溶液PA1
至PA5
。用其對應的顯影劑溶液沖洗所有晶圓。於用170℃、180℃及190℃之PEB處理的晶圓上,對五種顯影劑溶液中之每一者進行測試,並與(PF0
)進行比較。
對於每一晶圓,第14圖中呈現自平均線CD最接近16奈米的場所得之32奈米的節距圖案的代表性SEM。於等效PEB溫度下,發現具有乙酸添加劑的顯影劑溶液會導致場劑量增加,其約等於Esize
,且導致LWR相對於對照溶劑減少,此一般與顯影劑溶液中乙酸的體積百分比增加相關。
將實施例2中闡述之微橋缺陷分析方法應用於使用18奈米目標CD得到之線-空間圖案。每一晶圓的18p32場之每150個影像的擬合缺陷計數的對數係相對於Esize
(於16p32下)地繪製於第15圖中。用於每一晶圓之顯影劑溶液係示於資料點標籤中。對於所有PEB條件,相對於對照顯影劑(PF0
),即使於1體積%乙酸之PGMEA溶液(PA1
)中,仍再次觀察到微橋缺陷數目強烈減少(約50倍)。正如在甲酸情況下所看到的,隨著乙酸的體積%增加至10%(PA5
),缺陷的數目持續減少。同樣,於大致相等的劑量條件下(即PF0
,170℃及PA1
,190℃)的資料點及趨勢線的比較顯示,乙酸添加劑可與其他製程條件一起使用,以於沒有劑量損失的情況下顯著降低缺陷率。
< 實施例8. 2-庚酮與二醇顯影劑組合物 >
此實施例證明用二醇作為顯影劑添加劑而獲得之改進的圖案化效能。使用2-庚酮與1,6-己二醇、1,2-己二醇、或1,2-丙二醇的混合物之顯影劑溶液對有機錫氧化物氫氧化物光阻圖案進行顯影。使用高解析度成像證明微橋缺陷顯著減少。
於配製顯影劑溶液之前,所有玻璃器皿係用異丙醇沖洗三次,並於100℃下烘烤24小時。製備係於通風櫥中進行。藉由向含有約400毫升2-庚酮(TOK)之500毫升容量瓶中添加10克1,6-己二醇(銻希愛公司(TCI)),而製備2質量%的1,6-己二醇溶液(HD1
)。旋轉燒瓶直至完全溶解,然後用額外的2-庚酮稀釋至總體積為500毫升。
將已知體積的2-庚酮(EUVR顯影劑,美國TOK公司,100 ppm至300 ppm H2
O)分配至玻璃瓶中。然後藉由量筒或自動吸量管將達成目標體積%之二醇添加劑所需的體積添加至瓶中,且將每種溶液加蓋並置於搖台上以充分混合。
藉由將1,2-己二醇(TCI,96%)以2體積%混合於2-庚酮中而製備顯影劑溶液(HD2
)。
藉由於500毫升基馬克斯(Kimax)瓶中混合450毫升2-庚酮(TOK)及50毫升1,2-丙二醇(西格瑪奧德里奇公司),而製備10體積%的1,2-丙二醇溶液(HD3
)。一旦完全混合,將該溶液分配至二個240毫升的琥珀色玻璃瓶中。
根據實施例2對晶圓進行塗佈、曝光、顯影、沖洗及分析。
(HD1
)樣品係於120℃、140℃、160℃及180℃之曝光後烘烤溫度下樣品進行測試,然後用所製備的顯影劑組合物顯影及沖洗。
(HD2
)樣品係於120℃、160℃及180℃之曝光後烘烤溫度下樣品進行測試,然後用所製備的顯影劑組合物顯影及沖洗。
(HD3
)樣品係於120℃、140℃、160℃及180℃之曝光後烘烤溫度下樣品進行測試,然後用所製備的顯影劑組合物顯影及沖洗。
亦製備了於160℃之曝光後烘烤溫度下處理並於(HF0
)中顯影及沖洗的對照水晶圓(control water wafer)。
將實施例2中闡述的微橋缺陷分析方法應用於使用16奈米目標CD得到的線-空間圖案。每一晶圓上16p32場之每150個影像的擬合缺陷計數係相對於Esize
(於16p32下)地繪製於第16圖中。每一晶圓的PEB溫度係由資料點標籤所示。對於在160℃之PEB下處理的晶圓,對於含有二醇添加劑的顯影劑溶液,觀察到缺陷率明顯降低,其中在顯影劑溶液(HD3
)(2體積%1,2-丙二醇之2-庚酮溶液)的情況下,相對於(HD0
)表現出最大的降低(約3倍)。此種趨勢於所測試的所有PEB溫度中持續,發現(HD3
)顯影的晶圓於所有情況下皆具有相較於(HD1
)及(HD2
)中顯影的晶圓更低的缺陷率。
< 實施例9. 2-庚酮與水顯影劑組合物 >
此實施例證明用水作為顯影劑添加劑而獲得之改進的圖案化效能。使用2-庚酮與水的摻合物之顯影劑溶液對有機錫氧化物氫氧化物光阻圖案進行顯影。使用高解析度成像證明微橋缺陷顯著減少。
藉由將18MΩ去離子(DI)H2
O混合於2-庚酮中(測定為具有150 ppm之微量H2
O濃度)而製備由2-庚酮與H2
O之混合物組成的顯影劑溶液,以達成表3所示最終濃度。最終濃度用卡爾費歇爾滴定法(Karl Fischer titration)進行驗證。
表3
顯影劑溶液 | 溶劑 | 添加劑 | 添加劑濃度( ppm ) |
HW1 | 2-庚酮 | H2 O | 150 |
HW2 | 2-庚酮 | H2 O | 1000 |
HW3 | 2-庚酮 | H2 O | 3000 |
HW4 | 2-庚酮 | H2 O | 8000 |
如實施例2所述製備及處理有機錫氧化物氫氧化物光阻前驅物溶液及光阻膜,以於32奈米節距上形成線-空間圖案。於顯影步驟中使用顯影劑溶液HW1
至HW4
。用其對應的顯影液沖洗所有晶圓。於用140℃、160℃及180℃之PEB處理的晶圓上對四種顯影劑溶液之每一者進行測試。每一晶圓上16p32場之每150個影像的擬合缺陷計數係相對於Esize
(於16p32下)地繪製於第17圖中。用於每一晶圓的PEB溫度係由資料點標籤所示。於所測試的所有PEB下,觀察到缺陷率隨著H2
O濃度的增加而降低的趨勢,其中用溶液(HW4
)(8000 ppm)顯影的晶圓表現出微橋密度降低2倍至3倍,且相對於用僅含微量(150 ppm)H2
O之溶液(HW1
)顯影的晶圓,典型的劑量偏移為 < 2毫焦/平方公分。
< 實施例10. 不同沖洗條件下之2-庚酮及1,2-己二醇顯影劑 >
此實施例證明使用二醇-添加劑溶液沖洗而獲得之改進的圖案化效能。用具有2體積%1,2-己二醇之2-庚酮的顯影劑溶液對有機錫氧化物氫氧化物光阻圖案進行顯影,並於各種沖洗條件下沖洗。使用高解析度成像而顯示出相較於用不含二醇添加劑之顯影劑溶液進行的沖洗而言,用含二醇添加劑之顯影劑溶液進行的沖洗會進一步減少微橋缺陷。
於配製顯影劑溶液之前,所有玻璃器皿係用異丙醇沖洗三次,並於100℃下烘烤24小時。製備係於通風櫥中進行。將已知體積的2-庚酮(EUVR顯影劑,美國TOK公司,100 ppm至300 ppm H2
O)分配至玻璃瓶中。然後藉由量筒或自動吸量管將達成目標2體積%之1,2-己二醇添加劑所需的體積添加至瓶中,以製備顯影劑溶液(HD2
)。將溶液加蓋並置於搖台上以充分混合。
根據實施例2對晶圓進行塗佈、曝光、顯影、沖洗及分析。
於160℃之曝光後烘烤溫度下處理12個晶圓樣品,然後用顯影劑溶液(HD2
)顯影。顯影後,使用四種沖洗條件其中之一。
將實施例2中闡述的微橋缺陷分析方法應用於使用16奈米目標CD得到的線-空間圖案。於第18圖中,對於每一沖洗條件下之三個晶圓,繪製16p32場中之每150個影像的平均擬合缺陷計數。圖中的誤差條表示正或負一個標準偏差。沖洗條件(A)為不沖洗。沖洗條件(B)為用溶液(HF0
)沖洗10秒。沖洗條件(C)為用溶液(HD2
)沖洗10秒。沖洗條件(D)為用溶液(HF0
)沖洗10秒,然後用溶液(HD2
)沖洗10秒。
如第18圖所示,沖洗條件(B)導致最高的缺陷率及變異性。沖洗條件(D),其為使用(HF0
)然後使用(HD2
)的二步驟沖洗,其被發現相對於沖洗條件(B)減少了缺陷。相較於沖洗條件(B)而言,消除沖洗步驟的沖洗條件(A)會使得缺陷率之降低大於三倍,其中相對標準偏差為約14%。沖洗條件(C)導致每150個影像中之缺陷數目最低,且晶圓-晶圓變異性降低。
此實施例顯示,相較於不沖洗及用不含二醇添加劑之溶劑沖洗而言,用含二醇之溶液(HD2
)單次沖洗會減少微橋接缺陷。令人驚訝的是,用含二醇之溶液沖洗之單次沖洗相較於其中使用含二醇溶液(HD2
)作為第二步驟的二步驟沖洗而言,產生的缺陷顯著更少。
< 實施例11. 具有六角柱圖案之PGMEA與乙酸顯影劑組合物 >
此實施例證明用乙酸作為顯影劑添加劑而獲得之六角柱圖案化之改進的效能。使用PGMEA與乙酸的摻合物之顯影劑溶液對有機錫氧化物氫氧化物光阻六角柱圖案進行顯影,並與2-庚酮顯影劑溶液進行比較。使用高解析度成像證明微橋缺陷顯著減少。
除了於PAB之後,使用以下製程曝光及圖案化光阻塗佈的基板之外,如實施例2所述製備及處理有機錫氧化物氫氧化物光阻前驅物溶液及光阻膜。使用ASML·NXE:3300 B EUV掃描儀以0.3之3數值孔徑及六極照射將40奈米垂直節距及70奈米水平節距上之23奈米直徑柱的六角形陣列以固定焦點地投射於每一塗佈的晶圓上。於每次曝光後,將晶圓步進,從而以不同的曝光劑量於晶圓中心週圍產生近似環形的場陣列。
如實施例5所述,藉由混合乙酸及PGMEA製備顯影劑溶液(PA2
)及(PA3
)。用其對應的顯影劑溶液沖洗所有晶圓。於用170℃及190℃PEB處理的晶圓上,對顯影劑溶液進行測試,並與(HF0
)進行比較。第19圖顯示在以下條件下處理之晶圓的接近Esize
(例如,約23奈米CD)的代表性SEM影像:(a)170℃之曝光後烘烤溫度及(HF0
)顯影劑,(b)190℃之曝光後烘烤溫度及(PA2
)顯影劑,(C)190℃之曝光後烘烤溫度及(PA3
)顯影劑。第19圖亦呈現Esize
值及影像柱3σ LCDU(每一影像所量測的柱CD的標準偏差之3倍)。採用實施例2中闡述的微橋缺陷分析方法以使用影像分析軟體科洛納(KOLONA)(風格化的科洛納)偵測柱之間的微橋。針對每一條件,將印刷於70×40y六角形網格上之27奈米CD柱圖案的每150個影像的平均擬合缺陷計數列於第19圖中。發現用190℃PEB處理並於溶液(PA2
)及(PA3
)中顯影的晶圓相對於在170℃下處理並於溶液(HF0
)中顯影的晶圓,係表現出顯著更低的微橋缺陷率及影像LCDU。相較於(HF0
)而言,即使在更低的尺寸化所需之劑量條件下,使用含乙酸之顯影劑的圖案化效能亦得到改善。
< 實施例12. 一級醇與二級醇顯影劑組合物 >
此實施例證明使用一級醇及二級醇作為顯影劑溶液而獲得之改進的圖案化效能。用一級醇正丙醇及二級醇丙二醇甲醚對有機錫氧化物氫氧化物光阻圖案進行顯影,並與2-庚酮進行比較。使用高解析度成像證明微橋缺陷的顯著減少。
根據實施例2對晶圓進行塗佈、曝光、顯影、沖洗及分析。
如從製造商處接收之原樣地使用包含純溶劑(HF0
)(2-庚酮,美國TOK公司)、(PG0
)(丙二醇甲醚,Propylene glycol methyl ether;PGME,西格瑪奧德里奇公司,99.5%)、及(NP0
)(正丙醇,西格瑪奧德里奇公司,99.5%)的顯影劑溶液。於用160℃及180℃PEB處理的晶圓上對3種顯影劑溶液其中之每一者進行測試。所有晶圓用其對應的顯影劑溶液沖洗。將實施例2中闡述的微橋缺陷分析方法應用於藉由過度曝光投影之16p32影像而印刷的18奈米目標CD所得到的線-空間圖案。
對於每一晶圓,第20圖中呈現自平均線CD最接近16奈米之32奈米節距的圖案場中拍攝的代表性SEM影像。對每一影像顯示投影劑量(毫焦/平方公分)、線CD(奈米)及LWR(奈米)。相對於(HF0
)而言,對於(PG0
)及(NP0
),一般會觀察到劑量增加、LWR減小、以及於等效PEB下可見微橋缺陷方面的線品質改善。(NP0
)顯影劑溶液顯示出較大的劑量增加,而(PG0
)顯示出線寬粗糙度的更大改善。第21圖呈現於32奈米節距上之18奈米線的每場之缺陷數目計數,作為自同一光罩場印刷16p32圖案所需劑量的函數的對數線性圖。於160℃及180℃下,對於(PG0
)及(NP0
),觀察到微橋數目皆相對於(HF0
)減少。於大致相等的劑量條件下(例如,180℃,NP0
對160℃,HF0
),發現(NP0
)使微橋密度降低 > 5倍。該等結果顯示,相較於2-庚酮而言,用一級醇及二級醇會改善圖案化效能,使用一級醇作為顯影劑會使微橋接缺陷顯著減少。
上述實施態樣旨在為說明性而非限制性。另外的實施態樣係包含於申請專利範圍內。此外,儘管已經參考特定實施態樣闡述本發明,但熟習此項技術者將認識到,於不背離本發明之精神及範圍的情況下,可作出形式及細節上的改變。上述以引用方式併入的任何文獻皆受限制成使得不會併入與本文之明確揭露內容相反的任何標的。就於本文中以組件、元件、成分或其他分割物闡述的特定結構、組成及/或製程而言,應理解,除非另外特別指出,否則本文的揭露內容涵蓋:該特定實施態樣;包含特定組件、元件、成分、其他分割物、或其組合的實施態樣;以及基本上由此等特定組件、成分或其他分割物、或其組合組且可包含不改變標的之基本性質之額外特徵的實施態樣,如於論述中所建議。除非另外明確指出,否則本文所使用之用語「約」係指特定參數之量測誤差。
無
包含於本申請案中之圖式係併入本說明書中,並形成本說明書之一部分。該等圖式示出本發明之實施態樣,並且與本說明一起用於解釋本發明之原理。圖式僅針對特定實施態樣進行說明,且不限制本發明。
第1圖為一組六個掃描式電子顯微(SEM)影像,該等影像具有藉由極紫外(extreme ultraviolet;EUV)微影而圖案化有16奈米間距(spacing)之32奈米節距(pitch)的線,左下影像、中下影像及右下影像顯示出微橋缺陷,且左上影像、中上影像、右上影像顯示出對應底部影像中之微橋缺陷的放大視圖。
第2圖為表1中之溶劑之凝膠化所需之劑量(dose to gel)(Dg
)對漢森溶解度參數δH與δP之和的關係圖,其中Dg
為當使用每種溶劑作為顯影劑用於經160℃之曝光後烘烤(post-exposure bake;PEB)溫度處理的有機錫氧化物氫氧化物光阻時,所量測的凝膠化所需之EUV劑量。
第3圖為根據本發明實施態樣之光微影製程的流程圖。
第4圖為一組以下三者之氫譜核磁共振(1
H nuclear paramagnetic resonance;1
H NMR)光譜:2-庚酮(頂部);於時間t = 0時, 10體積%甲酸之2-庚酮溶液(中間);以及於時間t = 1週時, 10體積%甲酸之2-庚酮溶液(底部)。結果顯示出甲酸溶液於1週期間內之穩定性。
第5圖為對於三種顯影劑組合物(2體積%甲酸之2-庚酮溶液、10體積%甲酸之2-庚酮溶液、或2-庚酮)而言,有機金屬光阻之微橋密度(Def/Die 16p32)作為曝光後烘烤溫度之函數的圖,該有機金屬光阻係藉由EUV微影來圖案化以形成32奈米節距的線。
第6圖為對於三種顯影劑組合物(2體積%甲酸之2-庚酮溶液、10體積%甲酸之2-庚酮溶液、及2-庚酮)而言,有機金屬光阻之微橋密度(Def/Die 16p32)作為等效劑量密度(16p32 Esize
)之函數的圖,該有機金屬光阻係藉由EUV微影來圖案化以形成32奈米節距的線。
第7圖為對於三種顯影劑組合物(2體積%甲酸之2-庚酮溶液、10體積%甲酸之2-庚酮溶液、及2-庚酮)而言,有機金屬光阻之以奈米為單位之線寬粗糙度(line width roughness ;LWR)作為尺寸化所需之等效劑量(equivalent dose to size)(16p32 Esize
)之函數的圖,該有機金屬光阻係藉由EUV微影來圖案化以形成32奈米節距的線。
第8圖為對於三種顯影劑組合物(2體積%甲酸之2-庚酮溶液、10體積%甲酸之2-庚酮溶液、及2-庚酮)而言,有機金屬光阻之於16奈米之目標CD下的微橋密度(Def/Die 16p32)作為曝光後烘烤溫度之函數的圖,該有機金屬光阻係藉由EUV微影來圖案化以形成32奈米節距的線。
第9圖為對於三種顯影劑組合物(2體積%乙基己酸(EHA)之2-庚酮溶液、10體積% EHA之2-庚酮溶液、及2-庚酮)而言,有機金屬光阻之微橋密度(Def/Die 16p32)作為等效劑量密度(16p32 Esize
)之函數的圖,該有機金屬光阻係藉由EUV微影來圖案化以形成32奈米節距的線。
第10圖為具有有機金屬光阻之矽基板的12個掃描式電子顯微(SEM)影像的陣列,該有機金屬光阻係藉由EUV微影來圖案化以形成32奈米節距的線,其中每一影像係來自平均線CD最接近16奈米之視場,頂列的四個SEM影像對應於140℃之曝光後烘烤(PEB)溫度,第二列的四個SEM影像對應於以160℃之PEB溫度進行處理,底列的四個SEM影像對應於以180℃之PEB溫度進行處理,其中每一列中的四個影像自左至右分別對應於用以下進行顯影:2-庚酮(HF0)、丙二醇甲醚乙酸酯(Propylene Glycol Methyl Ether Acetate;PGMEA)(PF0)、10體積%甲酸之2-庚酮溶液(HF2)、以及10體積%甲酸之PGMEA溶液(PF2)。
第11圖為具有有機金屬光阻之矽基板的10個掃描式電子顯微(SEM)影像的陣列,該有機金屬光阻係藉由EUV微影來圖案化以形成32奈米節距的線,其中每一影像係來自平均線CD最接近16奈米之視場,頂列的五個SEM影像自左至右分別對應於用以下進行顯影:2-庚酮(HF0)、5體積%甲酸之2-庚酮溶液(HF1)、10體積%甲酸之2-庚酮溶液(HF2)、15體積%甲酸之2-庚酮溶液(HF3)、20體積%甲酸之2-庚酮溶液(HF4);且底列的五個影像自左至右分別對應於用以下進行顯影:PGMEA(PF0)、5體積%甲酸之PGMEA溶液(PF1)、10體積%甲酸之PGMEA溶液(PF2)、15體積%甲酸之PGMEA溶液(PF3)、20體積%甲酸之PGMEA溶液(PF4)。
第12A圖為對於四種顯影劑組合物(PGMEA、2-庚酮、10體積%甲酸之PGMEA溶液、及10體積%甲酸之2-庚酮溶液)而言,於140℃之曝光後烘烤(溫度)下,以奈米為單位之線寬粗糙度(LWR)作為以奈米為單位之線CD之函數的圖。
第12B圖為對於四種顯影劑組合物(PGMEA、2-庚酮、10體積%甲酸之PGMEA溶液、及10體積%甲酸之2-庚酮溶液)而言,於160℃之曝光後烘烤(溫度)下,以奈米為單位之線寬粗糙度(LWR)作為以奈米為單位之線CD之函數的圖。
第12C圖為對於四種顯影劑組合物(PGMEA、2-庚酮、10體積%甲酸之PGMEA溶液、及10體積%甲酸之2-庚酮溶液)而言,於180℃之曝光後烘烤(溫度)下,以奈米為單位之線寬粗糙度(LWR)作為以奈米為單位之線CD之函數的圖。
第13圖為有機金屬光阻中對於18p32圖案而言每150個影像的估計缺陷計數(缺陷(18p32)/150個影像)作為尺寸化所需之等效劑量(16p32 Esize
)之函數的對數線性圖,該有機金屬光阻係藉由EUV微影且利用顯影劑組合物HF0-HF4
及PF0-PF4
於3個曝光後烘烤(PEB)溫度下圖案化。
第14圖為具有有機金屬光阻之矽基板的18個掃描式電子顯微(SEM)影像的陣列,該有機金屬光阻係藉由EUV微影來圖案化以形成32奈米節距的線,每一影像係來自平均線CD最接近16奈米之視場,頂列的六個SEM影像對應於170℃之曝光後烘烤(PEB)溫度,第二列的六個SEM影像對應於以180℃之PEB溫度進行處理,底列的六個SEM影像對應於以190℃之PEB溫度進行處理,其中每一列中的六個影像自左至右分別對應於用以下進行顯影:PGMEA(PF0)、1體積%乙酸之PGMEA溶液(PA1)、2體積%乙酸之PGMEA溶液(PA2)、5體積%乙酸之PGMEA溶液(PA3)、7.5體積%乙酸之PGMEA溶液(PA4)、10體積%乙酸之PGMEA溶液(PA5)。
第15圖為對於顯影劑組合物PF0
及PA1
至PA5
而言於3個曝光後烘烤(PEB)溫度下,有機金屬光阻中對於18p32圖案而言每150個影像的估計缺陷計數(缺陷(18p32)/150個影像)作為尺寸化所需之等效劑量(16p32 Esize
)之函數的對數線性圖,該有機金屬光阻係藉由EUV微影來圖案化以形成32奈米節距的線。
第16圖為有機金屬光阻中對於16p32圖案而言每150個影像的估計缺陷計數(缺陷(16p32)/150個影像)作為尺寸化所需之等效劑量(16p32 Esize
)之函數的圖,該有機金屬光阻係藉由EUV微影且利用顯影劑組合物PF0
及PA1
至PA5
於3個曝光後烘烤(PEB)溫度下圖案化。
第17圖為有機金屬光阻中對於16p32圖案而言每150個影像的估計缺陷計數(缺陷(16p32)/150個影像)作為尺寸化所需之等效劑量(16p32 Esize
)之函數的圖,該有機金屬光阻係藉由EUV微影且利用顯影劑組合物HW1
至HW4
於3個曝光後烘烤(PEB)溫度下圖案化。
第18圖為有機金屬光阻中對於16p32圖案而言每150個影像的估計缺陷計數(缺陷(16p32)/150個影像)作為沖洗條件之函數的圖,該有機金屬光阻係藉由EUV微影來圖案化,用2體積%1,2-己二醇之2-庚酮溶液進行顯影,並於以下各種沖洗條件下沖洗:(A)不沖洗,(B)用2-庚酮沖洗15秒,(C)用2體積% 1,2-己二醇之2-庚酮溶液沖洗15秒,或(D)用2-庚酮沖洗15秒然後用2體積% 1,2-己二醇之2-庚酮溶液沖洗15秒。
第19圖為顯示有機金屬光阻之代表性SEM影像及效能資料的圖,該有機金屬光阻係藉由EUV微影來圖案化以形成23奈米直徑柱的六角柱圖案,並且用以下進行處理:(左)170℃之曝光後烘烤溫度及2-庚酮顯影劑,(中間)190℃之曝光後烘烤溫度及2體積%乙酸之PGMEA溶液顯影劑,(右)190℃之曝光後烘烤溫度及5體積%乙酸之PGMEA溶液顯影劑。
第20圖為具有有機金屬光阻之矽基板的6個掃描式電子顯微(SEM)影像的陣列,該有機金屬光阻係藉由EUV微影來圖案化以形成32奈米節距的線,每一影像係來自平均線CD最接近16奈米之視場,頂列的三個SEM影像對應於160℃之曝光後烘烤(PEB)溫度,且底列的三個SEM影像對應以於180℃之PEB溫度進行處理,其中每一列中的三個影像自左至右分別對應於用以下進行顯影:2-庚酮(HF0
)、PGMEA(PF0
)、PGME(PG0
)、及正丙醇(NP0
)。
第21圖為對於顯影劑組合物2-庚酮(HF0
)、PGME(PG0
)及正丙醇(NP0
)而言,於160℃及180℃之曝光後烘烤(PEB)溫度下,有機金屬光阻中對於18p32圖案而言每150個影像的估計缺陷計數(缺陷(18p32)/150個影像)作為尺寸化所需之等效劑量(16p32 Esize
)之函數的對數線性圖,該有機金屬光阻係藉由EUV微影來圖案化以形成32奈米節距的線。
Claims (30)
- 一種對輻射曝光之有機金屬圖案化層進行顯影的方法,該有機金屬圖案化層包含有機金屬氧化物/氫氧化物網狀物,該方法包含使該輻射曝光之有機金屬圖案化層接觸含有溶劑摻合物的顯影劑組合物,該溶劑摻合物包含至少二種溶劑,其中至少55體積%的一或多種溶劑各自獨立具有不超過16(焦耳/立方公分)1/2的漢森溶解度參數(Hansen solubility parameter)δH+δP之和,且其中0.25體積%至45體積%的一或多種溶劑各自獨立具有至少16(焦耳/立方公分)1/2的漢森溶解度參數δH+δP之和。
- 如請求項1或2所述之方法,更包含藉由沉積包含一或多種不同化合物RSnX3之前驅物溶液來形成該有機金屬氧化物/氫氧化物網狀物,其中R為具有1至31個碳原子的烴基,且X為具有可水解之Sn-X鍵的配位基或其具有適當配位基重排的群簇。
- 如請求項1或2所述之方法,其中漢森溶解度參數δH+δP之和不超過16(焦耳/立方公分)1/2的該等溶劑包括芳族化合物、酯、酮、醚、或其混合物。
- 如請求項1或2所述之方法,其中漢森溶解度參數δH+δP之和為至少16(焦耳/立方公分)1/2的該等溶劑包括丙酮、單羥基醇、多羥基化合物、吡咯啶酮、二醇醚、羧酸、二醇、或其混合物。
- 如請求項1或2所述之方法,其中該溶劑摻合物包含2-丁醇、2-庚醇、丙二醇甲醚、甲醇、乙醇、丙醇、異丁醇、戊醇、乙二醇、丙二醇、甘油、 2-吡咯啶酮、1-乙基-2-吡咯啶酮、N-甲基-2-吡咯啶酮、乙二醇單甲醚、甲酸、乙酸、草酸、2-乙基己酸、1,2-己二醇、1,2-丙二醇、1,3-丙二醇、或其組合。
- 如請求項1或2所述之方法,其中該溶劑摻合物包含4-甲基-2-戊醇、乙酸環己酯、草酸二丁酯、苯、二甲苯、甲苯、丙二醇單甲醚乙酸酯、乙酸乙酯、乳酸乙酯、乙酸正丁酯、丁內酯、甲乙酮、丙酮、2-丁酮、環己酮、2-庚酮、2-辛酮、四氫呋喃、二噁烷、苯甲醚、或其組合。
- 如請求項1或2所述之方法,其中該溶劑摻合物包含2-庚酮及/或丙二醇甲醚乙酸酯(PGMEA)以及2體積%至20體積%的乙酸。
- 如請求項1或2所述之方法,其中該溶劑摻合物包含高達10體積%的水添加劑。
- 如請求項1或2所述之方法,更包含以下步驟來形成該輻射曝光之有機金屬圖案化層:用有機金屬輻射敏感有機金屬光阻材料塗佈基板,以在該基板之表面上形成輻射敏感有機金屬光阻層,及使用圖案化光罩將該輻射敏感有機金屬光阻層曝光於極紫外輻射或電子束,以形成包含曝光部分及未曝光部分的輻射曝光之有機金屬圖案化層。
- 如請求項10所述之方法,其中使該輻射敏感有機金屬光阻層曝光係包括劑量不超過200毫焦/平方公分的極紫外輻射或者使用於30千伏特下劑量不超過2毫庫倫/平方公分的電子束。
- 如請求項1或2所述之方法,其中該接觸步驟係使用覆液法、浸漬法、旋塗法、或噴塗法來執行,其中該顯影劑組合物被施加至該輻射曝光之有機金屬圖案化層之表面,且藉由旋轉及/或吹製乾燥2秒至30分鐘的選定時間。
- 如請求項1或2所述之方法,更包含用沖洗液來沖洗顯影圖案,其中該沖洗液包含該顯影劑組合物。
- 如請求項1或2所述之方法,更包含在使該輻射曝光之有機金屬圖案化層接觸該顯影劑組合物之前,於120℃至190℃的溫度(曝光後烘烤(PEB)溫度)下烘烤該輻射曝光之有機金屬圖案化層。
- 如請求項14所述之方法,其中對接觸的持續時間、該曝光後烘烤溫度、及該顯影劑組合物進行選擇,以改善顯影圖案化結構的特徵尺寸均勻性及/或降低顯影圖案化結構的缺陷率,其中該接觸的持續時間為2秒至30分鐘。
- 如請求項1或2所述之方法,其中該顯影圖案化層具有不超過5奈米的平均線寬粗糙度。
- 如請求項1或2所述之方法,其中該顯影劑組合物更包含一或多種性質改質劑添加劑,其中該顯影劑組合物包含不超過5重量%的該等添加劑。
- 如請求項1或2所述之方法,其中該輻射曝光之有機金屬圖案化層在與顯影劑組合物接觸之步驟前具有初始乾燥厚度,且在與顯影劑組合物接觸之步驟後具有最終乾燥厚度,其中該初始乾燥厚度為1奈米至50奈米。
- 如請求項1或2所述之方法,更包含:基於該顯影圖案化層沉積材料或者基於該顯影圖案化層蝕刻該基板之步驟;及移除該顯影圖案化層以形成經處理的基板。
- 一種對包含有機金屬氧化物/氫氧化物網狀物之輻射曝光之有機金屬圖案化層進行顯影的方法,該方法包含使該輻射曝光之有機金屬圖案化層接觸顯影劑組合物,該顯影劑組合物包含溶劑摻合物,該溶劑摻合物具有 0.25體積%至45體積%的水、醇、二醇醚、吡咯啶酮、內酯、羧酸、或其組合,以及至少55體積%的酮、醚、酯、或其組合,但不包括內酯及二醇醚。
- 如請求項20或21所述之方法,其中該接觸步驟係使用覆液法、浸漬法、旋塗法、或噴塗法來執行,其中該顯影劑組合物被施加至該輻射曝光之有機金屬圖案化層之表面,並藉由旋轉及/或吹製乾燥2秒至30分鐘的選定時間。
- 如請求項20或21所述之方法,其中該顯影劑組合物包含2-庚酮及/或丙二醇甲醚乙酸酯(PGMEA)以及2體積%至20體積%的乙酸。
- 如請求項20或21所述之方法,更包含用沖洗液沖洗顯影圖案,其中該沖洗液包含該顯影劑組合物。
- 如請求項20或21所述之方法,更包含在使該輻射曝光之有機金屬圖案化層接觸該顯影劑組合物之前,於120℃至190℃的溫度(曝光後烘烤(PEB)溫度)下烘烤該輻射曝光之有機金屬圖案化層。
- 如請求項25所述之方法,其中對接觸的持續時間、該曝光後烘烤溫度、及該顯影劑組合物進行選擇,以改善顯影圖案化結構的特徵尺寸均勻性及/或降低顯影圖案化結構的缺陷率,其中該接觸的持續時間為2秒至30分鐘。
- 如請求項20或21所述之方法,其中顯影圖案化層包括週期性線陣列,且具有不超過5奈米的平均線寬粗糙度。
- 如請求項20或21所述之方法,其中該顯影劑組合物更包含一或多種性質改質劑添加劑,其中該顯影劑組合物包含不超過5重量%的該等添加劑。
- 如請求項20或21所述之方法,其中該輻射曝光之有機金屬圖案化層在與顯影劑組合物接觸之步驟前具有初始乾燥厚度,且在與顯影劑組合物接觸之步驟後具有最終乾燥厚度,其中該初始乾燥厚度為1奈米至50奈米。
- 如請求項20或21所述之方法,更包含:基於顯影圖案化層沉積材料或者基於顯影圖案化層蝕刻該基板之步驟;及移除該顯影圖案化層以形成經處理的基板。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201962833343P | 2019-04-12 | 2019-04-12 | |
US62/833,343 | 2019-04-12 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202105087A TW202105087A (zh) | 2021-02-01 |
TWI811538B true TWI811538B (zh) | 2023-08-11 |
Family
ID=72747400
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW109112139A TWI811538B (zh) | 2019-04-12 | 2020-04-10 | 有機金屬光阻顯影劑組合物及處理方法 |
TW112127623A TW202344939A (zh) | 2019-04-12 | 2020-04-10 | 對經顯影圖案層進行處理的方法 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW112127623A TW202344939A (zh) | 2019-04-12 | 2020-04-10 | 對經顯影圖案層進行處理的方法 |
Country Status (6)
Country | Link |
---|---|
US (1) | US20200326627A1 (zh) |
EP (1) | EP3953767A4 (zh) |
JP (2) | JP2022526031A (zh) |
KR (2) | KR102699733B1 (zh) |
TW (2) | TWI811538B (zh) |
WO (1) | WO2020210660A1 (zh) |
Families Citing this family (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2017156388A1 (en) | 2016-03-11 | 2017-09-14 | Inpria Corporation | Pre-patterned lithography templates, processes based on radiation patterning using the templates and processes to form the templates |
US10109517B1 (en) | 2018-01-10 | 2018-10-23 | Lam Research Corporation | Rotational indexer with additional rotational axes |
KR20240104192A (ko) | 2018-11-14 | 2024-07-04 | 램 리써치 코포레이션 | 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들 |
TWI837391B (zh) | 2019-06-26 | 2024-04-01 | 美商蘭姆研究公司 | 利用鹵化物化學品的光阻顯影 |
JP7189375B2 (ja) | 2020-01-15 | 2022-12-13 | ラム リサーチ コーポレーション | フォトレジスト接着および線量低減のための下層 |
US11947262B2 (en) | 2020-03-02 | 2024-04-02 | Inpria Corporation | Process environment for inorganic resist patterning |
JP2023524969A (ja) | 2020-05-06 | 2023-06-14 | インプリア・コーポレイション | 中間凍結工程による有機金属光パターニング可能層を用いたマルチパターニング |
US11942322B2 (en) * | 2020-05-22 | 2024-03-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing semiconductor devices and pattern formation method |
US11621172B2 (en) | 2020-07-01 | 2023-04-04 | Applied Materials, Inc. | Vapor phase thermal etch solutions for metal oxo photoresists |
KR20230113400A (ko) * | 2020-12-08 | 2023-07-28 | 램 리써치 코포레이션 | 유기 증기를 사용한 포토레지스트 현상 |
KR102701790B1 (ko) * | 2020-12-15 | 2024-09-04 | 전남대학교산학협력단 | 무기산으로 안정화된 금속산화물 클러스터를 포함하는 포토레지스트용 조성물 |
JP7535933B2 (ja) | 2020-12-17 | 2024-08-19 | 東京エレクトロン株式会社 | 現像方法及び基板処理システム |
KR102598259B1 (ko) * | 2020-12-18 | 2023-11-02 | 삼성에스디아이 주식회사 | 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법 |
US12072626B2 (en) | 2021-02-19 | 2024-08-27 | Inpria Corporation | Organometallic radiation patternable coatings with low defectivity and corresponding methods |
US20220308453A1 (en) * | 2021-03-24 | 2022-09-29 | Applied Materials, Inc. | Oxidation treatment for positive tone photoresist films |
TW202307594A (zh) * | 2021-04-02 | 2023-02-16 | 美商蘭姆研究公司 | 來自含金屬光阻之金屬汙染物的控制 |
JP2024535334A (ja) * | 2021-09-24 | 2024-09-30 | インプリア・コーポレイション | 高解像度の潜像加工、コントラスト増強及び熱現像、加工のための装置 |
KR102522001B1 (ko) * | 2021-12-23 | 2023-04-20 | 전남대학교산학협력단 | 클러스터 화합물 또는 이의 염 및 이를 포함하는 포토레지스트 조성물 |
WO2024085016A1 (ja) * | 2022-10-20 | 2024-04-25 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
WO2024184980A1 (ja) * | 2023-03-03 | 2024-09-12 | 旭化成株式会社 | フレキソ印刷版の製造方法、及び印刷方法 |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2004512672A (ja) * | 2000-06-06 | 2004-04-22 | イーケーシー テクノロジー,インコーポレイティド | 電子材料製造法 |
TW201631377A (zh) * | 2014-10-23 | 2016-09-01 | 因普利亞公司 | 以有機金屬溶液為主之高解析度圖案化組合物及相對應之方法 |
TW201830472A (zh) * | 2016-12-15 | 2018-08-16 | 台灣積體電路製造股份有限公司 | 微影圖案化的方法 |
TW201831638A (zh) * | 2016-09-12 | 2018-09-01 | 富士軟片股份有限公司 | 組成物、膜的製造方法、近紅外線截止濾光片的製造方法、固體攝像元件的製造方法、圖像顯示裝置的製造方法及紅外線感測器的製造方法 |
JP2019500490A (ja) * | 2015-10-13 | 2019-01-10 | インプリア・コーポレイションInpria Corporation | 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成 |
Family Cites Families (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4078098A (en) * | 1974-05-28 | 1978-03-07 | International Business Machines Corporation | High energy radiation exposed positive resist mask process |
JPH1020488A (ja) * | 1996-07-04 | 1998-01-23 | Mitsubishi Materials Corp | Pb非含有金属酸化物薄膜パターンの形成方法 |
JP4799429B2 (ja) * | 2006-01-25 | 2011-10-26 | 旭化成イーマテリアルズ株式会社 | 感光性樹脂組成物 |
WO2009086184A1 (en) * | 2007-12-21 | 2009-07-09 | The Regents Of The University Of California | Organo-metallic hybrid materials for micro- and nanofabrication |
US8435728B2 (en) * | 2010-03-31 | 2013-05-07 | Tokyo Electron Limited | Method of slimming radiation-sensitive material lines in lithographic applications |
US9176377B2 (en) * | 2010-06-01 | 2015-11-03 | Inpria Corporation | Patterned inorganic layers, radiation based patterning compositions and corresponding methods |
JP6209307B2 (ja) * | 2011-09-30 | 2017-10-04 | 富士フイルム株式会社 | パターン形成方法、及びこれを用いた電子デバイスの製造方法 |
JP6239833B2 (ja) * | 2013-02-26 | 2017-11-29 | アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ | 微細レジストパターン形成用組成物およびそれを用いたパターン形成方法 |
US9372402B2 (en) * | 2013-09-13 | 2016-06-21 | The Research Foundation For The State University Of New York | Molecular organometallic resists for EUV |
JP6137046B2 (ja) * | 2014-05-09 | 2017-05-31 | 信越化学工業株式会社 | 単量体、高分子化合物、レジスト材料及びパターン形成方法 |
US11061332B2 (en) * | 2017-09-22 | 2021-07-13 | Tokyo Electron Limited | Methods for sensitizing photoresist using flood exposures |
-
2020
- 2020-04-10 KR KR1020217034862A patent/KR102699733B1/ko active IP Right Grant
- 2020-04-10 TW TW109112139A patent/TWI811538B/zh active
- 2020-04-10 US US16/845,511 patent/US20200326627A1/en active Pending
- 2020-04-10 KR KR1020247028119A patent/KR20240131472A/ko active Application Filing
- 2020-04-10 JP JP2021560076A patent/JP2022526031A/ja active Pending
- 2020-04-10 WO PCT/US2020/027713 patent/WO2020210660A1/en unknown
- 2020-04-10 EP EP20787220.1A patent/EP3953767A4/en active Pending
- 2020-04-10 TW TW112127623A patent/TW202344939A/zh unknown
-
2023
- 2023-07-21 JP JP2023119291A patent/JP2023139165A/ja active Pending
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2004512672A (ja) * | 2000-06-06 | 2004-04-22 | イーケーシー テクノロジー,インコーポレイティド | 電子材料製造法 |
TW201631377A (zh) * | 2014-10-23 | 2016-09-01 | 因普利亞公司 | 以有機金屬溶液為主之高解析度圖案化組合物及相對應之方法 |
JP2019500490A (ja) * | 2015-10-13 | 2019-01-10 | インプリア・コーポレイションInpria Corporation | 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成 |
TW201831638A (zh) * | 2016-09-12 | 2018-09-01 | 富士軟片股份有限公司 | 組成物、膜的製造方法、近紅外線截止濾光片的製造方法、固體攝像元件的製造方法、圖像顯示裝置的製造方法及紅外線感測器的製造方法 |
TW201830472A (zh) * | 2016-12-15 | 2018-08-16 | 台灣積體電路製造股份有限公司 | 微影圖案化的方法 |
Also Published As
Publication number | Publication date |
---|---|
KR20210134072A (ko) | 2021-11-08 |
WO2020210660A1 (en) | 2020-10-15 |
US20200326627A1 (en) | 2020-10-15 |
TW202105087A (zh) | 2021-02-01 |
EP3953767A4 (en) | 2023-06-07 |
EP3953767A1 (en) | 2022-02-16 |
JP2023139165A (ja) | 2023-10-03 |
TW202344939A (zh) | 2023-11-16 |
KR102699733B1 (ko) | 2024-08-27 |
JP2022526031A (ja) | 2022-05-20 |
KR20240131472A (ko) | 2024-08-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI811538B (zh) | 有機金屬光阻顯影劑組合物及處理方法 | |
KR102605148B1 (ko) | 패터닝된 유기금속 포토레지스트 및 패터닝 방법 | |
US11988958B2 (en) | Organometallic solution based high resolution patterning compositions | |
FI20185677A1 (en) | Silanol-containing, organo-inorganic-hybrid coatings for high-resolution patterning | |
TWI587100B (zh) | 用於微影術之清洗劑、用於形成光阻圖案之方法及用於製造半導體裝置之方法 | |
JPWO2019021975A1 (ja) | 極端紫外線又は電子線リソグラフィー用金属含有膜形成組成物、極端紫外線又は電子線リソグラフィー用金属含有膜及びパターン形成方法 | |
US20240085785A1 (en) | Additives for metal oxide photoresists, positive tone development with additives, and double bake double develop processing | |
KR101385367B1 (ko) | 레지스트 패턴 개선화 재료, 레지스트 패턴의 형성 방법, 반도체 장치의 제조 방법 및 반도체 장치 | |
JP3779882B2 (ja) | 現像方法、パターン形成方法およびこれらを用いたフォトマスクの製造方法、半導体装置の製造方法 | |
WO2017207452A1 (en) | Gap filling composition and pattern forming method using composition containing polymer | |
TW202330892A (zh) | 用於洗淨金屬阻劑之洗淨液及使用該洗淨液之洗淨方法 |