KR20230005970A - 중간 고정 단계가 있는 유기금속 광패턴가능 층을 사용한 다중 패터닝 - Google Patents

중간 고정 단계가 있는 유기금속 광패턴가능 층을 사용한 다중 패터닝 Download PDF

Info

Publication number
KR20230005970A
KR20230005970A KR1020227042227A KR20227042227A KR20230005970A KR 20230005970 A KR20230005970 A KR 20230005970A KR 1020227042227 A KR1020227042227 A KR 1020227042227A KR 20227042227 A KR20227042227 A KR 20227042227A KR 20230005970 A KR20230005970 A KR 20230005970A
Authority
KR
South Korea
Prior art keywords
pattern
patterned
patterning
organometallic
composition
Prior art date
Application number
KR1020227042227A
Other languages
English (en)
Inventor
쉐퍼 피터 데
제이슨 케이. 스토워스
상윤 우
마이클 코치슈
앨런 제이. 텔레키
Original Assignee
인프리아 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인프리아 코포레이션 filed Critical 인프리아 코포레이션
Publication of KR20230005970A publication Critical patent/KR20230005970A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0017Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor for the production of embossing, cutting or similar devices; for the production of casting means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Organic Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

감방사선성 유기금속 재료를 사용하는 다중 패터닝 접근법이 기술된다. 특히, 다중 패터닝 접근법은 최종 패턴에 이르게 하는 순차적 접근법을 통해 하드마스크 또는 다른 기판 상에 유기금속 재료의 별개의 다중 패턴을 제공하기 위해 사용될 수 있다. 다중 패터닝 접근법은 다중 유기금속 층을 사용하여 순차적인 리소그래피 단계를 통해 진행할 수 있으며 각 패턴의 현상 후 하드베이크 고정을 포함할 수 있다. 단일 유기금속 층의 패턴 커팅 및 다중 패터닝을 수행하기 위해 이중 톤 특성을 갖는 유기금속 레지스트의 사용이 기술된다. 해당 구조도 또한 기술된다.

Description

중간 고정 단계가 있는 유기금속 광패턴가능 층을 사용한 다중 패터닝
관련 출원에 대한 상호 참조
본 출원은 "Multiple Patterning With Organometallic Photopatternable Layers With Intermediate Freeze Steps"이라는 발명의 명칭의 2020년 5월 6일에 Stowers 등에게 출원된 동시 계류중인 가특허 출원 제63/020,778호의 우선권을 주장하며, 상기 문헌은 본 명세서에 참조로 포함된다.
기술분야
본 출원은 높은 에칭 대비의 활용을 허용하는 유기금속 광패턴가능 재료를 기반으로 하는 다중 패턴화 접근법에 관한 것이다. 본 발명은 또한 유기금속 방사선 패턴화가능한 레지스트에 의한 다층 패터닝을 사용하여 달성할 수 있는 구조에 관한 것이다.
리소그래피로부터 생성된 장치 크기를 계속해서 줄이기 위한 노력의 일환으로, 포토리소그래피 시스템은 매우 작은 이미지 형성을 허용할 수 있는 매우 짧은 파장을 갖는 극자외선을 사용하도록 개발되었다. 유기금속 코팅은 고해상도 패터닝을 달성하기에 적합한 포토레지스트 재료로서 유용한 것으로 나타났으며 극자외광을 사용한 패터닝 및 전자선 패터닝을 위한 상업적 사용에 매우 유망하다. 유기금속 레지스트를 완전히 활용하기 위해, 상업적 환경을 위한 프로세싱의 실용적인 개선을 통해 이러한 재료의 잠재력을 최대한 활용하도록 할 수 있다.
고밀도 및 고해상도의 특징을 갖는 집적 소자의 제조를 위해 다중 패터닝 방법이 점점 더 많이 사용되고 있다. 일반적으로 집적 회로 성능은 장치 크기가 감소하고 장치 밀도가 증가함에 따라 확장되므로 개별 장치를 한정하는 인쇄된 피쳐의 크기가 감소하고 관련 피쳐 밀도가 증가하는 것이 바람직하다. 그러나 원하는 패턴화된 피쳐가 더 작아지고 주어진 노광 공급원(예: 극자외선(EUV))의 분해능 한계에 접근함에 따라 충분한 정확도와 충실도로 피쳐를 분해하기가 더 어려워지고 있다.
본 명세서에 기술된 발명은 유기금속 광패턴가능 재료를 사용하는 다중 패터닝 접근법 및 이러한 접근법을 사용하여 달성할 수 있는 구조에 관한 것이다.
제1 양태에서, 본 발명은 기판을 패터닝하는 방법에 관한 것으로, 상기 방법은 패턴화된 하부구조 위에 감광성 조성물의 층을 조사하여 잠상을 형성하는 단계로서, 상기 감광성 조성물은 금속에 결합된 감방사선성 리간드를 갖는 유기금속 조성물을 포함하고, 상기 패턴화된 하부구조는 기판, 기판 상의 패턴화되지 않은 하드마스크 층, 및 하드마스크 층 위에 제1 패턴을 갖는 패턴화된 금속 산화물계 물질을 순차적으로 포함하고, 상기 감광성 조성물은 패턴화된 금속 산화물계 물질을 갖는 하드마스크 층 위에 위치되고, 상기 잠상에 대응하는 패턴은 제1 패턴과 구별되고, 상기 하드마스크 층은 조사된 감광성 조성물 및 금속 산화물계 물질에 대해 차별적인 에칭을 갖는 단계; 및 잠상을 현상하여 조사된 감광성 조성물로부터 제2 패턴을 형성하여 현상된 구조를 형성하는 단계를 포함한다.
추가 양태에서, 본 발명은 기판; 기판 상의 패턴화되지 않은 하드마스크 코팅; 하드마스크 코팅 상의 제1 산화주석계 물질의 제1 패턴 - 상기 제1 패턴의 갭은 하드마스크를 덮지 않음 -; 및 제1 패턴을 갖는 하드마스크 코팅 상의 제2 산화주석계 물질의 제2 패턴 - 상기 제2 패턴은 제1 패턴과 구별되며, 단, 제2 패턴이 제1 패턴과 중첩되지 않는 경우 제1 패턴의 선폭 거칠기는 제1 패턴의 피쳐 간 거리의 1/4 미만임 - 을 포함하는 구조에 관한 것이다.
추가 양태에서, 본 발명은 방사선 기반 리소그래피를 사용하여 패턴화된 구조를 형성하는 방법에 관한 것으로, 상기 방법은 구조 상의 패턴화된 표면 위에 침착된 유기금속 패터닝 조성물과 같은 패터닝 조성물에서 형성된 잠상에 대해 포지티브 톤 현상 단계를 수행하는 단계를 포함하며, 여기서 상기 포지티브 톤 현상 단계는 유기금속 패터닝 조성물에서의 잠상의 조사된 부분, 및 패턴화된 금속 조성물의 노광된 부분을 제거하여 패턴화된 금속 조성물에서의 컷 패턴을 형성한다.
다른 양태에서, 본 발명은 패턴화된 구조를 형성하는 방법에 관한 것으로, 상기 방법은 제1 패턴에 따른 구조를 조사하여 제1 잠상을 형성하는 단계 - 상기 구조는 유기금속 감방사선성 재료의 층 및 기판을 포함함 -; 제2 패턴에 따라 제1 잠상을 갖는 구조를 추가로 조사하여 제1 잠상 위에 중첩된 제2 잠상을 형성하는 단계 - 상기 제1 잠상 및 중첩된 제2 잠상은 복합 잠상을 형성함 -; 및 상기 복합 잠상을 갖는 구조를 현상하여 패턴화된 구조를 형성하는 단계를 포함한다.
도 1a는 본 명세서에 개시된 금속 산화물-고정(freezing)-금속 산화물 공정을 통해 형성될 수 있는 하프-피치 라인-스페이스 패턴을 나타낸다.
도 1b는 본 명세서에 개시된 금속 산화물-고정-금속 산화물 공정을 통해 형성될 수 있는 교차 어레이(크로스헤치) 패턴을 나타낸다.
도 1c는 본 명세서에 개시된 금속 산화물-고정-금속 산화물 공정을 통해 형성될 수 있는 육각형 어레이 패턴을 나타낸다.
도 1d는 본 명세서에 개시된 금속 산화물-고정-금속 산화물 공정을 통해 형성될 수 있는 기둥(pillar)과 라인-스페이스의 혼합 패턴을 나타낸다.
도 1e는 본 명세서에 개시된 이중 패터닝 공정을 통해 형성될 수 있는 라인 커팅 패턴을 나타낸다.
도 2a는 리소-고정-리소-고정 공정의 흐름도이다.
도 2b는 리소그래피 커팅 공정의 흐름도이다.
도 3은 "열 고정" 이중 패터닝 공정 흐름의 측면도이며, 여기서 베이킹은 제1 패턴화된 층을 제2 층에 대해 불용성이 되게 한다.
도 4는 잠상을 갖는 방사선 패턴화된 구조의 개략적인 사시도이다.
도 5는 도 4의 구조의 측면도이다.
도 6은 조사되지 않은 감광성 유기금속 재료를 제거하여 패턴화된 구조를 형성하기 위해 잠상을 현상한 후의 도 4의 구조의 개략적인 사시도이다.
도 7은 도 6의 패턴화된 구조의 측면도이다.
도 8은 감광성 유기금속 재료의 제2 층이 침착되어 있는 도 6의 패턴화된 구조의 개략적인 사시도이다.
도 9는 도 8의 구조의 측면도이다.
도 10은 제1 패턴에 평행한 제2 감광성 유기금속 층에 잠상이 있는 도 8의 방사선 패턴화된 구조의 개략적인 사시도이다.
도 11은 도 10의 구조의 측면도이다.
도 12는 제2 감광성 유기금속 층으로부터 조사되지 않은 감광성 유기금속 재료를 제거하여 하드마스크-코팅된 기판 상에 이중 패턴의 라인 구조를 형성하는 잠상 현상 후의 도 10의 구조의 개략적인 사시도이다.
도 13은 도 12의 구조의 측면도이다.
도 14는 도 12의 구조에 따라 패턴으로 에칭된 하드마스크를 갖는 패턴화된 구조의 개략적인 사시도이다.
도 15는 도 14의 구조의 측면도이다.
도 16은 제1 패턴에 수직인 제2 감광성 유기금속 층에 잠상이 있는 도 8의 방사선 패턴화된 구조의 개략적인 사시도이다.
도 17은 도 16의 구조의 측면도이다.
도 18은 제2 감광성 유기금속 층으로부터 조사되지 않은 감광성 유기금속 재료를 제거하여 하드마스크-코팅된 기판 상에 이중 패턴의 크로스헤치 구조를 형성하는 잠상 현상 후의 도 16의 구조의 개략적인 사시도이다.
도 19a는 도 18의 구조의 측면도이다.
도 19b는 도 18의 구조의 평면 B-B를 따른 단면도이다.
도 19c는 도 18의 구조의 평면 C-C를 따른 단면도이다.
도 20은 도 18의 구조에 따라 패턴으로 에칭된 하드마스크를 갖는 패턴화된 구조의 개략적인 사시도이다다.
도 21은 도 20의 구조의 측면도이다.
도 22a는 패턴화된 방사선에 노광되고 현상된 유기금속 재료를 갖는 패턴화된 구조의 개략도이다.
도 22b는 도 22a의 구조의 측면도이다.
도 22c는 감광성 유기금속 재료의 층이 그 위에 침착되고 방사선으로 패터닝되어 잠상을 형성한 도 22a의 구조의 개략도이다.
도 22d는 도 22c의 구조의 측면도이다.
도 22e는 도 22c의 잠상에 따른 조사된 유기금속 재료의 현상 후의 도 22c의 구조의 개략도이다.
도 22f는 도 22e의 유기금속 층으로부터 조사되지 않은 감광성 유기금속 재료를 제거한 후 도시된, 도 22a의 라인 컷 구조의 개략도이다.
도 23a는 잠상을 갖는 방사선 패턴화된 구조의 개략도이다.
도 23b는 복합 잠상을 갖는 방사선 패턴화된 구조의 개략도이다.
도 23c는 네거티브 톤 현상제로 복합 잠상을 현상한 후의 도 23b의 구조의 개략도이다.
도 23d는 도 23c의 구조에 따라 패턴으로 에칭된 하드마스크를 갖는 패턴화된 구조의 개략도이다.
도 24a는 잠상을 갖는 방사선 패턴화된 구조의 개략도이다.
도 24b는 복합 잠상을 갖는 방사선 패턴화된 구조의 개략도이다.
도 24c는 포지티브 톤 현상제로 복합 잠상을 현상한 후의 도 24b의 구조의 개략도이다.
도 24d는 도 24c의 구조에 따라 패턴으로 에칭된 하드마스크를 갖는 패턴화된 구조의 개략도이다.
도 25는 실시예에 기재된 이중 패터닝 공정으로 제조된 크로스헤치 산화주석 패턴의 CD-SEM 이미지이다.
유기금속 감방사선성 레지스트 조성물의 특성은 다중 패턴을 포함하는 바람직한 구조의 형성을 제공하는 유리한 다중 패터닝 공정에 이용된다. 일부 구현예에서, 레지스트 조성물과 기판 사이의 하드마스크 층은 다양한 기판 조성물을 허용하는 보다 효과적인 패턴 전사를 제공한다. 특히, 공정 조건에 따라 증가하는 산화도를 갖는 금속 산화물 기반 조성물을 형성하도록 경화될 수 있는 높은 에칭 대비를 갖는 유기금속 감방사선성 레지스트가 개발되었다. 유기금속 레지스트는 고해상도 패턴을 형성하는 데 사용할 수 있다. 제1 패턴이 형성된 후, 예를 들어 패턴화된 구조를 가열하여 레지스트를 추가로 탈수시켜 금속 산화물이나 또는 구조가 금속 산화물 조성물에 더 가까운 보다 산화된 조성물을 형성하는 것과 같은 고정 단계가 수행될 수 있다. 대안적인 구현예에서, 제2 패터닝 단계에서 제1 패턴의 커팅을 용이하게 하기 위해 제1 패터닝 단계 후 고정 단계가 수행되지 않는다. 일부 구현예에서, 고정된 구조는 유기금속 레지스트 조성물의 또 다른 층의 침착 및 패터닝에 바람직하게 안정하다. 레지스트 조성물의 추가 층의 침착 후에, 제2 층은 방사선 노광 및 현상을 통해 다시 패턴화된다. 추가의 구현예에서, 단일 레지스트 층은 다중 마스크를 통해 노광된 후 다중 마스크를 통해 형성된 잠상을 현상하기 위한 단일 현상 단계가 뒤따른다. 유기금속 레지스트는 고해상도 패턴을 형성하는 데 효과적으로 사용될 수 있으며 EUV 패터닝에 효과적일 수 있다. 네거티브 톤 및 포지티브 톤 패터닝 모두에 적합한 레지스트를 사용하여 예측 가능하게 재현 가능한 다중 패터닝을 위해 동일한 조성을 사용하는 더 많은 다중 패터닝 옵션을 효율적으로 허용할 수 있지만, 후속 패터닝 단계를 최적화하기 위해 레지스트 조성물을 조정할 수 있다. 후속 또는 간헐적 처리를 사용하여 패턴 품질을 개선할 수 있다.
특정 패터닝 시스템으로 달성할 수 있는 실제 패터닝 기능을 확장하기 위해 패턴 해상도를 개선하기 위해 다중 패터닝 기술을 사용할 수 있다. 제1 패턴의 경우, 스트라이프 패턴과 같은 규칙적인 패턴일 수 있는 다양한 피쳐가 형성될 수 있다. 제2 패턴은 제1 패턴 내에 위치되거나, 제1 패턴과 중첩될 수 있거나 중첩과 제1 패턴 내의 조합일 수 있다. 제2 패턴은 대안적으로 제1 패턴을 커팅하는데 사용될 수 있다. 순차적 패터닝 단계는 일반적으로 단일 패터닝 단계로 쉽게 형성되지 않는 구조의 형성을 제공하여 더 높은 해상도 또는 더 복잡하게 배치된 피쳐를 달성한다. 원하는 경우 제3의 또는 그 이상의 추가 패터닝 단계를 수행할 수 있다. 실용적인 수준에서 잘 정의되고 적절하게 현상된 피쳐를 제공하기 위한 순차적 패터닝 단계의 수에는 제한이 있지만, 창의적인 마스크 형성을 통해 유용한 패턴의 수를 확장할 수 있으므로, 다중 패터닝은 일반적으로 기판 상의 단일 하드마스크 층에 대하여 2, 3, 4, 5, 6, 7 또는 그 이상의 패터닝 단계를 포함할 수 있다.
또한, 호환가능한 포지티브 톤 패터닝은 다중 패터닝 단계로서 컷 단계를 제공할 수 있고, 포지티브 톤 패터닝을 갖는 하나 이상의 컷 단계는 하나의 네거티브 톤 패터닝 단계 또는 복수의 순차적인 네거티브 톤 패터닝 단계 후에 수행될 수 있거나 하나 이상의 초기 네거티브 톤 패터닝 단계와 하나 이상의 후속 네거티브 톤 패터닝 단계 사이의 컷 단계로서 산재될 수 있다. 커팅 단계로서의 포지티브 톤 패터닝은 하기에 추가로 설명되는 바와 같이 유기금속 패터닝 조성물 또는 화학적 증폭 레지스트와 같은 유기 포토레지스트를 사용하여 수행될 수 있다. 유기금속 패터닝 조성물의 높은 현상 대비는 상이한 마스크를 사용하는 2개 이상의 노광 단계를 사용한 다중 패터닝에 이어서 다중 노광으로부터 형성된 잠상의 현상 단계를 제공하는 데 사용될 수 있다. 이러한 다중 노광에 이은 다중 패터닝의 현상 형태는 본 명세서에 기술된 바와 같이 추가적인 네거티브 톤 패터닝 단계 및 포지티브 톤 컷 단계와 조합될 수 있다.
집적 전자 장치 등의 형성은 일반적으로 구조 내에서 개별 요소 또는 구성요소를 형성하기 위한 재료의 패터닝을 포함한다. 이 패터닝은 원하는 기능을 유도하기 위해 수직 및/또는 수평으로 서로 간섭하는 적층된 층의 선택된 부분을 덮는 상이한 조성물을 포함할 수 있다. 다양한 재료는 선택된 도펀트, 유전체, 전기 전도체 및/또는 다른 유형의 재료를 가질 수 있는 반도체를 포함할 수 있다. 집적 회로와 같은 궁극적인 구성요소를 형성하기 위해, 일반적으로 스택의 위치 및 기판을 따르는 위치에 의해 정의된 위치에서 서로 다른 재료로 최종 구조를 형성하기 위해 많은 층이 형성되고 패턴화된다.
고해상도 패턴을 형성하기 위해, 감방사선성 유기 조성물을 사용하여 패턴을 도입할 수 있으며, 조성물의 일부가 현상/에칭에 내성이 있도록 처리되어 선택적 재료 제거를 사용하여 선택된 패턴을 도입할 수 있기 때문에 조성물을 레지스트라고 할 수 있다. 선택된 패턴 또는 패턴의 네거티브의 조사는 레지스트를 노광하며 현상제 저항성 영역 및 현상제 용해성 영역을 갖는 패턴 또는 잠상을 형성하는 데 사용될 수 있다. 본 명세서에 기술된 감방사선성 유기금속 조성물은 소자 내에서 원하는 무기 재료 구조의 직접 형성을 위해 및/또는 방사선 패턴화가능한 유기금속 레지스트로서 사용될 수 있다. 두 경우 모두 상당한 공정 개선이 이용될 수 있고, 패턴화된 금속 산화물 기반 재료의 구조도 또한 개선될 수 있다.
스페이서 정렬 이중 패터닝(SADP), 스페이서 정렬 사중 패터닝(SAQP) 등과 같은 일부 다중 패터닝 방법이 당업계에 알려져 있지만, 이러한 접근법은 일반적으로 생산 비용을 증가시키고 결함 형성에 대한 패터닝의 민감성을 증가시킬 수 있는 다중 프로세싱 단계를 필요로 한다. 따라서, 프로세싱 복잡성을 크게 증가시키지 않으면서 패터닝 성능을 향상시킬 수 있는 패터닝 접근법이 바람직하다. 신세대 레지스트 재료에서 이용가능한 높은 에칭 대비의 이점을 취하는 효율적인 다중 패터닝 접근법이 본 명세서에 기술된다. 이러한 차세대 패터닝 재료로 이용가능한 보다 효율적인 패터닝 접근법은 아래의 기존 다중 패터닝 접근법과 대조된다.
감방사선성 리간드를 갖는 금속 산화물 수산화물 조성물과 같은 유기금속 포토레지스트는 특히, 적절한 구현예의 경우, 열 고정 공정과 같은 고정 공정을 사용함으로써 다중 패터닝 단계를 수행하는 방법을 가능하게 한다. 고정 공정에서, 제1 포토레지스트 층이 침착되고, 노광되고, 현상되어 제1 패턴을 형성한다. 그 다음 이 제1 패턴은 패턴을 "고정"하는 공정을 거치며 적절한 고정 공정은 블랭킷 UV 광에 대한 노광 및/또는 열 베이킹 단계일 수 있다. 제1 패턴을 고정한 후, 포토레지스트의 제2 층이 침착되어 또한 노광 및 현상을 거쳐 제2 패턴을 생성할 수 있다. 둘 이상의 포토레지스트 고정 공정을 함께 결합함으로써, 스페이서 정렬 다중 패터닝(SAMP) 또는 하나 이상의 중간 에칭 또는 침착 공정을 필요로 하는 다른 공정 등 더 성가신 공정을 필요로 하는 선택된 패턴이 달성될 수 있다.
금속 산화물 수산화물 조성물은 포지티브 톤 패터닝 또는 네거티브 톤 패터닝 거동을 나타낼 수 있다. 네거티브 톤 패터닝에서, 방사선에 대한 노광은 조사된 코팅 물질을 비-조사된 코팅 물질에 비해 현상제 조성물을 이용한 제거에 더 저항성이 있는 물질로 전환시킨다. 포지티브 톤 패터닝에서, 노광은 노광된 코팅 물질의 극성을 충분히 변화시키며, 예를 들어 극성을 증가시켜 노광된 코팅 물질이 수성 용매 또는 다른 고극성 용매로 선택적으로 제거될 수 있다. 코팅 물질의 적어도 일부를 선택적으로 제거하면 하부 기판을 노출시키기 위해 영역이 제거된 패턴이 남는다.
네거티브 톤 패터닝은 초기 패터닝되고 현상된 층이 후속 패터닝 단계에서 추가 조사에 의해 크게 영향을 받지 않기 때문에 다중 패터닝 공정에서 더 낮은 레벨에 대해 특히 바람직하다. 하부 패턴이 포지티브 톤 레지스트인 경우, 이 레지스트는 후속 조사 단계에서 추가로 변경될 수 있다. 따라서, 상위 레벨 현상 시 하위 레벨의 포지티브 톤 패턴이 하드마스크 등으로 보호되지 않으면 후속 현상 단계에서 하부 패턴이 손상될 수 있다. 따라서, 작은 피쳐 크기로 네거티브 톤 패터닝에 사용될 수 있는 유기금속 레지스트는 더 적은 공정 단계를 갖는 다중 패터닝에 특히 적합하다. 후속 패턴 단계를 위한 유기금속 패터닝 조성물과 함께 포지티브 톤 현상 공정을 사용할 수 있는 능력의 관점에서, 이전에 형성된 패턴의 커팅을 허용하고, 이는 다중 패터닝 공정을 위한 유기금속 패터닝 조성물에 대한 추가 공정 이점을 제공한다.
적합한 포토레지스트는 레지스트의 안정성 및 가공성을 제어하기 위해 감방사선성 리간드를 사용하는 금속 산화물 화학(금속 옥소/하이드록소 조성)을 기반으로 할 수 있다. 일반적으로, 이러한 레지스트 조성물은 유기 용매로 현상될 때 네거티브 톤 포토레지스트로 기능한다. 적합한 레지스트 조성물은 방사선 민감성을 제공하기 위해 퍼옥소 리간드를 가질 수 있다. 퍼옥소 기반 레지스트 화합물은 "Patterned Inorganic Layers, Radiation Based Patterning Compositions and Corresponding Methods"라는 명칭의 Stowers 등의 미국 특허 제8,415,000B2호 및 "Metal Peroxo Compounds With Organic Co-ligands for Electron Beam, Deep UV and Extreme UV Photoresist Applications"라는 명칭의 Bass 등의 미국 특허 제8,703,386B2호에서 논의되며, 상기 두 문헌은 본 명세서에 참고로 포함된다. 주석 조성물이 본 명세서에 예시되어 있고, 본 명세서에 제시된 데이터가 주석 기반 레지스트에 초점을 맞추고 있지만, 본 명세서에 기술된 프로세싱 접근법 및 현상제 조성은 상기 및 하기에 기술된 것과 같은 다른 금속 기반 레지스트에 대해 효과적일 것으로 예상될 수 있다.
유기주석 산화물 수산화물과 같은 유기금속 포토레지스트는 리소그래피 포토패터닝에 사용하기 위한 포토레지스트로서 우수한 특성을 갖는 것으로 나타났다. 적합한 유기금속 포토레지스트는 "Organometallic Solution Based High Resolution Patterning Compositions"라는 명칭의 Meyers 등에 의한 미국 특허 제9,310,684B2호, "Organometallic Solution Based High Resolution Patterning Compositions and Corresponding Methods"라는 명칭의 Meyers 등에 의한 공개된 미국 특허 제10,642,153호, 및 "Organotin Oxide Hydroxide Patterning Compositions, Precursors, and Patterning"라는 명칭의 미국 특허 제10,228,618B2호에 기술된 바와 같은 유기주석 물질을 포함하며, 상기 문헌은 모두 본 명세서에 참고로 포함된다. 다양한 금속을 기반으로 하는 기타 유기금속 패터닝 조성물은 "Method of Making Electronic Material"라는 명칭의 Maloney 등의 공개된 미국 특허 출원 제2002/0076495호, 및 "Molecular Organometallic Resists for EUV"라는 명칭의 Freedman 등의 미국 특허 제9,372,402B2호에 기술되어 있으며, 상기 두 문헌은 본 명세서에 참고로 포함된다. 유기 쉘-유사 코팅으로 둘러싸인 금속 산화물 나노입자에 의한 레지스트는 "Metal Oxide Nanoparticles and Photoresist Compositions"라는 명칭의 Sarma 등의 공개된 미국 특허 출원 제2015/0234272A1에 기술되어 있으며, 상기 문헌은 본 명세서에 참고로 포함된다. 출원인은 고도로 발전된 유기주석 패터닝 재료를 개발하였으며, 이들 중 일부는 예시된 조성물이다.
적합한 유기주석 재료는 화학식 RzSnO(2-z/2-x/2)(OH)x로 나타내는 감방사선성 패터닝 조성물을 기반으로 할 수 있으며, 여기서 0 < z ≤ 2 및 0 < (z + x) ≤ 4이고, R은 1 내지 31개의 탄소 원자를 갖는 하이드로카르빌기 또는 N개의 별개 조성에 대해 RN으로 표기될 수 있는 별개의 R 기를 갖는 이들의 블렌드이다. 코팅 층에서, 조성물은 공통 옥소/하이드록소 네트워크에 통합될 수 있다. R은 탄소-주석 결합을 형성하고, R은 탄소 또는 수소가 아닌 헤테로원자를 포함할 수 있다. 특히, 분지형 알킬 리간드는 화합물이 일반적으로 R1R2R3CSnO(2-(z/2)-(x/2))(OH)x 로 나타내어질 수 있는 일부 패터닝 조성물에 바람직할 수 있으며, 여기서 R1 및 R2는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬 기이고, R3는 수소 또는 1 내지 10개의 탄소 원자를 갖는 알킬 기이다. 하기에 언급된 바와 같이, 알킬 리간드 R의 이러한 표현은 일반적으로 R1R2R3CSn(X)3을 갖는 다른 구현예에 유사하게 적용할 수 있으며, 여기서 X는 알콕사이드 또는 아미드 모이어티와 같은 가수분해성 리간드에 해당한다. 일부 구현예에서, R1 및 R2는 고리형 알킬 모이어티를 형성할 수 있고, R3는 또한 고리형 모이어티에서 다른 기를 연결할 수 있다. 적합한 분지형 알킬 리간드는 예를 들어, 이소프로필(R1 및 R2는 메틸이고 R3는 수소임), tert-부틸(R1, R2 및 R3는 메틸임), tert-아밀(R1 및 R2는 메틸이고 R3는 -CH2CH3임), sec-부틸(R1은 메틸이고, R2는 -CH2CH3이고, R3는 수소임), 네오펜틸(R1 및 R2는 수소이고, R3는 -C(CH3)3임), 사이클로헥실, 사이클로펜틸, 사이클로부틸, 및 사이클로프로필일 수 있다. 적합한 고리형 기의 예는, 예를 들어, 1-아다만틸 (-C(CH2)3(CH)3(CH2)3 또는 3차 탄소에서 금속 결합된 트라이사이클로(3.3.1.13,7) 데칸) 및 2-아다만틸 (-CH(CH)2(CH2)4(CH)2(CH2) 또는 2차 탄소에서 금속 결합된 트라이사이클로(3.3.1.13,7) 데칸)을 포함한다. 다른 구현예에서, 하이드로카르빌 기는 아릴 또는 알케닐 기, 예를 들어 벤질 또는 알릴, 또는 알키닐 기를 포함할 수 있다. 다른 구현예에서, 하이드로카르빌 리간드 R은 C와 H로만 구성되고 1 내지 31개의 탄소 원자를 함유하는 임의의 기를 포함할 수 있다. 요약하면, 주석에 결합된 적합한 알킬 기의 일부 예로는 예를 들어 선형 또는 분지형 알킬 (i-Pr ((CH3)2CH-), t-Bu((CH3)3C-), Me(CH3-), n-Bu(CH3CH2CH2CH2-)), 사이클로-알킬 (사이클로-프로필, 사이클로-부틸, 사이클로-펜틸), 올레핀 (알케닐, 아릴, 알릴), 또는 알키닐 기, 또는 이의 조합을 들 수 있다. 추가의 구현예에서, 적합한 R 기로는 시아노, 티오, 실릴, 에테르, 케토, 에스테르, 또는 할로겐화 기 또는 이의 조합을 포함하는 헤테로-원자 작용기로 치환된 하이드로카르빌 기를 포함할 수 있다. 당업계에서 통상적인 바와 같이, 하이드로카르빌 기는 기가 불포화 결합, 아릴 기, 헤테로원자 등을 가질 수 있음에도 불구하고 알킬 기로 지칭될 수 있고, 따라서 모노알킬 화합물/조성물은 z =1 인 화합물/조성물을 지칭할 것이다.
전구체 조성물은 공통의 옥소/하이드록소 네트워크로 통합되는 유기주석 옥소/하이드록실 코팅 조성물을 형성하기 위해 사용될 수 있다. 전구체 조성물은 하나 이상의 가용성 유기주석 옥소/하이드록소 화합물, 또는 가수분해시 옥소 및/또는 하이드록소 리간드를 형성하는 가수분해성 리간드를 갖는 상응하는 화합물을 포함할 수 있다. 복수의 화합물을 갖는 전구체 조성물의 경우, 화합물은 금속-탄소 결합을 갖는 별개의 유기 리간드 및 동일하거나 별개의 가수분해성 리간드를 가질 수 있다. 따라서, 감방사선성 코팅을 형성하기 위한 전구체 조성물은 RnSnX4-n으로 나타내는 하나 이상의 화합물의 용액을 포함할 수 있으며, 여기서 n은 1, 2 또는 3이며, 여기서 R은 1 내지 31개의 탄소 원자를 갖는 히드로카르빌 기이고, X는 가수분해성 M-X 결합을 갖는 리간드 및 이들의 혼합물이다. 적합한 가수분해성 리간드는 예를 들어 알키나이드(R0C≡C-), 알콕사이드(R0O-), 카르복실레이트(R0COO-), 할라이드, 디알킬아미드 또는 이들의 조합을 포함할 수 있으며, 여기서 R0 기는 R에 대해 상기 기재된 바와 동일한 모이어티 중 하나일 수 있다. 특히, 유기주석 트리알콕사이드 조성물은 화학식 RSn(OR0)3으로 나타낼 수 있다. 또한, 유기주석 트리디알킬아미드 조성물은 화학식 RSn(NRaRb)3으로 나타낼 수 있으며, 여기서 Ra 및 Rb 기는 R에 대해 상기 기재된 바와 동일한 모이어티 중 하나일 수 있다. 일부 구현예에서, 전술한 유기주석 전구체 조성은 MX4 및/또는 MO((m/2)-l/2)(OH)l로 나타내는 조성을 추가로 포함할 수 있으며, 여기서 0 < z ≤ 2, 0 < (z + w) ≤ 4, m = Mm+의 형식적 원자가, 0 ≤ l ≤ m, M = M' 또는 Sn, 여기서 M'는 주기율표 2 내지 16족의 비주석 금속이다.
일반적으로, 유기주석 포토레지스트는 작은 피쳐와 패턴을 형성할 수 있는 고해상도와 높은 에칭 저항을 모두 나타낸다. 코팅 공정 중 또는 코팅 단계 후에 제자리 가수분해를 사용하여 임의의 가수분해가능 M-X 결합을 가수분해하여 패터닝 전에 코팅에 옥소/하이드록소 네트워크를 형성할 수 있다. 전구체 화합물은 또한 적절한 리간드 재배열로 용액에서 클러스터를 형성할 수 있으며, 여기서 가수분해성 리간드의 적어도 일부는 "Organotin Clusters, Solutions of Organotin Clusters, and Application to High Resolution Patterning"라는 명칭의 Cardineau 등의 공개된 미국 특허 출원 제2019/0053001호, 및 "Tin Dodecamers and Radiation Patternable Coatings With Strong EUV Absorption"라는 명칭의 Cardineau 등의 공개된 미국 특허 출원 제2019/0308998호에 기재된 바와 같이 옥소 브릿지 또는 하이드록실 기로, 예를 들어 3개의 주석 원자로 대체될 수 있으며, 상기 두 문헌은 모두 본 명세서에 참고로 포함된다.
상기 기술된 조성물은 당업자에게 공지된 다양한 수단을 통해 코팅 물질 층을 침착 및 형성하고, 선택된 구조 상에 전구체의 후속 프로세싱에 사용될 수 있다. 일반적으로, 구조는 표면 위에 하드마스크 코팅을 갖는 기판을 포함한다. 하드마스크 표면은 패턴 피쳐 크기를 줄이기 위해 수행되는 다중 패터닝 단계를 거치는 동안 패터닝 균일성을 제공한다. 하드마스크 층은 패턴화된 레지스트와 우수한 에칭 대비를 갖는 재료를 포함할 수 있다. 일부 구현예에서, 하드마스크는 궁극적으로 제거되도록 설계되지만, 일부 구현예에서는 하드마스크의 패턴화된 부분은 후속 프로세싱을 위해 유지되어야 한다. 하드마스크의 선택은 그 자체가 다른 재료로 패터닝될 수 있는 기판의 하드마스크 아래 재료와의 호환성 및 가능하게는 에칭 대비를 기반으로 할 수 있다.
본 명세서에 기재된 바와 같은 유기금속 레지스트를 사용한 다중 패터닝은 높은 현상 대비 및 우수한 방사선 흡수에 기초한 고해상도 패터닝을 효율적으로 제공하기 위한 상당한 유연성을 제공한다. 다중 패터닝의 다양한 구현은 궁극적으로 원하는 다양한 패턴을 형성하기 위해 효과적으로 활용될 수 있다. 주석 기반 유기금속 패터닝 조성물의 사용, 개선된 현상제 및 현상 후 패턴 품질 개선은 패턴 불완전성을 감소시키기 위해 활용될 수 있다.
코팅 특성 및 코팅 형성
방사선 패턴화가능 층을 형성하기 위한 침착 공정은 용액 기반 또는 증기 기반일 수 있다. 기판은 일반적으로 코팅 물질이 침착될 수 있는 표면을 제공하며, 기판은 복수의 층을 포함할 수 있다. 일부 구현예에서, 기판 표면은 코팅 물질의 접착을 위한 표면을 준비하도록 처리될 수 있다. 또한, 표면을 적절하게 청소 및/또는 매끄럽게 할 수 있다. 적합한 기판 표면은 임의의 합리적인 재료를 포함할 수 있다. 특히 관심 있는 일부 기판은 예를 들어, 실리콘 웨이퍼, 실리카 기판, 기타 무기 재료, 유기 폴리머와 같은 폴리머 기판, 이들의 복합물 및 기판의 표면에 걸친 및/또는 층 내의 이들의 조합을 포함한다. 비교적 얇은 원통형 구조와 같은 웨이퍼가 편리할 수 있지만 합리적인 모양의 구조를 사용할 수 있다. 폴리머 기판 또는 비폴리머 구조에 폴리머 층이 있는 기판이 낮은 비용과 유연성을 기반으로 특정 적용에 바람직할 수 있으며, 적절한 폴리머는 패턴화가능한 재료의 프로세싱에 사용할 수 있는 본 명세서에 기재된 상대적으로 낮은 프로세싱 온도를 기반으로 선택할 수 있다. 적합한 폴리머는 예를 들어 폴리카보네이트, 폴리이미드, 폴리에스테르, 폴리알켄, 이들의 공중합체 및 이들의 혼합물을 포함할 수 있다.
일부 구현예에서, 방사선 패턴화가능한 코팅의 침착 전에 기판 위에 하드마스크 층이 형성된다. 하드마스크 층은 평균 두께가 약 1 nm 내지 약 200 nm, 추가 구현예에서 약 2 nm 내지 약 150 nm, 다른 구현예에서 약 2.5 nm 내지 약 100 nm, 추가적인 구현예에서 약 3 nm 내지 약 75 nm를 가질 수 있다. 당업자는 상기 명시적인 평균 두께 범위 내의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다. 원하는 기판(예컨대 실리콘)에서 원하는 패턴을 실현하는 것을 목표로 할 때 원하는 하드마스크 재료는 일반적으로 다른 층에 대한 에칭 특성에 대해 선택될 수 있다. 일반적으로, 하드마스크 재료는 에칭 "색상"에 따라 필름 스택으로 선택되고 적층되며, 여기서 "색상"은 일부 에칭 화학에 대한 에칭 민감성을 지칭한다.
하드마스크 층에 적합한 재료는 예를 들어 질화티타늄(TiN), 질화규소(Si3N4), 질화탄탈(TaN), 산화규소(SiO2, 스핀-온-글라스, 산질화규소), 및 탄소-풍부 재료(예를 들어, 스핀 코팅된 탄소(SOC), CVD 탄소 층)를 포함할 수 있지만, 다양한 다른 재료가 적합할 수 있다. 예를 들어, 차등 에칭과 관련하여, 산화규소 유형의 재료는 F-함유 플라즈마에서 상당한 에칭 속도를 갖는 반면 탄소-풍부 재료는 그렇지 않다. 유사하게, 탄소-풍부 재료는 O-함유 플라즈마로 쉽게 에칭될 수 있는 반면, 산화규소는 저항성을 가진다. TiN은 Cl-함유 플라즈마로 에칭될 수 있는 반면, SiO2는 저항성을 가진다. 상이한 최종 패턴은 상이한 에칭 스택을 제안할 수 있으며, 예를 들어 많은 라인, 공간 및 직사각형 피쳐를 갖는 복잡한 논리 패턴은 도트 또는 홀 어레이로 구성된 메모리 패턴과 다른 필름 스택으로 패턴화될 수 있다. 홀은 대략 원형, 직사각형, 정사각형 또는 기타 적절한 모양일 수 있다. 본 명세서의 교시에 기초하여, 적절한 하드마스크 재료의 선택, 스택 구성, 에칭 화학물질, 및 이들의 상대적인 에칭 차이는 당업자에게 공지되어 있고 본 개시내용의 범위 내에 있다.
하드마스크는 CVD(Chemical Vapor Deposition), PVD(Physical Vapor Deposition) 또는 ALD(Atomic Layer Deposition)와 같은 기상 증착 방식이나 스핀-온-글라스 또는 스핀-온-카본과 같은 용액 기반 코팅 방식으로 침착할 수 있다. 스핀-온-카본(SoC)은 일반적으로 액체로 침착될 수 있고 일반적으로 예를 들어 Irresistible Materials, Ltd (UK) 로부터 스핀-온 카본으로 상업적으로 이용가능한 풀러렌과 같은 분자 또는 탄소 함량이 높은 중합체를 포함하는 탄소 함량이 높은 조성물을 지칭한다. 스핀-온 글라스 재료는 Desert Silicon(AZ, USA)에서와 같이 상업적으로 이용가능하다. 스핀-온 글라스 조성물은 에테르 또는 방향족 용매와 같은 적합한 유기 용매 중의 폴리실라잔 중합체를 포함할 수 있고, 폴리실라잔 중합체는 산소 분위기에서 경화되어 산화규소를 형성할 수 있다. 스핀-온 글라스용 폴리실라잔 조성물은 "Spin-On Glass Composition and Method of Forming Silicon Oxide Layer Semiconductor Manufacturing Process Using the Same"라는 명칭의 Lee 등의 미국 특허 제7,270,886호에 기재되어 있으며, 이는 본 명세서에 참고로 포함된다. 폴리오가노실록산을 포함하는 스핀-온 글라스 제형은 "Coating Solution for Forming Glassy Layers"라는 명칭의 Allman의 미국 특허 제5,302,198호에 기재되어 있으며, 이는 본 명세서에 참고로 포함된다. 적합한 실리카 기반 졸-겔 조성물은 당업계에 공지되어 있으며 스핀-온-글라스 조성물로서 사용될 수 있다. 예를 들어, 실리카 유리 재료의 형성을 위한 졸-겔 조성물은 "Process for Reducing or Eliminating Bubble Defects in Sol-Gel Silica Glass"라는 명칭의 Ganguli 등의 공개된 미국 특허 출원 제2002/0157418호에 기재되어 있으며, 이는 본 명세서에 참고로 포함된다. 스핀-온 글라스 조성물은 산소 함유 분위기에서 열로 경화되어 실리카 유리를 형성할 수 있다. 실리카 유리는 일반적으로 화학 기상 증착(CVD) 또는 당업계에 공지된 다른 공정을 사용하여 다양한 맥락에서 침착된다.
또한, 적합한 기판은 미리 형성된 유기금속 포토레지스트 패턴을 포함할 수 있다. 또한, 기판은 ""Pre-Patterned Lithography Templates, Processes Based on Radiation Patterning Using the Templates and Processes To Form the Templates"라는 명칭의 Stowers 등의 미국 특허 번호 제10,649,328호, 및 "Pre-Patterned Hard Mask for Ultrafast Lithographic Imaging"라는 명칭의 Bristol 등의 미국 특허 번호 제9,005,875호에 기재되어 있으며, 상기 두 문헌은 본 명세서에 참고로 포함된다. 일반적으로, 기판은 상이한 또는 부분적으로 상이한 조성의 다중 층을 포함할 수 있으며, 여기서 각 층은 자체적으로 패터닝될 수 있으며, 예를 들어 기판 위 및/또는 기판 내에 추가 층을 패터닝하기 위해 추가 리소그래피 프로세싱에 기초하여 추가 구성요소 또는 구성요소의 일부와 통합될 수 있는 구성요소 또는 구성요소의 일부를 가진다.
유기금속 레지스트에 대한 적합한 침착 방법의 예는 스핀 코팅 또는 딥 코팅과 같은 용액 처리 방법을 포함하거나 원자층 침착(ALD), 물리 기상 증착(PVD) 또는 화학 기상 증착(CVD) 공정과 같은 기상 증착 방법이 유기금속 코팅을 형성하는 데 사용될 수 있다. 용액 프로세싱이 필요한 경우 유기주석 조성물은 침착 공정을 돕기 위해 용매에 용해되는 것이 바람직하다. 일반적으로, 원하는 유기주석 조성물은 유기 용매, 예를 들어 알코올, 방향족 및 지방족 탄화수소, 에스테르 또는 이들의 조합에 용해될 수 있다. 특히, 적합한 용매는 예를 들어 방향족 화합물(예: 자일렌, 톨루엔), 에테르(아니솔, 테트라하이드로푸란), 에스테르(프로필렌 글리콜 모노메틸 에테르 아세테이트, 에틸 아세테이트, 에틸 락테이트), 알코올(예: 4-메틸- 2-프로판올, 1-부탄올, 메탄올, 이소프로필 알코올, 1-프로판올), 케톤(예: 메틸 에틸 케톤), 이들의 혼합물 등을 포함한다. 일반적으로, 유기 용매 선택은 용해도 매개변수, 휘발성, 가연성, 독성, 점도 및 다른 가공 재료와의 잠재적인 화학적 상호작용에 의해 영향을 받을 수 있다. 용액의 성분이 용해되고 조합된 후, 일부 구현예에서 부분 제자리 가수분해, 수화 및/또는 축합의 결과로 종 특성이 변할 수 있다. 용액의 조성이 본 명세서에서 언급될 때, 복잡한 제형이 가용매분해 및 리간드 복분해를 겪거나 잘 특성화되지 않을 수 있는 용액에서 금속 다핵종을 생성할 수 있기 때문에, 용액에 첨가된 성분에 대한 것을 말한다. 특정 적용의 경우, 유기 용매가 약 10℃ 이상의 인화점, 추가 구현예에서 약 20℃ 이상, 추가 구현예에서 약 25℃ 이상의 인화점 및 약 10 kPa 이하, 일부 구현예에서는 약 8 kPa 이하, 추가 실시예에서는 약 6 kPa 이하의 20℃의 증기압을 갖는 것이 바람직하다. 당업자는 상기 명시적인 범위 내에서 추가의 인화점 및 증기압 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다.
일부 구현예에서, 기상 증착 방법은 하나 이상의 금속 함유 전구체가 산화물 또는 산화물 수산화물의 생성을 위한 O 또는 H 공급원으로서 작용하는 H2O, H2O2, O3, O2, CO2, CO, 또는 CH3OH와 같은 소분자 기체상 시약에 또는 그와 반응하는 것을 포함할 수 있다. CVD 방법에서, 둘 이상의 반응 가스는 일반적으로 기판 표면 부근의 챔버에서 혼합된다. 따라서, 바람직하지 않은 기상 반응 및 핵 생성을 제어하기 위해 반응 조건에 충분한 안정성을 설계할 수 있다. 반응 챔버에 개별적으로 순차적으로 도입된 ALD 전구체는, 일반적으로 기판 표면을 포화시키는 화학 흡착된 보조 전구체 또는 분해 생성물과 반응한다. RnSnX(4-n) 전구체의 바람직한 특징은 예를 들어 시스템에서 증기상 수송을 위한 충분한 휘발성, 조기 분해를 방지하기 위한 열 안정성 및/또는 규정된 공정 조건 하에 목표 생성물을 생산하기 위한 보조 전구체와의 적절한 반응성을 포함한다. 반응 챔버의 압력과 온도는 반응 공정을 제어하도록 선택될 수 있다. 일반적으로, 상대적으로 낮은 증기압을 갖는 전구체는 증기의 흐름, 에어로졸 및/또는 기화 챔버로의 직접 액체 주입을 사용하여 도입될 수 있다. 플래시 증발기는 제어된 양의 전구체 증기를 반응 챔버에 도입하여 챔버에서 반응 공정을 상응하게 제어하는 데 사용될 수 있다. 가수분해/산화를 유도하는 2차 반응물은 별도의 입구를 통해 챔버로 도입될 수 있다. 상업적인 CVD 장치가 이러한 용도에 맞추어 조정되거나 특정 장비가 사용될 수 있다. 침착을 용이하게 하기 위해, 기판은 전구체 특성에 따라 가열되거나 냉각될 수 있다. N2, Ar 등과 같은 불활성 가스는 순차 및 연속 유동 체제 모두에서 캐리어 가스, 퍼지 가스 또는 압력 조절 가스로서 적절한 용량으로 사용될 수 있다.
기상 증착 방법이 필요한 경우, 유기주석 전구체는 감방사선성 리간드(알킬기) 및 가수분해 민감성 및/또는 산화성 리간드의 선택을 통해 휘발성 및 반응성과 같은 침착에 적합한 원하는 특성에 대해 선택될 수 있다. 적합한 조성물의 예는 유기주석 용액의 형성에 대해 위에서 설명한 것과 유사하며, 예를 들어 감방사선성 코팅을 형성하기 위한 전구체 조성물은 RnSnX4-n으로 표시되는 하나 이상의 화합물을 포함할 수 있으며, 여기서 n = 1, 2 또는 3이고, R 은 1 내지 31개의 탄소 원자를 갖는 히드로카르빌 기이고, X는 가수분해성 M-X 결합을 갖는 리간드 및 이들의 혼합물이다. 침착 공정은 원하는 코팅 두께를 제공하도록 제어될 수 있다.
용액 기반 침착의 경우 코팅의 두께는 일반적으로 전구체 용액 농도, 점도 및 스핀 속도와 같은 공정 매개변수의 함수일 수 있다. 증기 침착과 같은 다른 코팅 공정의 경우, 두께는 일반적으로 침착 및 코팅 매개변수(예: 유량, 사이클 시간, 사이클 수 등)의 선택을 통해 조정될 수 있다. 일부 구현예에서는 작고 고도로 분해된 피쳐의 형성을 용이하게 하기 위해 얇은 코팅을 사용하는 것이 바람직할 수 있다.
일부 구현예에서, 코팅 물질은 현상 전의 평균 건조 두께가 약 1 마이크론 이하, 추가 구현예에서 약 250 나노미터(nm) 이하, 추가적인 구현예에서 약 1 나노미터(nm) 내지 약 100 nm, 추가 구현예에서는 약 1 nm 내지 약 50 nm, 다른 구현예에서는 약 1 nm 내지 약 40 nm, 일부 구현예에서는 약 1 nm 내지 약 25 nm이다. 노광된 영역에 대한 현상 후 코팅 두께의 범위는 일반적으로 위에 제시된 것과 동일한 범위 내에 속하며, 현상은 비교적 적은 양의 노광 물질을 제거할 수 있다는 것이 실현된다. 일반적으로, 다중 패터닝 공정의 경우, 방사선 패턴화가능한 조성물의 각 코팅층은 이러한 건조 두께 범위에 속할 수 있다. 다중 패터닝 프로세싱에서, 후속 패턴화가능 코팅은 이전의 패턴화가능 코팅과 비교하여 동일한 평균 두께 또는 다른 평균 두께를 가질 수 있다. 당업자는 상기 명시적인 범위 내에서 용액 농도 및 두께의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다. 두께는 필름의 광학 특성을 기반으로 하는 x-선 반사율 및/또는 타원 측정법의 비접촉 방법을 사용하여 평가할 수 있다. 이전에 패턴화된 층 위의 후속 방사선 패턴화가능 층의 경우, 구조의 평면에 대해 표면에서부터 위쪽 방향으로 연장되는 기존 패턴화된 표면에 대한 평균 두께가 평가되며, 후속 층은 일반적으로 평평하지 않을 수 있음을 인정한다.
초기 패턴을 커팅하기 위한 포지티브 톤 레지스트를 사용한 다중 패터닝의 경우 포지티브 톤 레지스트는 초기 네거티브 톤 패터닝에 사용된 것과 동일하거나 유사한 레지스트와 같은 유기금속 포토레지스트가 될 수 있거나 유기 포지티브 톤 레지스트, 예를 들어 디아조나프토퀴논(DNQ)과 노볼락 수지(페놀 포름알데히드 수지)의 블렌드와 같은 DNQ-노볼락 레지스트가 사용될 수 있다. Fujifilm Holdings America Corp.와 같은 이러한 포지티브 톤 레지스트의 상업적 제형을 사용할 수 있다.
유기주석 코팅 또는 기타 유기금속 코팅의 침착 및 형성 후, 일반적으로 에지 비드 제거(EBR) 헹굼 단계를 사용할 수 있다. EBR 프로세싱은 일반적으로 포토레지스트 침착 후 임의의 열 처리 또는 베이킹 이전에 발생하며 웨이퍼 또는 기판의 주변 에지를 헹구어 선택된 영역 내 포토레지스트를 제거하는 것을 포함한다. EBR 및 후면 헹굼에는 "Methods of Reducing Metal Residue in Edge Bead Region from Metal-Containing Resists"라는 명칭의 Waller 등의 미국 특허 제10,627,719호에 기재된 바와 같이 에지 비드 헹굼 용액을 웨이퍼의 에지 뿐만 아니라 후면에도 적용하는 것이 포함되며, 상기 문헌은 본 명세서 참고로 포함된다.
소프트 베이크 또는 적용 후 베이크(PAB)는 일반적으로 전구체 조성물의 가수분해성 결합을 가수분해하고/하거나 추가로 용매를 제거하고 코팅 물질의 조밀화를 촉진하기 위해 방사선 노광 전에 수행된다. 일부 구현예에서, PAB는 약 25℃ 내지 약 250℃, 추가적인 구현예에서 약 50℃ 내지 약 200℃, 추가 구현예에서 약 80℃ 내지 약 150℃의 온도에서 수행될 수 있다. 노광 후 가열은 일반적으로 적어도 약 0.1분 동안, 추가 구현예에서 약 0.5분 내지 약 30분, 추가적인 구현예에서 약 0.75분 내지 약 10분 동안 수행될 수 있다. 당업자는 상기 명시적인 범위 내에서 PAB 온도 및 시간의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다. 특히 관심있는 구현예에서, 코팅된 재료는 일반적으로 금속에 대한 옥소-하이드록소 리간드의 결합을 기반으로 하는 중합체성 금속 옥소-하이드록소 네트워크를 포함하며, 여기서 금속은 또한 일부 유기(하이드로카빌) 리간드, 또는 유기(하이드로카빌) 리간드와 다핵 금속 옥소-하이드록소 종으로 구성된 분자 고체를 갖는다.
패터닝 및 현상
일반적으로, 선택된 유기금속 포토레지스트 코팅은 방사선을 사용하여 패턴화될 수 있다. 적합한 방사선 공급원은 극자외선(EUV), 자외선(UV), 또는 전자선(EB) 방사선을 포함한다. 반도체 장치의 제조를 위해, EUV 방사선은 UV 방사선에 비해 높은 분해능과 전자선(EB) 기반 프로세싱에 비해 높은 처리량으로 인해 바람직할 수 있다. 방사선은 일반적으로 마스크를 통해 기판 재료로 지향될 수 있거나 방사선 빔은 레지스트 코팅 내에 잠상을 형성하기 위해 기판을 가로질러 제어가능하게 주사될 수 있다. 이 섹션에서는 패턴화된 금속 산화물 기반 재료를 형성하기 위해 유기금속 코팅을 패터닝하는 일반적인 원리를 설명하고 다음 섹션에서는 다중 패터닝을 위한 특정 프로세싱에 대해 설명한다.
본 명세서에 참조로 포함된 국제 표준 ISO 21348(2007)에 따라, 자외선은 100 nm 이상 400 nm 미만의 파장 사이에서 확장된다. 불화크립톤 레이저는 248 nm 자외선의 광원으로 사용할 수 있다. 불화크립톤 레이저는 248 nm 자외선의 광원으로 사용될 수 있다. 자외선 범위는 10 nm 이상 내지 121 nm 미만의 극자외선(EUV) 및 122 nm 이상 내지 200 nm 미만의 극자외선(FUV)과 같이 허용되는 표준에 따라 여러 방식으로 세분화될 수 있다. 불화아르곤 레이저의 193 nm 라인을 FUV의 방사선원으로 사용할 수 있다. EUV 광은 13.5 nm에서 리소그래피에 사용되었으며 이 광은 고에너지 레이저 또는 방전 펄스를 사용하여 여기된 Xe 또는 Sn 플라즈마 공급원에서부터 생성된다. EUV 광자의 상업적 공급원에는 ASML Holding N.V. Netherlands에서 제작한 스캐너가 포함된다. 연 x-선은 0.1 nm 이상 내지 10 nm 미만으로 정의할 수 있다. 광은 마스크를 통해 지향되어 노광된 영역과 노광되지 않은 영역이 있는 감방사선성 코팅에 잠상을 형성한다.
전자기 방사사의 양은 노광 시간에 대한 통합 복사 플럭스에 의해 얻어지는 플루언스 또는 선량으로 특징지어질 수 있다. 일부 구현예에서, 적절한 방사선 플루언스는 약 1 mJ/cm2 내지 약 200 mJ/cm2, 추가 구현예에서 약 2 mJ/cm2 내지 약 150 mJ/cm2, 추가 구현예에서 약 3 mJ/cm2 내지 약 100 mJ/cm2일 수 있다. 일 구현예에서, EUV 방사선은 약 150 mJ/cm2 이하의 선량으로 또는 전자선은 30 kV에서 약 2 mC/cm2 이하의 선량으로 수행될 수 있다. 당업자는 상기 명시적인 범위 내에서 방사선 플루언스의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다.
방사선 노광 및 잠상 형성 후, 후속 노광 후 베이킹(PEB)이 일반적으로 수행된다. 다중 패터닝의 맥락에서 PEB의 타이밍은 아래에 설명되어 있다. 일부 구현예에서, PEB는 주변 환경에서 수행될 수 있고, 추가적인 구현예에서 PEB는 H2O, CO2, CO, SO2, H2, 또는 "Process Environment For Inorganic Resist Patterning"라는 명칭의 Telecky 등의 미국 특허 출원 제17/188,679호(이하 '679 출원)에 기재된 것들의 존재 하에서 수행될 수 있으며, 상기 문헌은 본 명세서에 참고로 포함된다. 일부 구현예에서, PEB는 약 45℃ 내지 약 250℃, 추가적인 구현예에서 약 50℃ 내지 약 190℃, 추가 구현예에서 약 60℃ 내지 약 175℃의 온도에서 수행될 수 있다. 노광 후 가열은 일반적으로 적어도 약 0.1분 동안, 추가 구현예에서 약 0.5분 내지 약 30분, 추가적인 구현예에서 약 0.75분 내지 약 10분 동안 수행될 수 있다. 당업자는 상기 명시적인 범위 내에서 PEB 온도 및 시간의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다. PEB는 노광되지 않은 영역을 금속 산화물로 분해하지 않으면서 노광된 영역을 더욱 조밀화 및/또는 통합하도록 설계될 수 있다.
PEB를 수행한 후, 이미지의 현상은 잠상을 포함하는 패턴화된 코팅 물질을 현상제 조성물에 접촉시켜 조사되지 않은 코팅 물질을 제거하여 네거티브 이미지를 형성하거나 조사된 코팅을 제거하여 포지티브 이미지를 형성하는 것을 포함하고, 다른 유기금속 패터닝 재료는 두 가지 유형의 패터닝 톤에 적합하거나 적합하지 않을 수 있다. 본 명세서에 기재된 유기주석 레지스트 재료를 사용하여 코팅의 최적화가 조성의 일부 조정을 제안할 수 있지만 일반적으로 동일한 전구체 조성으로부터 형성된 동일한 코팅을 기반으로 하는 적절한 현상 용액을 사용하여 원하는 해상도로 효과적인 네거티브 패터닝 또는 포지티브 패터닝을 수행할 수 있다. 특히, 조사된 영역은 금속 산화물 특성을 증가시키기 위해 적어도 부분적으로 축합되어 조사된 재료가 유기 용매에 의한 용해에 저항하는 반면 조사되지 않은 조성물은 유기 용매에서 가용성으로 유지된다. 축합된 코팅 물질에 대한 언급은 초기 재료에 비해 물질의 산화물 특성을 증가시킨다는 의미에서 적어도 부분적 축합을 의미한다. 반면에, 조사되지 않은 재료는 재료의 소수성으로 인해 약한 수성 염기 또는 산에는 용해되지 않으므로 수성 염기는 포지티브 패터닝을 위해 조사되지 않은 재료를 유지하면서 조사된 재료를 제거하는 데 사용할 수 있다.
포지티브 톤 이미징의 경우, 적합한 현상제는 일반적으로 수성 산 또는 염기일 수 있다. 일부 구현예에서, 수성 염기를 사용하여 보다 선명한 이미지를 얻을 수 있다. 현상제로 인한 오염을 줄이기 위해, 금속 원자가 없는 현상제를 사용하는 것이 바람직할 수 있다. 따라서, 테트라에틸암모늄 하이드록사이드, 테트라프로필암모늄 하이드록사이드, 테트라부틸암모늄 하이드록사이드 또는 이들의 조합과 같은 4차 암모늄 하이드록사이드 조성물은 바람직한 포지티브 톤 현상제이다. 일반적으로, 특히 관심 있는 4차 암모늄 하이드록사이드는 화학식 R4NOH로 나타낼 수 있으며, 여기서 R = 메틸기, 에틸기, 프로필기, 부틸기, 또는 이들의 조합이다. 본 명세서에 기재된 코팅 물질은 일반적으로 현재 폴리머 레지스트에 일반적으로 사용되는 동일한 현상제, 특히 테트라메틸 암모늄 하이드록사이드(TMAH)로 현상될 수 있다. 상업용 TMAH는 2.38 중량%로 입수가능하다. 또한, 혼합된 4차 테트라알킬-암모늄 하이드록사이드가 사용될 수 있다. 일반적으로, 현상제는 약 0.5 내지 약 30중량%, 추가의 구현예에서는 약 1 내지 약 25중량%, 다른 구현예에서는 약 1.25 내지 약 20중량%의 테트라-알킬암모늄 하이드록사이드 또는 유사한 4차 암모늄 하이드록사이드를 포함할 수 있다. 당업자는 상기 명시적인 범위 내의 현상제 농도의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다.
네거티브 톤 이미징의 경우, 현상제는 전구체 용액을 형성하는 데 사용되는 용매와 같은 유기 용매일 수 있다. 일반적으로, 현상제 선택은 조사된 코팅 물질과 조사되지 않은 코팅 물질에 대한 용해도 매개변수뿐만 아니라 현상제 휘발성, 가연성, 독성, 점도 및 다른 공정 재료와의 잠재적인 화학적 상호작용에 의해 영향을 받을 수 있다. 특히, 적합한 현상제는 예를 들어 방향족 화합물(예: 벤젠, 자일렌, 톨루엔), 에스테르(예: 프로필렌 글리콜 모노메틸 에스테르 아세테이트(PGMEA), 에틸 아세테이트, 에틸 락테이트, n-부틸 아세테이트, 부티로락톤), 알코올(예: 4-메틸-2-펜탄올, 1-부탄올, 이소프로판올, 1-프로판올, 메탄올), 케톤(예: 메틸 에틸 케톤, 아세톤, 시클로헥사논, 2-헵타논, 2-옥타논), 에테르(예: 테트라하이드로푸란, 디옥산, 아니솔) 등을 포함한다. 개선된 현상제 조성물은 "Organometallic Photoresist Developer Compositions and Processing Methods"라는 명칭의 Jiang 등의 공개된 미국 특허 출원 제2020/0326627호에 기재되어 있으며, 상기 문헌은 본 명세서에 참고로 포함된다. 개선된 현상제 용액은 일반적으로 기준 유기 용매 조성물 및 기준 용매 조성물보다 극성 및/또는 수소 결합 특성이 더 높은 첨가제 조성물을 포함한다. 일 예에서, 개선된 현상제 조성물은 PGMEA 및 아세트산을 포함할 수 있다. 현상은 약 5초 내지 약 30분, 추가 구현예에서는 약 8초 내지 약 15분, 추가적인 구현예에서는 약 10초 내지 약 10분 동안 수행될 수 있다. 당업자는 상기 명시적인 범위 내의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다.
현상 후, 남아 있는 현상제를 제거하고 패턴화된 라인의 충실도를 향상시키기 위해 새로 형성된 레지스트 패턴에 대해 하드 베이크와 같은 고정 단계를 수행하는 것이 바람직할 수 있다. 이러한 현상 후 베이킹 단계는 하기에 추가로 설명되는 바와 같이 다중 패터닝 맥락에서 고정 단계로 사용될 수 있다. 일반적으로 하드 베이크 조건은 PEB 단계와 유사할 수 있다. 일부 구현예에서, 하드 베이크는 주변 환경에서 수행될 수 있고, 추가적인 구현예에서 PEB는 H2O, CO2, CO, SO2, H2, 또는 상기에서 인용된 '679 특허 출원에 기재된 것들과 같은 반응성 가스의 존재 하에 수행될 수 있다. 일부 구현예에서, 하드 베이크는 적어도 약 45℃, 일부 구현예에서는 약 45℃ 내지 약 400℃, 추가적인 구현예에서는 약 50℃ 내지 약 300℃ 및 추가 구현예에서는 약 60℃ 내지 약 250℃의 온도에서 수행될 수 있다. 하드 베이크는 일반적으로 적어도 약 0.1분 동안, 추가 구현예에서 약 0.5분 내지 약 30분, 추가적인 구현예에서 약 0.75분 내지 약 10분 동안 수행될 수 있다. 당업자는 상기 명시적인 범위 내에서 PEB 온도 및 시간의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다. 일부 다중 패터닝 공정 구현예에서, 후속 패터닝 단계 동안 초기 패턴의 추가 현상을 제공하기 위해 현상 후 베이킹 단계를 제한, 회피 또는 지연시키는 것이 바람직할 수 있다.
다중 패터닝
표면에 유기금속 재료의 초기 패턴을 형성한 후, 유기금속 포토레지스트의 또 다른 층이 패턴 위에 침착될 수 있다. 유기금속 패터닝 조성물의 공정 이점으로 인해, 일반적으로 사전 패턴화된 하층으로부터 후속 패터닝 레지스트를 분리하기 위한 중간 프로세싱 단계가 사용되지 않는다. 노광 및/또는 PEB 단계 동안 발생하는 화학적 변화로 인해, 유기금속 포토레지스트의 기존 패턴은 코팅 조성물에 불용성이다. 포토레지스트의 이러한 특성은 당업자에게 공지된 방법으로 종래의 폴리머 레지스트에 대해 보다 복잡한 프로세싱을 필요로 하는 패터닝 접근법을 가능하게 한다.
예를 들어, 기존의 레지스트를 사용하여 패턴을 이중화하려면 LELE(litho-etch lito-etch) 공정 또는 스페이서 정렬 패터닝 방식을 사용하여 레지스트 패턴을 다른 매체로 전사해야 한다. 전형적인 LELE 공정에서, 제1 포토레지스트 패턴은 일반적으로 초기에 패터닝되지 않은 하드마스크 층으로 전사되고, 그 후 제2 리소그래피 공정이 수행되어 제2 포토레지스트 패턴을 형성하고, 그 후 제2 에칭이 수행되어 제2 패턴을 하드마스크 내로 전사한다. 더 작은 피치의 두 패턴을 결합함으로써 하드마스크의 최종 패턴은 원래의 포토레지스트 패턴보다 더 작은 피치가 될 수 있다. SADP(spacer-aligned double patterning) 공정과 같은 일반적인 스페이서 정렬(spacer-aligned) 공정에서는, 초기 포토레지스트 패턴에 포토레지스트 물질보다 에칭 저항성이 높은 스페이서 물질을 컨포멀하게 침착시킨 다음, 측벽만 남도록 스페이서 재료의 일부를 먼저 제거하고 나머지 포토레지스트 패턴이 벗겨지도록 기판 전체에 일련의 에칭을 수행하여, 초기 레지스트 패턴보다 더 작은 피치를 갖는 스페이서 재료 패턴을 형성한다. 이러한 공정은 달성하기 위해서는 다중 프로세싱 단계를 필요로 하며, 각 단계는 비용과 복잡성을 추가하고 결함 형성 가능성을 높인다.
본 명세서의 다중 패터닝 개념은 일반적으로 후속 패턴과 제1 패턴의 선택된 관계를 갖는 순차적 패터닝 단계를 포함한다. 이러한 관계는 도 1a 내지 1e에 개략적으로 표시된다. 도 1a에서, 피쳐 간격이 효과적으로 감소되도록 제1 패턴의 피쳐들 사이에 피쳐를 형성하도록 제2 패턴이 현상된다. 이 도면에서 볼 수 있듯이 패턴은 규칙적으로 이격된 스트라이프이다. 도 1b를 참조하면, 제1 패턴을 오버레이하는 제2 패턴은 제1 패턴과 교차하고, 이 특정 구현예에서, 제1 패턴과 직교한다. 도 1b에 도시된 각 패턴은 다시 규칙적으로 이격된 일련의 스트라이프이다. 도 1c를 참조하면, 제1 패턴을 오버레이하는 제2 패턴은 제1 패턴에 대해 비스듬한 피쳐를 갖고, 두 패턴의 피쳐는 규칙적으로 이격된 스트라이프이다. 도 1a 내지 도 1c에 도시된 바와 같이, 스트라이프는 불규칙한 간격으로 만들어질 수 있거나 패터닝 공정의 피쳐 크기 및 간격 제약 내에서 스트라이프에 대해 상이한 형상으로 만들어질 수 있다. 도 1d를 참조하면, 제2 패턴은 제1 패턴과 상이한 특성을 갖는데, 제1 패턴의 피쳐와 제1 패턴의 피쳐와 중첩되는 일부 부분 사이에 또 일부 부분이 있다.
도 1e는 제2 패터닝 단계를 사용한 제1 패턴의 커팅을 도시한다. 제1 패턴의 컷부는 제2 패턴에 의해 가이드된다. 각 단계마다 반대 톤의 패터닝을 사용하여 커팅 공정을 효과적으로 수행할 수 있다. 제2 레지스트 코팅층은 커팅 단계를 수행한 후 추가 프로세싱을 수행하기 전에 완전히 벗겨진다.
본 명세서의 논의는 주로 2개의 패터닝 단계에 초점을 맞추고 있지만, 제3의 또는 그 이상의 패터닝 단계가 순차적으로 수행될 수 있다. 이들 3개 이상의 패터닝 단계는 도 1e 내지 1e의 다중 패터닝 포맷의 간단한 일반화일 수 있다. 도 1e의 커팅 단계는 다른 다수의 패터닝 단계 사이에 산재될 수 있거나 컷 패턴을 형성하기 위해 하나 이상의 이전 패턴을 커팅하는 궁극적인 단계일 수 있다. 목표 전체 패턴을 복수의 마스크를 사용하여 순차적 패턴으로 분할하는 것은 복잡한 절차일 수 있으며, 이러한 마스크를 분할하기 위한 체계적인 접근법은 예를 들어 "Layout Decomposition for Multiple Patterning Lithography"라는 명칭의 Li 등의 미국 특허 제9,679,095호, 및 "System and Method for Decomposition of a Single Photoresist Mask Pattern Into 3 Photoresist Mask Patterns"라는 명칭의 Cheng 등의 공개된 미국 특허 출원 제2015/0040083호에 기재되어 있으며, 상기 두 문헌은 본 명세서에 참고로 포함된다.
본 명세서에 기재된 바람직한 프로세싱 접근법은 높은 에칭 대비 및 패턴 현상의 최적화에 기초하는 패턴 전사 개입 없는 효과적인 다중 패터닝을 제공한다. 따라서, 유기 레지스트를 사용한 다중 패터닝에 사용할 수 있는 것보다 더 적은 단계를 사용하여 다중 패터닝을 수행할 수 있다. 일반적으로, 하위 패턴 또는 패턴들은 네거티브 톤 패턴을 기반으로 한다. 조사된 레지스트는 남아 있는 한편 조사되지 않은 레지스트는 현상제에 의해 제거된다. 후속 층들 또는 레지스트는 레지스트용 용매가 초기 패턴을 손상시키지 않고 침착될 수 있다. 패턴의 현상 후에, 패터닝 후 고정 단계, 즉 베이킹 단계는 패턴을 안정화시키기 위해 선택적으로 수행될 수 있으며, 이러한 고정 단계는 일반적으로 다중 패터닝 단계 이후에 전체 패터닝 품질을 개선하는 데 바람직할 수 있다. 현상제가 조사된 레지스트 조성물 및 투과성 패턴의 노광된 부분 둘 모두를 제거하도록 포지티브 톤 패턴 단계를 사용하여 커팅 단계를 수행할 수 있다. 커팅을 위한 포지티브 톤 패터닝은 유기금속 패터닝 조성물 또는 유기 레지스트로 수행될 수 있으며, 이는 화학적 증폭 레지스트(CAR)일 수 있다. 높은 패턴 대비로 인해 패턴 전사 없이 적절한 작업이 가능하다.
따라서 도 1a 내지 도 1d에 도시된 바와 같은 다중 패터닝의 경우, LFLF(litho-freeze-litho-freeze) 공정(고정 단계가 선택적이고/이거나 조정가능함)은 단일 패터닝 접근법에 비해 더 복잡하고 더 높은 밀도 또는 개선된 패턴을 달성하는 데 있어서 더 간단한 프로세싱 방식을 가능하게 하고, 기존 레지스트에서 사용할 수 있는 다른 다중 패터닝 접근법에 비해 더 간단한 프로세싱 방식을 가능하게 한다. 특히 관심 있는 구현예에서, 현재 프로세싱은 기판 조성에 의해 제한되지 않고 더 많은 이용가능성을 제공하는 기판 위의 하드마스크라는 이점이 있다. 복잡한 구조를 처리하는 동안, 패터닝 단계 시작시 기판의 상단 표면의 조성이 다양할 수 있도록 구성되고 패턴화된 많은 재료 층들이 있을 수 있다.
도 2a는 LFLF 공정의 흐름도를 도시한다. 도 2a를 참조하면, 공정을 시작하기 위해 기판이 얻어지고(10), 하드마스크 층이 기판 위에 배치된다(12). 두 개의 리소그래피 공정이 평행한 수직 배열 단계로 도시된다. 제1 리소그래피 공정 동안, 유기금속 포토레지스트는 전술한 바와 같은 방법을 사용하여 기판 상에 침착된다(14). 그 다음, 레지스트는 패턴화된 방사선 노광(16) 및 현상(18)을 받아 잠상으로부터 이미지 또는 패턴을 형성한다. 패턴을 추가로 안정화하기 위해, 구조는 선택적으로 고정(20)을 거쳐 원래의 현상된 패턴에 대한 손상을 감소시키면서 후속 리소그래피 단계를 허용할 수 있다. 고정은 상기 기재된 바와 같은 현상 후 베이킹 단계 및/또는 추가의 패터닝되지 않은 UV 조사 단계를 사용하여 수행될 수 있다. 현상 후 UV 조사는 남은 탄소-금속 결합을 단편화하여 추가 프로세싱과 관련하여 재료를 추가로 안정화하는 데 사용할 수 있다. 블랭킷 UV 조사 단계는 마스크 없이 동일한 도구에서 수행할 수 있다. 이후, 제1 리소그래피 공정에서 형성된 현상 패턴을 제거하지 않고 후속 리소그래피 공정을 수행할 수 있다.
제2 리소그래피 공정은 유사하게 제1 리소그래피 공정의 고정되고 현상된 패턴 위에 유기금속 포토레지스트를 침착(22)하고, 제2 잠상을 형성하기 위한 유기금속 레지스트의 방사선 노광(24), 제2 잠상의 현상(26), 및 선택적으로 2차 현상 후 패턴을 안정화하기 위해 제2 하드베이크 고정(28)을 수행하는 단계를 포함한다. 도 2a의 병렬 단계 세트에 도시된 것과 같은 훨씬 더 많은 리소-고정 공정이 유기금속 포토레지스트의 원하는 패턴이 얻어질 때까지 수행될 수 있다. 패터닝이 완료되면 장치에 대한 추가 프로세싱(30)이 수행될 수 있다. 2개 이상의 리소그래피 단계를 수행하기 위한 공정을 단순화함으로써, 장치 제조를 보다 효율적으로 만들 수 있다.
커팅 단계는 도 2의 리소그래피 단계와 유사하지만, 선택적인 하드베이크 및 추가 프로세싱(추가 리소그래피 단계 또는 디바이스 형성의 다음 단계) 이전에 커팅 단계에 따라 노출되지 않은 레지스트가 제거된다. 커팅 공정은 도 2b에 도시되어 있다. 이전 패터닝(32)이 완료된 후, 유기금속 포토레지스트가 침착(34)된다. 그 다음, 레지스트 층은 패턴화된 방사선으로 노광되어(36) 잠상을 형성한다. 잠상은 포지티브 톤 레지스트로서 현상(38)된다. 커팅 공정에서, 현상(38)은 조사된 레지스트 뿐만 아니라 이전의 리소그래피 패터닝 단계로부터 조사된 레지스트로부터 형성된 하부 패턴화된 레지스트의 노광된 영역을 제거하는 데에도 효과적일 수 있다. 따라서, 공정 단계(38)는 동시 현상 및 커팅을 포함한다. 현상/커팅(38) 후에, 노광되지 않은 레지스트가 스트리핑(40)된다. 스트리핑(40) 후에, 패턴을 안정화시키기 위해 선택적 패터닝 후 베이킹이 수행될 수 있다(42). 그 다음 추가적인 프로세싱을 수행할 수 있다(30).
"열 고정"을 이용한 이중 패터닝 공정이 도 3에 개략적으로 나타나 있다. 초기 구조(50)는 하드마스크 코팅(54)이 있는 기판(52)을 포함한다. 기판(52)은 프로세싱 대상이 될 수 있고 별개의 조성 및/또는 그 자체의 패터닝을 가질 수 있거나 갖지 않을 수 있는 상부 섹션(56)을 포함한다. 프로세싱(58)의 제1 스테이지에서, 제1 패턴(60)이 하드마스크(54) 위에 형성된다. 패터닝 스테이지는 제1 패턴을 형성하기 위한 제1 수직 컬럼의 단계의 맥락에서 도 2에 개략적으로 나타난 바와 같은 여러 단계를 포함한다. 그 다음, 제2 패터닝 스테이지가 수행되어(62) 하드마스크(54) 위에 제2 패턴(64)을 형성한다. 도 3은 더 높은 해상도의 전체 패턴을 형성하기 위해 제1 패턴 내의 제2 패턴을 도시하지만, 제2 패턴은 도 1a 내지 도 1e에 도시된 임의의 유형일 수 있다. 추가적인 패터닝 스테이지가 수행될 수 있고, 전체 공정의 맥락에서, 제2 패턴(64)은 최종 패턴으로 간주될 수 있다.
제2의 또는 최종 패턴(64)이 형성되면, 전체 패턴을 하드마스크(54)에 전사하고 나머지 레지스트를 스트리핑하여 패턴화된 하드마스크(68)를 형성함으로써 패턴을 추가로 처리(66)할 수 있다. 그 다음, 하드마스크 패턴은 기판(52)의 상부 섹션(56)으로 전사된다. 상부 섹션(56)으로의 패턴 전사는 에칭된 기판(72)을 형성하기 위한 에칭(70) 또는 부착물(76)을 갖는 패턴화된 하드마스크(68)를 형성하기 위한 침착(74) 후, 패턴화된 기판을 형성하기 위한 하드마스크 에칭(78)을 포함할 수 있다.
이 공정을 다중 코팅 및 패턴 단계로 확장하는 것이 간단하며 이러한 확장이 고려되고 본 개시내용 내에 있음에 주목한다. 다중 패터닝과 관련하여, 본 명세서에 기술된 무기 코팅 물질과 기존의 유기 레지스트 사이의 중요한 차이점은 유기 레지스트가 열 베이킹 후에도 기존의 레지스트 주조 용매에 가용성으로 남아 있다는 것이다. 본 명세서에 기재된 레지스트 재료는 유기 용매에 용해되지 않고 후속 코팅층이 적용될 수 있도록 열 베이킹으로 축합될 수 있다. 본 발명의 유용한 적용의 몇몇 예는 상이한 조명 조건을 필요로 하는 2개의 별개의 패턴을 결합하는 것, 예를 들어 기둥 패턴을 라인-공간 패턴과 결합하는 것을 포함한다. 본 발명의 다른 이점은, 원하는 패턴의 일부가 인쇄될 수 있고 이후 패턴의 다른 부분을 인쇄하여 하나의 리소그래피 단계에서 달성하기 어려운 전체 패턴을 인쇄할 수 있는 원하는 패턴에 대한 스티칭(stitching) 이점을 제공할 수 있다. 다중 노광 매체는 또한 본 발명에 대해 실행될 수 있으며, 여기서 다중 노광 매체는 또한 EUV, ArF, KrF 및 전자선과 같은 공급원에서 독립적으로 선택된 다중 노광 단계가 사용될 수 있고, 각자의 패턴은 하나의 노광 공급원만을 사용할 때 어렵거나 달성할 수 없는 새로운 패턴으로 결합된다.
일부 구현예에서, 이웃하는 구조의 인접한 선형 세그먼트는 약 60 nm(30 nm 하프 피치) 이하의 평균 피치(하프 피치), 일부 구현예에서는 약 50 nm(25 nm 하프 피치) 이하의 평균 피치(하프 피치), 추가의 구현예에서는 약 34 nm(17 nm 하프 피치) 이하의 평균 피치(하프 피치)를 가질 수 있다. 피치는 설계에 의해 평가되고 하향식 이미지와 같은 주사 전자 현미경(SEM)으로 확인할 수 있다. 본 명세서에 사용된 바와 같이, 피치는 공간적 주기, 또는 반복되는 구조적 요소의 중심-대-중심 거리를 말하며, 당업계에서 일반적으로 사용되는 바와 같이 하프-피치는 피치의 절반이다. 패턴의 피쳐 치수는 피쳐의 평균 너비와 관련하여 기술될 수도 있으며, 일반적으로 모서리 등으로부터 멀리 떨어져 평가된다. 또한, 피쳐는 재료 요소 및/또는 재료 요소 사이의 간격을 지칭할 수 있다. 일부 구현예에서, 평균 폭은 약 25 nm 이하, 추가 구현예에서 약 20 nm 이하, 추가적인 구현예에서 약 15 nm 이하일 수 있다. 당업자는 상기 명시적인 범위 내에서 피치 및 평균 폭의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다.
일부 구현예에서, 평균 선폭 거칠기는 약 5.5 nm 이하, 일부 구현예에서는 약 5 nm 이하, 추가 구현예에서는 약 4.5 nm 이하일 수 있다. 실시예에 나타난 바와 같이, 선폭 거칠기는 임계 치수의 함수로 평가될 수 있다. 선폭 거칠기 평가는 하향식 SEM 이미지 분석에 의해 수행되어 평균 선폭에서 3σ 편차를 도출한다. 평균은 고주파수 거칠기와 저주파 거칠기, 즉 짧은 상관 길이와 긴 상관 길이를 각각 포함한다. 유기 레지스트의 선폭 거칠기는 주로 긴 상관 길이를 특징으로 하는 반면, 본 발명의 유기 금속 코팅 물질은 상당히 짧은 상관 길이를 나타낸다. 패턴 전사 공정에서, 짧은 상관 거칠기는 에칭 공정 동안 매끄럽게 하여 훨씬 더 높은 충실도의 패턴을 생성할 수 있다. 당업자는 상기 명시적인 범위 내에서 선폭 거칠기의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다. 일부 패터닝 결함을 추가로 제거하고 패턴 충실도를 개선하기 위해 헹굼이 수행될 수 있으며, "Patterned Organometallic Photoresists and Methods of Patterning"라는 명칭의 Kocsis 등의 공개된 미국 특허 출원 제2020/0124970호에 기술되어 있으며, 이는 본 명세서에 참고로 포함된다.
본 명세서의 방법을 사용하여 원하는 패턴을 형성한 후, 결과적인 구조는 기능적 집적 회로를 달성하기 위해 목적에 따라 추가로 처리될 수 있다.
특정 다중 패터닝 구현예
일반적으로, 유기금속 패터닝 레지스트는 감소된 공정 단계와 EUV 프로세싱을 활용할 수 있는 우수한 능력을 갖는 효과적인 다중 패터닝을 위한 유용한 플랫폼을 제공한다. 이 섹션에서, 3개의 다중 패터닝 시나리오는 다중 패터닝의 이러한 대표적인 구현예에 대한 보다 구체적인 설명을 제공하기 위해 적절한 도면과 함께 더 자세히 논의된다. 먼저, 순차적인 네거티브 톤 패터닝이 수행되고 일반적으로 두 패터닝 단계 사이에서 수행되는 열 처리에 기초한 고정 단계가 있는 리소-고정-리소-고정 공정이 기술된다. 고정 단계는 선택 사항일 수 있지만 일반적으로 바람직하다. 둘째, 포지티브 톤 현상이 동시에 첫 번째 패턴의 일부를 커팅하는 리소(네거티브)-리소(포지티브/커팅)-부분 스트리핑-고정 공정이 기술된다. 고정은 첫 번째 리소그래피 단계 후에 선택적으로 수행될 수 있다. 부분 스트리핑 단계는 커팅 단계가 완료된 후 포지티브 톤 패터닝에서 남은 레지스트를 제거하여 네거티브 톤 프로세싱에 의해 형성된 제1 패턴의 커팅되지 않은 나머지 부분을 남긴다. 제3 세트의 공정 단계에서, 현상 단계를 수행하기 전에 복합 잠상을 형성하기 위해 감방사선성 코팅이 2개의 별개의 마스크 패턴에 노출되는 패턴-패턴-리소 공정이 수행된다. 그 다음 현상은 복합 패턴을 형성한다. 이러한 공정은 또한 도면에 대한 논의 이후 추가로 논의되는 바와 같이 적절한 방식으로 결합될 수 있다.
도 4 내지 도 15는 제2 리소그래피 단계로부터의 피쳐가 제1 리소그래피 단계의 패턴 내에 배치되는 리소-고정-리소-고정 공정에 관한 것이다. 방사선 노광 후, 제1 감광성 유기금속 재료는 조사된 영역 및 조사되지 않은 영역으로 패턴화된다. 도 4 및 5를 참조하면, 기판(102), 하드마스크 층(103), 및 패턴화된 유기금속 재료(104)를 포함하는 패턴화된 구조(100)가 도시된다. 패턴화된 유기금속 재료(104)는 조사된 코팅 물질의 축합 영역(110, 112, 116) 및 조사되지 않은 코팅 물질의 비축합 영역(118, 120)을 포함한다. 축합 영역(110, 112, 116)과 비축합 영역(118, 120)에 의해 형성된 패턴은 유기금속 재료에서의 잠상을 나타내며, 잠상의 현상은 다음 섹션에서 논의한다. 이러한 각 층에 적합한 재료는 상기 자세히 설명되어 있으며, 상기 논의는 여기에서 그대로 재현된 것으로 간주될 수 있다.
도 6 및 도 7을 참조하면, 도 4 및 도 5에 도시된 구조의 잠상은 현상제와의 접촉을 통해 현상되어 패턴화된 구조(130)를 형성하였다. 네거티브 톤 현상을 위한 현상 조성물은 위에서 상세하게 설명되었으며, 그 논의는 본 논의의 일부로 간주될 수 있다. 이미지 현상 후, 하드마스크 층(103)은 개구부(132, 134)를 통해 상부 표면을 따라 노출된다. 개구부(132, 134)는 각각 비축합 영역(118, 120)의 위치에 위치된다.
패턴화된 구조(130)의 현상 후 하드베이크 가열 후에, 유기금속 재료의 패턴은 화학적으로 "고정"되고 따라서 후속 네거티브 톤 리소그래피 공정에서 보다 불용성이며 안정하다. 도 8 및 도 9를 참조하면, 기판(102), 하드마스크 층(103), "고정된" 유기금속 재료(162, 164, 166), 및 상기 기재한 용액 침착 및/또는 증기 침착 방식을 사용하여 침착된 제2 감광성 유기금속 재료(170)를 포함하는 패턴화된 구조(160)가 도시된다. "고정된" 영역(162, 164, 166)에 의해 형성된 패턴은 고정된 영역이 감광성 유기금속 재료를 침착시키는데 사용되는 용매에 용해되지 않기 때문에 침착 방식에 관계없이 제2 감광성 유기금속 재료(170) 아래에 유지된다.
방사선 노광 후, 제2 감광성 유기금속 재료는 조사된 영역 및 조사되지 않은 영역으로 패턴화된다. 도 10 및 11을 참조하면, 기판(102), 하드마스크 층(103), 유기금속 재료의 "고정된" 이전 패턴(162, 164, 166), 및 패턴화된 유기금속 재료(180)를 포함하는 패턴화된 구조(190)가 도시되어 있다. 패턴화된 유기금속 재료(180)는 조사된 코팅 물질의 영역(198, 200) 및 조사되지 않은 코팅 물질의 비축합 영역(192, 194, 196)을 포함한다. 축합된 영역(198, 200)에 의해 형성된 패턴은 "고정된" 유기금속 재료(162, 164, 166)에 의해 형성된 패턴에 평행하고 오프셋된다. 축합 영역(198, 200)과 조사되지 않은 영역(192, 194, 196)에 의해 형성된 패턴은 제2 유기금속 재료로의 잠상을 나타낸다. 패턴을 제2 잠상이라고 한다.
도 12 및 도 13를 참조하면, 도 10 내지 도 11에 도시된 구조의 제2 잠상은 네거티브 톤 이미지용 현상제와의 접촉을 통해 현상되어 이중 패턴 라인 구조(220)를 형성하였다. 제2 잠상의 현상 후, 유기금속 재료(232, 234)는 축합된 영역(198, 200)의 위치에 각각 위치된다. "고정된" 유기금속 재료(162, 164, 166)는 각각 축합된 영역(110, 112, 116)의 위치에 위치된다. 하드마스크 층(103)은 개구부(222, 224, 226, 228)를 통해 상부 표면을 따라 노출된다.
도 14 및 15를 참조하면, 에칭된 하드마스크(274)는 개구부(264, 266, 268, 270)를 통해 상부 표면을 따라 기판(102)을 노출시킨다. 패턴화된 기판(250)은 하드마스크 영역(252, 254, 256, 258, 262)으로 이루어진다. 하드마스크 영역(252, 254, 256, 258, 262)은 각각 도 9 및 도 10에 도시된 유기금속 재료(232, 234) 및 "고정된" 유기금속 재료(162, 164, 166)의 위치에 대응한다. 패턴화된 기판(250)의 추가 프로세싱은 예를 들어 도 3에 도시된 바와 같이 개구부(264, 266, 268, 270)를 통해 기판(102)으로 에칭하는 단계를 포함할 수 있다. 대안적으로 또는 추가적으로, 개구부(264, 266, 268, 270)를 통해 침착물이 기판에 전달될 수 있으며, 이는 기판을 먼저 에칭하거나 에칭하지 않고 수행될 수 있다.
도 16 내지 도 21은 제2 리소그래피 단계로부터의 피쳐가 제1 리소그래피 단계의 패턴 위에 수직으로 놓이는 리소-고정-리소-고정 공정에 관한 것이다. 도 16은 조사된 영역 및 조사되지 않은 영역을 갖는 제2 감광성 유기금속 재료를 패터닝하기 위해 방사선에 의해 패턴화된 구조(160)(도 8 및 도 9)의 노출을 도시한다. 기판(102), 하드마스크 층(103), "고정된" 유기금속 재료(162, 164, 166) 및 패턴화된 유기금속 재료(282)를 포함하는 결과적인 패턴화된 구조(280)가 도시되어 있다. 패턴화된 유기금속 재료(282)는 조사된 코팅 물질의 축합된 영역(290, 292, 294) 및 조사되지 않은 코팅 물질의 영역(284, 286, 288)을 포함한다. 축합된 영역(290, 292, 294)에 의해 형성된 패턴은 "고정된" 유기금속 재료(162, 164, 166)에 의해 형성된 패턴에 대해 수직이다. 축합된 영역(290, 292, 294) 및 조사되지 않은 영역(284, 286, 288)에 의해 형성된 패턴은 제2 유기금속 재료로의 잠상을 나타낸다. 패턴을 제2 잠상이라고 한다. 도 18 내지 도 19a 내지 도 19c를 참조하면, 도 16 및 도 17에 도시된 구조의 제2 잠상은 네거티브 톤 이미지용 현상제와의 접촉을 통해 현상되어 크로스헤치 패턴 구조(310)를 형성한다. 제2 잠상의 현상 후, 축합된 영역(290, 292, 294)의 위치에 각각 유기금속 재료(312, 314, 316)가 위치한다. 유기금속 재료(312, 314, 316)의 일부는 "고정된" 유기금속 재료(162, 164, 166)에 인접하고 겹치지만 "고정된" 유기금속 재료(162, 164, 166)를 커팅하지 않는다. "고정된" 유기금속 재료(162, 164, 166)는 각각 축합된 영역의 위치(110, 112, 116)에 위치한다. 하드마스크 층(103)은 개구부(324, 326, 328, 330, 332, 334)를 통해 상부 표면을 따라 노출된다.
크로스헤치 패턴 구조(310)의 하드베이크 가열 후, 유기금속 재료의 패턴은 화학적으로 "고정"되고 따라서 더 불용성이고 후속 처리에 대해 안정하다. 도 20 내지 도 21을 참조하면, 패턴화된 기판(340)은 도 18 및 도 19a 내지 도 19c에 도시된 유기금속 재료(312, 314, 316) 및 "고정된" 유기금속 재료(162, 164, 166)의 위치에 대응하는 패턴을 갖는 패턴화된 하드마스크(342)로 이루어진다. 패턴화된 하드마스크(342)는 개구부(344, 346, 348, 350, 352, 354)를 통해 상부 표면을 따라 기판(102)을 노출시킨다. 패턴화된 기판(340)의 추가 프로세싱은 예를 들어 도 3에 도시된 바와 같이 개구부(344, 346, 348, 350, 352, 354)를 통해 기판(102)으로 에칭하는 단계를 포함할 수 있다. 대안적으로 또는 추가적으로, 기판을 먼저 에칭하거나 에칭하지 않고 수행될 수 있는 개구부(344, 346, 348, 350, 352, 354)를 통해 침착물이 기판에 전달될 수 있다.
도 22a 내지 도 22f는 제2 리소그래피 단계로부터의 피쳐가 네거티브 톤 패터닝 단계 후 포지티브 톤 패터닝을 사용하여 제1 리소그래피 단계로부터의 피쳐를 커팅하는 리소-리소 공정에 관한 것이다. 도 22a 및 도 22b는 하드마스크 층(362) 상의 패턴으로 유기금속 재료(360)를 포함하는 패턴화된 구조를 도시한다. 일반적으로, 하드마스크 층(362)은 기판(102) 상에 있다. 유기금속 재료(360)는 조사되었고 적어도 부분적으로 축합되었지만 하드베이크 고정 처리되지 않았을 수 있는 제1 감광성 유기금속 재료이다. 하드베이크 고정 단계는 도 22a의 구조를 안정화시킬 수 있지만, 하드베이크 고정은 구조를 커팅하기 위한 후속 현상을 늦출 수 있다. 유기금속 재료(360)는 네거티브 톤 현상제와의 접촉을 통해 현상되어 패턴을 현상하였다. 도 22c 및 도 22d에서, 제2 감광성 유기금속 재료(364)가 상기 기재된 용액 침착 및/또는 증기 침착 방식을 사용하여 도 22a 및 도 22b의 패턴화된 구조 상에 침착되었고 방사선에 의해 패터닝되어 조사된 영역(366)을 갖는 잠상을 형성하였다. 일부 구현예에서, 제1 감광성 유기금속 재료 및 제2 감광성 유기금속 재료는 동일한 재료일 수 있다. 도 22e를 참조하면, 조사된 영역(366)은 포지티브 톤 현상제로 현상되어 개방 영역(370)을 형성하였다. 포지티브 톤 현상제는 또한 동일한 현상 단계에서 노광된 유기금속 재료(360)를 제거한다. 조사된 제2 감광성 유기금속 재료 및 노광된 유기금속 재료(360) 모두의 제거와 함께, 개방 영역(370)은 하드마스크 층(362)을 노출시킨다. 도 22f를 참조하면, 노광되지 않은 제2 유기금속 재료(364)가 스트리핑되어 하드마스크 층(362) 상의 패턴화된 레지스트(376)를 드러낸다. 패턴화된 레지스트(376)에 걸쳐있는 컷부(374)는 개방 영역(370)에 대응한다. 도 22d의 패턴화된 구조의 추가 프로세싱은 일반적으로 하드마스크 층(362) 아래의 기판의 일부를 노출시키기 위해 하드마스크 층(362)을 통한 에칭을 포함한다. 이어서, 나머지 패턴화된 유기금속 재료를 스트리핑할 수 있다.
도 23a 내지 도 23d는 제2 조사 단계로부터의 잠재 피쳐가 제1 리소그래피 단계로부터의 잠재 피쳐에 추가되는 리소-리소-에칭 공정에 관한 것이고, 이어서 단일 단계로 현상되어 유기금속 재료의 패턴을 형성한다. 도 23a는 조사된 유기금속 재료의 축합된 영역(380) 및 조사되지 않은 유기금속 재료의 영역(382)을 포함하는 패턴화된 구조를 도시한다. 축합 영역(380) 및 조사되지 않은 영역(382)에 의해 형성된 패턴은 유기금속 재료로의 잠상을 나타낸다. 패턴을 제1 잠상이라 한다. 도 23b는 조사된 유기금속 재료의 축합된 영역(384)을 형성하기 위해 조사 후의 도 23a의 패턴화된 구조를 도시한다. 축합된 영역(380), 축합된 영역(384) 및 조사되지 않은 영역(385)에 의해 형성된 패턴은 유기금속 재료로의 잠상을 나타낸다. 이 패턴을 결합 잠상이라 한다. 도 23c를 참조하면, 도 23b에 도시된 구조의 결합 잠상은 네거티브 톤 이미지를 위한 현상제와의 접촉을 통해 현상되어 조사되지 않은 영역(385)으로부터 조사되지 않은 유기금속 재료를 제거하여 도 23c의 패턴화된 구조를 형성하였다. 도 23c의 패턴화된 구조는 하드마스크 층(386) 상의 패턴화된 유기금속 재료(388)를 포함한다. 도 23d를 참조하면, 도 23c의 패턴화된 구조에 따라 하드마스크 층(386)을 에칭한 후, 에칭된 하드마스크(394)는 상부 표면을 따라 기판(390)을 노출시킨다. 에칭된 하드마스크(394)는 패턴화된 유기금속 재료(388)의 위치에 대응한다. 일반적으로, 패턴화된 유기금속 재료(388)는 추가 프로세싱 전에 스트리핑된다. 도 23d의 패턴화된 구조의 추가 프로세싱은 예를 들어 도 3에 도시된 바와 같이 기판(390)으로의 에칭을 포함할 수 있다. 대안적으로 또는 추가적으로, 에칭된 하드마스크(394)에 의해 형성된 개구부를 통해 침착물이 기판(390)에 전달될 수 있으며, 이는 기판을 먼저 에칭하거나 에칭하지 않고 수행될 수 있다.
도 24a 내지 도 24d는 이전 공정과 유사하지만 네거티브 톤 현상제 대신 포지티브 톤 현상제를 사용하는 리소-리소-에칭 공정에 관한 것이다. 도 24a는 조사된 유기금속 재료의 축합된 영역(394) 및 조사되지 않은 유기금속 재료의 영역(396)을 포함하는 패턴화된 구조를 도시한다. 조사된 영역(394) 및 조사되지 않은 영역(396)에 의해 형성된 패턴은 유기금속 재료로의 잠상을 나타낸다. 이 패턴을 제1 잠상이라 한다. 도 24b는 조사된 유기금속 재료의 조사된 영역(398)을 형성하기 위해 조사 후의 도 24a의 패턴화된 구조를 도시한다. 조사된 영역(394), 조사된 영역(398) 및 조사되지 않은 영역(397)에 의해 형성된 패턴은 유기금속 재료로의 잠상을 나타낸다. 이 패턴을 결합 잠상이라 한다. 도 24c를 참조하면, 도 24b에 도시된 구조의 결합 잠상은 포지티브 톤 이미지를 위한 현상제와의 접촉을 통해 현상되어 조사된 영역(394) 및 조사된 영역(398)으로부터 조사된 유기금속 재료를 제거하여 도 24c의 패턴화된 구조를 형성하였다. 도 24c의 패턴화된 구조는 하드마스크 층(402) 상의 패턴화된 유기금속 재료(400)를 포함한다. 도 24d를 참조하면, 도 24c의 패턴화된 구조에 따라 하드마스크 층(402)을 에칭한 후, 에칭된 하드마스크(404)는 상부 표면을 따라 기판(406)을 노출시킨다. 에칭된 하드마스크(404)는 패턴화된 유기금속 재료(400)의 위치에 대응한다. 일반적으로, 패턴화된 유기금속 재료(388)는 추가 프로세싱 전에 스트리핑된다. 도 24d의 패턴화된 구조의 추가 프로세싱은 예를 들어 도 3에 도시된 바와 같이 기판(406)으로의 에칭을 포함할 수 있다. 대안적으로 또는 추가적으로, 에칭된 하드마스크(404)에 의해 형성된 개구부를 통해 침착물이 기판(406)에 전달될 수 있으며, 이는 기판을 먼저 에칭하거나 에칭하지 않고 수행될 수 있다.
도 1 및 도 24d에 도시된 다양한 다중 패터닝 공정은 상기에 일반적으로 기재된 바와 같이 다양한 적당한 방식으로 결합될 수 있다. 아래 실시에는 EUV 리소그래피를 사용하여 유기주석 레지스트에 LFLF를 수행하는 방법을 기술한다.
본 명세서에 기재된 다중 패터닝 공정으로, 약 50 nm 이하, 추가 구현예에서는 40 nm 이하, 다른 구현예에서는 30 nm 이하, 추가적인 구현예에서는 20 nm 내지 30 nm의 평균 크기를 갖는 직사각형 홀이 형성될 수 있다. 크기는 직사각형 홀의 경우 직경 또는 대각선 길이를 의미하며, 당업자는 이를 기준으로 다른 형상에 대한 적절한 크기를 평가할 수 있다. 당업자는 이러한 명시적인 범위 내에서 홀 크기의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다.
실시예: 이중 패터닝 콘택트 홀
이 실시예는 감광성 유기주석 물질의 제1 층이 기판 상에 패턴화되고, 그 후 감광성 유기주석 물질의 후속 층이 패턴화된 제1 층 위에 침착되고 제1 패턴에 수직으로 패터닝되어 크로스헤치 패턴을 생성하는 유기주석 포토레지스트를 사용한 이중 패터닝을 보여준다.
이 실시예에서 기판은 하층으로서 10 nm의 SOG(spin-on-glass)로 코팅된 Si 웨이퍼로 이루어졌지만, 하층의 정체는 이 방법을 실행하는 데 특별히 중요하지 않다. 다른 하층은 적절한 접착력을 제공하고 충분한 리소그래피 성능을 가능하게 하는 한 사용될 수 있다. 이 실시예에서 사용된 유기주석 레지스트는 Inpria Corporation 제조의 YATU1011이었으며, "Organotin Oxide Hydroxide Patterning Compositions, Precursors, And Patterning"라는 명칭의 Meyers 등의 미국 특허 제10,228,618호에 기술된 바와 같은 조성을 갖는다.
제1 유기주석 층은 1394 rpm에서 스핀 코팅을 통해 침착되어 약 22 nm의 두께를 갖는 필름을 제공하고, 이어서 100℃에서 60초 동안 수행되는 PAB(post-application bake) 단계가 뒤따랐다. PAB 단계에 이어, 웨이퍼는 ASML NXE3300 스캐너에서 EUV 방사선에 노광되어 첫 번째 방사선 패턴화된 층을 형성한다. 노광 후, PEB(post-exposure bake)를 170℃에서 60초 동안 수행하였다. 그 다음, 제1 패턴화된 층을 현상하고 250℃에서 60초 동안 하드베이크하여, 이제 패턴화된 기판에서 32.0 nm 피치로 평행한 16.0 nm 라인의 제1 패턴을 생성한다. 하드베이크는 패턴을 "고정"하는데 사용된다; 즉, 후속 리소그래피 공정에서 완전히 불용성으로 만든다.
제1 패턴화된 기판이 생성된 후, 유기주석 물질의 제2 층이 패턴화된 기판의 상부에 침착될 수 있고 제1 유기주석 층의 형성에 사용된 것과 동일한 공정 및 조건을 사용하여 PAB 처리될 수 있다. 다음으로, 웨이퍼를 90° 회전시키고 ASML NXE3300 스캐너에서 EUV 방사선에 노광시켰다. 제1 패턴에 대한 웨이퍼의 90° 회전으로 인해, 제2 노광은 제1 패턴에 대해 수직인 방사선 패턴을 생성한다. 노광 후, 웨이퍼는 170℃에서 60초 동안 PEB 처리되고, 현상되고, 250℃에서 60초 동안 하드베이크되어 이중 패턴화된 기판을 형성한다. 도 25는 최종 제품의 CD-SEM 이미지를 보여준다. 제1 패터닝 단계에서 x 방향의 라인이 생성되고 제2 패터닝 단계에서 y 방향의 라인이 생성되는 크로스헤치 패턴이 보여진다. 크로스헤치 패턴은 32.0 nm 피치로 1.8 nm의 선폭 조도를 갖는 16.0 nm 라인의 유기주석 물질을 가져, 대략 16.6 nm 정사각형 홀을 형성한다. 이 구조는 콘택트 홀을 만드는 데 유용한 방법이 될 수 있다.
두 리소그래피 단계에서, 현상제 조성물은 "Organometallic Photoresist Developer Compositions"라는 명칭의 Jiang 등의 미국 특허 출원 번호 제16/845,511호 기재된 바와 같이 PGME 및 아세트산을 포함하였다. "Organometallic Solution Based High Resolution Patterning Compositions"라는 명칭의 미국 특허 번호 제9,310,684호, 및 "Organotin Oxide Hydroxide Patterning Compositions, Precursors, And Patterning"라는 명칭의 Meyers 등의 미국 특허 번호 제10,228,618호에 기재된 2-헵탄온 및 기타와 같은 다른 현상제가 사용될 수 있다.
상기 구현예는 예시적인 것이며 제한적인 것이 아니다. 추가 구현예도 청구범위에 속한다. 또한, 본 발명은 특정 구현예를 참고로 설명되었지만, 당업자는 본 발명의 사상과 범위를 벗어나지 않으면서 형태 및 세부 사항에 변화가 이루어질 수 있음을 인식할 것이다. 상기 참조로써 인용된 문헌은 본원 내용과 명시적으로 상반되는 어떠한 주제도 포함하지 않도록 제한된다. 특정 구조, 조성물 및/또는 공정이 본 명세서에서의 구성성분, 요소, 성분 또는 다른 부분에 의해 기재되는 정도로, 본원의 발명은, 달리 특별히 언급하지 않는 한, 특정 구현예, 특정 구성성분, 요소, 성분, 다른 부분 또는 이들의 조합을 포함하는 구현예, 뿐만 아니라 본 논의에 제시된 바와 같이 주제의 기본적인 성질을 변경하지 않는 추가적인 특징을 포함할 수 있는 이러한 특정 구현예, 특정 구성성분, 요소, 성분, 다른 부분 또는 이들의 조합으로 본질적으로 이루어진 구현예를 포괄하는 것으로 이해된다. 본 명세서에서 용어 "약"의 사용은 달리 명시적으로 나타내지 않는 한 특정 매개변수에 대한 측정 오차를 지칭한다.

Claims (26)

  1. 기판을 패터닝하는 방법으로서,
    패턴화된 하부구조 위에 감광성 조성물의 층을 조사하여 잠상을 형성하는 단계로서, 상기 감광성 조성물은 금속에 결합된 감방사선성 리간드를 갖는 유기금속 조성물을 포함하고, 상기 패턴화된 하부구조는 기판, 기판 상의 패턴화되지 않은 하드마스크 층, 및 하드마스크 층 상의 제1 패턴을 갖는 패턴화된 금속 산화물계 물질을 순차적으로 포함하고, 상기 감광성 조성물은 패턴화된 금속 산화물계 물질을 갖는 하드마스크 층 위에 위치되고, 상기 잠상에 대응하는 패턴은 제1 패턴과 구별되고, 상기 하드마스크 층은 조사된 감광성 조성물 및 상기 패턴화된 금속 산화물계 물질에 대해 차별적인 에칭을 갖는 단계; 및
    잠상을 현상하여 조사된 감광성 조성물로부터 제2 패턴을 형성하여 현상된 구조를 형성하는 단계를 포함하는, 방법.
  2. 제1항에 있어서, 패턴화된 금속 산화물계 물질은,
    제1 선택된 패턴에 따라 하드마스크의 표면 상의 제1 감광성 유기금속 재료를 포함하는 제1 층을 조사하는 것 - 상기 제1 감광성 유기금속 재료는 금속에 결합된 감방사선성 리간드를 포함함 -; 및
    조사된 제1 층을 현상 조성물과 접촉시켜 조사된 제1 층의 조사되지 않은 부분을 제거하여 패턴화된 금속 산화물계 물질을 형성하는 것에 의해 형성되는, 방법.
  3. 제2항에 있어서, 감광성 재료의 층을 형성하기 전에 약 0.5분 내지 약 30분의 기간 동안 적어도 45℃의 온도로 패턴화된 금속 산화물계 물질을 가열하는 단계를 추가로 포함하는 방법.
  4. 제2항 또는 제3항에 있어서, 제1 층은 약 1 nm 내지 약 100 nm의 두께를 갖는, 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 패턴화된 금속 산화물계 물질은 유기 용매에 용해되지 않는, 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 감광성 조성물의 층은 전구체 조성물을 침착시킴으로써 형성되고, 상기 침착은 침착, 스핀 코팅, 스프레이 코팅 또는 딥 코팅을 포함하는, 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, 감광성 조성물의 층은 약 1 nm 내지 약 50 nm의 두께를 갖는, 방법.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서, 조사는 약 1 mJ/cm2 내지 약 200 mJ/cm2의 선량의 UV 방사선 또는 EUV 방사선 또는 30 kV에서 2 mC/cm2와 동일하거나 초과하지 않는 선량의 전자선을 포함하는, 방법.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서, 현상 단계는 유기 용매를 포함하는 것인, 방법.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서, 제1 패턴은 라인-스페이스 패턴, 기둥(pillar) 패턴 또는 이들의 조합을 포함하고, 잠상에 대응하는 패턴의 돌출부는 제1 패턴과 중첩되거나, 제1 패턴 내에 위치하거나, 또는 이들의 조합인 것인, 방법.
  11. 제1항 내지 제10항 중 어느 한 항에 있어서,
    현상된 구조를 가열 및/또는 조사하여 조사된 감광성 조성물을 축합하여 하드마스크를 패터닝하기에 적합한 복합 패턴을 형성하는 단계;
    패턴화된 하드마스크를 형성하기 위해 복합 패턴 또는 그 일부에 기초하여 하드마스크를 에칭하는 단계; 및
    조사된 감광성 조성물 및 패턴화된 금속 산화물계 조성물을 제거하여 패턴화된 하드마스크 층을 노출시키는 단계를 추가로 포함하는 방법.
  12. 제11항에 있어서, 가열은 약 30분 이하의 기간 동안 45℃ 이상의 온도에서 수행되는, 방법.
  13. 제11항 또는 제12항에 있어서, 노출된 패턴화된 하드마스크 층에 기초하여 기판을 에칭하는 단계 및/또는 기판 상에 충진 물질을 침착하는 단계를 추가로 포함하는 방법.
  14. 기판;
    기판 상의 패턴화되지 않은 하드마스크 코팅;
    하드마스크 코팅 상의 제1 산화주석계 물질의 제1 패턴 - 상기 제1 패턴의 갭은 하드마스크를 덮지 않음 -; 및
    제1 패턴을 갖는 하드마스크 코팅 상의 제2 산화주석계 물질의 제2 패턴을 포함하는 구조로서, 상기 제2 패턴은 제1 패턴과 구별되며, 단, 제2 패턴이 제1 패턴과 중첩되지 않는 경우 제1 패턴의 선폭 거칠기는 제1 패턴의 피쳐 간 거리의 1/4 미만인, 구조.
  15. 제14항에 있어서, 제1 패턴 및/또는 제2 패턴은 라인-스페이스 패턴, 기둥 패턴 또는 이들의 조합을 포함하고, 상기 제2 패턴은 상기 제1 패턴과 중첩되거나, 상기 제1 패턴 내에 위치하거나, 또는 제1 패턴과 겹치는 부분과 제1 패턴 내의 부분을 갖는, 구조.
  16. 제14항에 있어서, 제1 패턴 및 제2 패턴은 크로스헤치 패턴의 홀을 포함하는 복합 패턴을 형성하고, 홀은 패턴화되지 않은 하드마스크 코팅을 노출시키고, 홀은 약 30 nm 이하의 평균 크기를 갖거나, 또는
    제1 패턴 및 제2 패턴은 라인-스페이스 패턴을 포함하는 복합 패턴을 형성하고, 라인-스페이스 패턴은 약 60 nm 이하의 평균 피치를 갖는 구조.
  17. 제14항 내지 제16항 중 어느 한 항에 있어서, 제1 산화주석계 물질 및 제2 산화주석계 물질이 동일한 조성을 갖는, 구조.
  18. 제14항 내지 제17항 중 어느 한 항에 있어서, 제1 산화주석계 물질 및 제2 산화주석계 물질은 유기금속 산화물/수산화물 네트워크를 포함하는, 구조.
  19. 제18항에 있어서, 유기금속 산화물/수산화물 네트워크는 화학식 RzSnO(2-(z/2)-(x/2))(OH)x 로 나타내며, 여기서 0 < z ≤ 2 및 0 < (z + x) ≤ 4, R은 1 내지 31개의 탄소 원자를 갖는 하이드로카르빌 기 또는 이들의 블렌드를 포함하고, R은 탄소-주석 결합을 형성하는, 구조.
  20. 방사선 기반 리소그래피를 사용하여 패턴화된 구조를 형성하는 방법으로서, 구조 상에 지지된 패턴화된 금속 조성물 위에 침착된 패터닝 조성물에서 형성된 잠상에 대해 포지티브 톤 현상 단계를 수행하는 단계를 포함하며, 상기 포지티브 톤 현상 단계는 패터닝 조성물에서의 잠상의 조사된 부분, 및 패턴화된 금속 조성물의 노광된 부분을 제거하여 패턴화된 금속 조성물에서의 컷 패턴을 형성하는, 방법.
  21. 제20항에 있어서, 패턴화된 금속 조성물은
    제1 선택 패턴에 따라 구조의 표면 상에 제1 유기금속 재료를 포함하는 제1 층을 조사하는 것 및
    조사된 제1 층을 현상 조성물과 접촉시켜 조사되지 않은 재료를 제거하는 것에 의해 형성되는, 방법.
  22. 제21항에 있어서, 패터닝 조성물 및 제1 유기금속 재료는 동일한 전구체 조성물로부터 형성되는, 방법.
  23. 제20항 내지 제22항 중 어느 한 항에 있어서,
    패터닝 조성물을 스트리핑하는 단계,
    패턴화된 구조를 가열 및/또는 조사하여 패턴화된 금속 조성물을 추가로 축합하는 단계;
    컷 패턴 또는 그 일부에 기초하여 하드마스크를 에칭하여 패턴화된 구조를 형성하는 단계; 및
    패턴화된 금속 조성물을 제거하여 패턴화된 구조를 노출시키는 단계를 추가로 포함하는 방법.
  24. 패턴화된 구조를 형성하는 방법으로서,
    제1 패턴에 따른 구조를 조사하여 제1 잠상을 형성하는 단계 - 상기 구조는 유기금속 감방사선성 재료의 층 및 기판을 포함함 -;
    제2 패턴에 따라 제1 잠상을 갖는 구조를 추가로 조사하여 제1 잠상 위에 중첩된 제2 잠상을 형성하는 단계 - 상기 제1 잠상 및 중첩된 제2 잠상은 복합 잠상을 형성함 -; 및
    상기 복합 잠상을 갖는 구조를 현상하여 패턴화된 구조를 형성하는 단계를 포함하는, 방법.
  25. 제24항에 있어서, 현상 단계는 네거티브 톤 현상제를 포함하는, 방법.
  26. 제24항에 있어서, 현상 단계는 포지티브 톤 현상제를 포함하는, 방법.
KR1020227042227A 2020-05-06 2021-05-04 중간 고정 단계가 있는 유기금속 광패턴가능 층을 사용한 다중 패터닝 KR20230005970A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063020778P 2020-05-06 2020-05-06
US63/020,778 2020-05-06
PCT/US2021/030562 WO2021226016A1 (en) 2020-05-06 2021-05-04 Multiple patterning with organometallic photopatternable layers with intermediate freeze steps

Publications (1)

Publication Number Publication Date
KR20230005970A true KR20230005970A (ko) 2023-01-10

Family

ID=78412596

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227042227A KR20230005970A (ko) 2020-05-06 2021-05-04 중간 고정 단계가 있는 유기금속 광패턴가능 층을 사용한 다중 패터닝

Country Status (6)

Country Link
US (2) US11886116B2 (ko)
EP (1) EP4147269A4 (ko)
JP (1) JP2023524969A (ko)
KR (1) KR20230005970A (ko)
TW (1) TW202226343A (ko)
WO (1) WO2021226016A1 (ko)

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5302198A (en) 1990-09-14 1994-04-12 Ncr Corporation Coating solution for forming glassy layers
JP3198310B2 (ja) 1993-01-06 2001-08-13 株式会社ニコン 露光方法及び装置
JP3180629B2 (ja) * 1994-12-09 2001-06-25 三菱マテリアル株式会社 金属酸化物薄膜パターン形成用組成物及びその製造方法、金属酸化物薄膜パターンの形成方法並びに電子部品及び光学部品の製造方法
AU2001265390A1 (en) 2000-06-06 2001-12-17 Ekc Technology, Inc. Method of making electronic materials
US7270886B2 (en) 2000-10-12 2007-09-18 Samsung Electronics Co., Ltd. Spin-on glass composition and method of forming silicon oxide layer in semiconductor manufacturing process using the same
US20020157418A1 (en) 2001-03-19 2002-10-31 Rahul Ganguli Process for reducing or eliminating bubble defects in sol-gel silica glass
US7160746B2 (en) 2001-07-27 2007-01-09 Lightwave Microsystems Corporation GeBPSG top clad for a planar lightwave circuit
JP3982281B2 (ja) * 2002-02-27 2007-09-26 日本板硝子株式会社 ポジ型金属酸化物パターン薄膜の形成方法
JP2003303824A (ja) 2002-04-12 2003-10-24 Sony Corp 半導体装置の製造方法
JP4108502B2 (ja) * 2003-02-21 2008-06-25 株式会社日立製作所 金属酸化物前駆体溶液と前駆体薄膜、該薄膜の形成方法およびそれを用いたコンデンサ
JP4932134B2 (ja) 2003-09-16 2012-05-16 旺宏電子股▲ふん▼有限公司 集積回路の製造における位置合わせ精度条件を緩和する方法
US7348281B2 (en) 2003-09-19 2008-03-25 Brewer Science Inc. Method of filling structures for forming via-first dual damascene interconnects
US20070105055A1 (en) * 2003-12-01 2007-05-10 Sony Corporation Manufacturing method of master disc for optical disc, and master disc for optical disc
KR100583957B1 (ko) 2003-12-03 2006-05-26 삼성전자주식회사 희생금속산화막을 채택하여 이중다마신 금속배선을형성하는 방법
JP4839723B2 (ja) 2005-08-10 2011-12-21 富士電機株式会社 保護膜形成方法およびその保護膜を備えた磁気記録媒体
JP4699140B2 (ja) 2005-08-29 2011-06-08 東京応化工業株式会社 パターン形成方法
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US20070166648A1 (en) 2006-01-17 2007-07-19 International Business Machines Corporation Integrated lithography and etch for dual damascene structures
US20070190762A1 (en) 2006-02-13 2007-08-16 Asml Netherlands B.V. Device manufacturing method and computer program product
US7897058B2 (en) 2006-02-13 2011-03-01 Asml Netherlands B.V. Device manufacturing method and computer program product
US8907456B2 (en) 2007-03-21 2014-12-09 Olambda, Inc. Multi-material hard mask or prepatterned layer for use with multi-patterning photolithography
US20090131295A1 (en) 2007-11-16 2009-05-21 Hua Cui Compositions for Removal of Metal Hard Mask Etching Residues from a Semiconductor Substrate
JP4453767B2 (ja) * 2008-03-11 2010-04-21 ソニー株式会社 ホログラム基板の製造方法
JP5516200B2 (ja) * 2009-08-05 2014-06-11 信越化学工業株式会社 パターン形成方法、化学増幅ポジ型レジスト材料、及び、レジスト変性用組成物
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
KR20120092950A (ko) * 2011-02-14 2012-08-22 에스케이하이닉스 주식회사 리소그래피-리소그래피-식각 공정에서의 오버레이 버니어 형성 방법
US9281207B2 (en) 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
US9684234B2 (en) 2011-03-24 2017-06-20 Uchicago Argonne, Llc Sequential infiltration synthesis for enhancing multiple-patterning lithography
EP2766920B1 (en) 2011-10-10 2020-12-02 Brewer Science, Inc. Spin-on carbon compositions for lithographic processing
US8551690B2 (en) 2012-01-20 2013-10-08 Micron Technology, Inc. Methods of forming patterns
US8703386B2 (en) 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
US8647981B1 (en) 2012-08-31 2014-02-11 Micron Technology, Inc. Methods of forming patterns, and methods of forming integrated circuitry
JP5988151B2 (ja) * 2012-08-31 2016-09-07 学校法人関東学院 3次元多層構造体の製造方法
JP6127989B2 (ja) * 2013-02-14 2017-05-17 信越化学工業株式会社 パターン形成方法
US9679095B1 (en) 2013-02-19 2017-06-13 Mentor Graphics, A Siemens Business Layout decomposition for multiple patterning lithography
US9005875B2 (en) 2013-03-15 2015-04-14 Intel Corporation Pre-patterned hard mask for ultrafast lithographic imaging
JP2014239191A (ja) 2013-06-10 2014-12-18 富士通セミコンダクター株式会社 半導体装置の製造方法
US9176373B2 (en) 2013-07-31 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for decomposition of a single photoresist mask pattern into 3 photoresist mask patterns
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9372402B2 (en) 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
US9760008B2 (en) 2013-12-05 2017-09-12 Tokyo Electron Limited Direct current superposition freeze
US20150234272A1 (en) 2014-02-14 2015-08-20 Intel Corporation Metal oxide nanoparticles and photoresist compositions
KR101860249B1 (ko) 2014-02-23 2018-05-21 도쿄엘렉트론가부시키가이샤 다수의 패터닝된 층을 교차시켜 패턴 밀도를 증가시키는 방법
KR20230156842A (ko) 2014-10-23 2023-11-14 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
EP4089482A1 (en) 2015-10-13 2022-11-16 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
KR102394042B1 (ko) * 2016-03-11 2022-05-03 인프리아 코포레이션 사전패터닝된 리소그래피 템플레이트, 상기 템플레이트를 이용한 방사선 패터닝에 기초한 방법 및 상기 템플레이트를 형성하기 위한 방법
US10504774B2 (en) * 2016-07-20 2019-12-10 Globalfoundries Inc. Lithographic patterning to form fine pitch features
KR20230166158A (ko) 2016-08-12 2023-12-06 인프리아 코포레이션 금속 함유 레지스트로부터의 에지 비드 영역의 금속 잔류물 저감방법
EP3367428A1 (en) * 2017-02-23 2018-08-29 IMEC vzw Method for blocking a trench portion during patterning of trenches in a dielectric material, and corresponding semiconductor structure
US10741410B2 (en) * 2017-04-28 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US10803227B2 (en) * 2017-08-29 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit layouts with line-end extensions
KR102634520B1 (ko) 2017-11-20 2024-02-06 인프리아 코포레이션 유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용
TW202348612A (zh) 2018-04-05 2023-12-16 美商英培雅股份有限公司 包含錫化合物的組合物及其應用
US11248086B2 (en) * 2018-05-01 2022-02-15 Tokyo Ohka Kogyo Co., Ltd. Hard-mask forming composition and method for manufacturing electronic component
TW202016279A (zh) * 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
KR20210134072A (ko) 2019-04-12 2021-11-08 인프리아 코포레이션 유기금속 포토레지스트 현상제 조성물 및 처리 방법

Also Published As

Publication number Publication date
WO2021226016A1 (en) 2021-11-11
US11886116B2 (en) 2024-01-30
JP2023524969A (ja) 2023-06-14
US20240118614A1 (en) 2024-04-11
EP4147269A4 (en) 2024-06-05
EP4147269A1 (en) 2023-03-15
US20210349390A1 (en) 2021-11-11
TW202226343A (zh) 2022-07-01

Similar Documents

Publication Publication Date Title
US11809081B2 (en) Organotin oxide hydroxide patterning compositions, precursors, and patterning
US20230012169A1 (en) Patterned organometallic photoresists and methods of patterning
TWI721125B (zh) 預圖案化微影模版、基於使用該模版的輻射圖案化之方法及形成該模版之方法
US6720256B1 (en) Method of dual damascene patterning
US8764999B2 (en) Sidewall image transfer pitch doubling and inline critical dimension slimming
US11947262B2 (en) Process environment for inorganic resist patterning
US20080009138A1 (en) Method for forming pattern of a semiconductor device
EP2244127A1 (en) Fine pattern mask, method for producing the same, and method for forming fine pattern using the mask
CN114026497A (zh) 增强含金属抗蚀剂的光刻性能的烘烤策略
US6420271B2 (en) Method of forming a pattern
KR20230005970A (ko) 중간 고정 단계가 있는 유기금속 광패턴가능 층을 사용한 다중 패터닝
KR20220088011A (ko) 반도체 포토레지스트용 조성물, 이의 제조 방법 및 이를 이용한 패턴 형성 방법
JP2002198295A (ja) パターン形成方法
TWI842101B (zh) 增強顯影對比度之方法及基板處理設備
US20240030029A1 (en) Patterning Method Using Secondary Resist Surface Functionalization for Mask Formation
US20240045332A1 (en) Method of forming photosensitive organometallic oxides by chemical vapor polymerization
US20240085793A1 (en) Method of forming a moisture barrier on photosensitive organometallic oxides
JP3354901B2 (ja) 微細パターンの形成方法、半導体装置および半導体装置の製造方法
TW202307146A (zh) 用於從含金屬抗蝕劑中去除邊珠的組成物以及形成圖案的方法
KR950006347B1 (ko) 패턴 형성 방법
TW202305511A (zh) 形成圖案的方法
CN117795049A (zh) 从含金属抗蚀剂中去除边珠用的组成物及形成图案的方法
CN117597425A (zh) 用于从含金属抗蚀剂中去除边珠的组合物以及包括使用所述组合物去除边珠的步骤的形成图案的方法
TW202307145A (zh) 用於從含金屬抗蝕劑中去除邊珠的組成物以及形成圖案的方法
TW202407456A (zh) 形成阻劑圖案的方法

Legal Events

Date Code Title Description
A201 Request for examination