JP4812838B2 - 多孔質絶縁膜の形成方法 - Google Patents

多孔質絶縁膜の形成方法 Download PDF

Info

Publication number
JP4812838B2
JP4812838B2 JP2008525919A JP2008525919A JP4812838B2 JP 4812838 B2 JP4812838 B2 JP 4812838B2 JP 2008525919 A JP2008525919 A JP 2008525919A JP 2008525919 A JP2008525919 A JP 2008525919A JP 4812838 B2 JP4812838 B2 JP 4812838B2
Authority
JP
Japan
Prior art keywords
raw material
insulating film
group
film
organic silica
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008525919A
Other languages
English (en)
Other versions
JPWO2008010591A1 (ja
Inventor
博規 山本
文則 伊藤
宗弘 多田
喜宏 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2008525919A priority Critical patent/JP4812838B2/ja
Publication of JPWO2008010591A1 publication Critical patent/JPWO2008010591A1/ja
Application granted granted Critical
Publication of JP4812838B2 publication Critical patent/JP4812838B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • H01L21/3124Layers comprising organo-silicon compounds layers comprising polysiloxane compounds layers comprising hydrogen silsesquioxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明は、多孔質絶縁膜の形成方法に関し、特に、Cuを主成分とするダマシン配線構造を有する半導体装置に使用できる多孔質絶縁膜の形成方法に関する。
シリコン半導体集積回路(LSI)において、従来、導電材料には、アルミニウム(Al)またはAl合金が広く用いられてきた。そして、LSIの製造方法の微細化の進行に伴い、配線における配線抵抗の低減と高信頼化のために、導電材料に銅(Cu)が使用されるようになってきた。Cuはシリコン酸化膜中に容易に拡散するため、Cu配線の側面および底面には、Cuの拡散を防止する導電性バリアメタル膜が用いられ、Cu配線の上面には、絶縁性バリア膜が用いられてきた。
近年のLSIの微細化の進展に伴って、配線寸法の微細化が更に進み、配線間容量の増大が問題となってきており、層間絶縁膜へ多孔質低誘電率膜の導入が進められている。これは、半導体素子に多層配線を用いることで高速かつ低電力で接続するためには、微細化だけでなく、層間絶縁膜の低誘電率化が有効であり、これら双方を両立することが求められていたためである。
層間絶縁膜の低誘電率化には、ポロジェンを導入しそれを抜くことで膜質の空孔率を上げ、或いは、ハイドロカーボンの導入が試みられている。低誘電率膜は、例えばHSQ(ハイドロゲンシルセスキオキサン(Hydrogen Silsesquioxane))膜、CDO(カーボンドープトオキサイド(Carbon doped oxide))あるいは有機膜などである。そして、回転塗布法や気相法などにより形成される。特開2004−289105号公報ではプラズマCVD法を用いた、多孔質絶縁膜の形成技術が記載されている。特表2002−526916号公報では環状有機シロキサンを用いて多孔質絶縁膜を形成する技術が記載されている。
発明者は、従来技術について以下のように考えた。特開2004−289105号公報に記載の技術を用いた場合、原料モノマーはプラズマ中で分解される、切断−再結合型の成膜方法である。そのため、原料モノマーに結合していた炭化水素成分が脱離してしまうため、比誘電率が低減できないという問題を有していた。また特表2002−526916号公報に記載の、環状シロキサン原料モノマーを用いた技術では、シロキサンの環状構造が骨格となり、比誘電率2.6程度が得られ、さらに側鎖にイソプロピル基を有することで、立体障害を形成し、側鎖にビニル基を有することでモノマーの付加反応を促進させ、比誘電率2.5程度を得ることができることになるが、膜強度の面で問題があった。
さらに膜の低誘電率化が進むに連れ、膜の空孔率が上がり、その結果として膜強度の低下を招いている。この膜強度の低下に伴い層間の密着性も低下しデバイスの信頼性を低下させている。
発明の概要
そこで、本発明は、シロキサン原料モノマーを用いた絶縁膜の形成方法であって、高い成膜速度で絶縁膜を形成する絶縁膜の形成方法を提供することを目的とする。また、本発明は、及び、上記絶縁膜の方法を用いて形成される絶縁膜及び当該絶縁膜を有する半導体装置(半導体デバイス)を提供することをも目的とする。
本発明は、第1の好ましい態様において、環状有機シリカ構造を持つ2種以上の原料の気体をプラズマ反応によって成膜させた有機シリカ膜の形成方法であり、主骨格に3員環SiO環状構造を持つ原料と4員環SiO環状構造をもつ原料からなり、かつこれら原料の少なくとも1種は側鎖に少なくとも1つの不飽和炭化水素基を持つことを特徴とする絶縁膜の形成方法を提供する。
本発明は、第2の好ましい態様において、環状有機シリカ構造を持つ1種以上の原料の気体と、直鎖状有機シリカ構造を持つ1種以上の原料の気体とを、プラズマ反応によって成膜させた有機シリカ膜の形成方法であり、環状有機シリカ構造を持つ原料は主骨格に3員環SiO環状構造を持ち、かつ直鎖状有機シリカ構造を持つ原料の元素組成比がH/C≧1.6、C/Si≧5、H/Si≧8であり、かつこれら原料の側鎖に少なくとも1つの不飽和炭化水素基を持ち、
前記直鎖状有機シリカ構造を持つ原料の直鎖状有機シリカ化合物が、下記式11に示す構造であり、R5は不飽和炭素化合物、R6、R7、R8は飽和炭素化合物であり、R5はビニル基又はアリル基、R6、R7、R8はメチル基、エチル基、プロピル基、イソプロピル基、ブチル基のいずれかであることを特徴とする絶縁膜の形成方法を提供する。
Figure 0004812838
本発明の前記目的及び他の目的、特徴及び利点は、添付の図面を参照しての以下の記述により明らかになる。
図1Aは、従来のデュアルダマシン配線構造を、図1Bは、より実効比誘電率を下げた配線構造を、それぞれ示す断面図である。 本発明方法で用いる多孔質絶縁膜の成膜装置の概略を示す図である。 図2Aの成膜装置の一部を示す概略図である。 本発明の第1の例示的な実施形態と比較例1、2の膜強度とについて、k値を示したグラフである。 例示的な第1の実施形態と比較例1、2のポアサイズと分布を示したグラフである。 例示的な第1の実施形態で製造された膜のSi−O−Si結合のFTIR分光測定結果の一例を示したグラフである。 例示的な第1の実施形態における、Si−O−Si結合のNetwork構造とCage構造のFTIRピーク強度比を示したグラフである。 本発明の例示的な第2の実の形態と比較例の膜強度とについて、k値の関係を示したグラフである。 例示的な第2の実施の形態と比較例の密着強度とについて、k値の関係を示したグラフである。 例示的な第2の実施形態における膜のRaman分光測定結果を示したグラフである。
本発明を詳細に説明する前に、本願明細書における用語の意味を説明する。低誘電率絶縁膜とは、例えば配線材を絶縁分離する膜(層間絶縁膜)であり、半導体素子を接続する多層配線間の容量を低減するため、シリコン酸化膜(比誘電率4.2)よりも比誘電率の低い材料を指す。特に、多孔質絶縁膜としては、例えば、シリコン酸化膜を多孔化して、比誘電率を小さくした材料や、HSQ(ハイドロゲンシルセスキオキサン(Hydrogen Silsesquioxane))膜、もしくはSiOCH、SiOC(例えば、Black DiamondTM、CORALTM、AuroraTM)などを多孔化して、比誘電率を小さくした材料などがある。これらの膜のさらなる低誘電率化が望まれているところである。
金属配線材とは、Cuを主成分とする金属配線材料である。金属配線材の信頼性を向上させるため、Cu以外の金属元素がCuからなる部材に含まれていても良く、Cu以外の金属元素がCuの上面や側面などに形成されていても良い。
ダマシン配線とは、あらかじめ形成された層間絶縁膜の溝に、金属配線材を埋め込み、溝内以外の余剰な金属を、例えばCMPなどにより除去することで形成される埋め込み配線をさす。Cuによりダマシン配線を形成する場合には、Cu配線の側面および外周をバリアメタルで覆い、Cu配線の上面を絶縁性バリア膜で覆う配線構造が一般に用いられる。
CMP(Chemical Mechanical Polishing)法とは、多層配線形成プロセス中に生じるウェハ表面の凹凸を、研磨液をウェハ表面に流しながら回転させた研磨パッドに接触させて研磨することによって平坦化する方法である。ダマシン法による配線形成においては、特に、配線溝あるいはビアホールに対し金属を埋設した後に、余剰の金属部分を除去し、平坦な配線表面を得るために用いる。
バリアメタルとは、例えば、配線を構成する金属元素が層間絶縁膜や下層へ拡散することを防止するために、配線の側面および底面を被覆する、バリア性を有する導電性膜を示す。例えば、配線がCuを主成分とする金属元素からなる場合には、タンタル(Ta)、窒化タンタル(TaN)、窒化チタン(TiN)、炭窒化タングステン(WCN)のような高融点金属やその窒化物等、またはそれらの積層膜が使用される。
絶縁性バリア膜とはCu配線の上面に形成され、Cuの酸化や絶縁膜中へのCuの拡散を防ぐ機能、および加工時にエッチングストップ層としての役割を有する。例えば、SiC膜、SiCN膜、SiN膜などが用いられている。
半導体基板とは、半導体装置がその上に形成される基板であり、特に単結晶シリコン基板上だけでなく、SOI(Silicon on Insulator)基板や、TFT(Thin film transistor)などの液晶製造用基板などを含む。
ハードマスクとは、層間絶縁膜の低誘電率化による強度低下により、直接CMPを行うのが困難な場合に、層間絶縁膜上に積層し、保護する役割の絶縁膜をさす。
パッシベーション膜とは、半導体素子の最上層に形成され、外部からの水分などから半導体素子を保護する役割を有する。本発明ではプラズマCVD法で形成したシリコン酸窒素化膜(SiON)や、ポリイミド膜などが用いられる。
プラズマCVD法とは、例えば、気体状の原料を減圧下の反応室に連続的に供給し、プラズマエネルギーによって、分子を励起状態にし、気相反応、あるいは基板表面反応などによって基板上に連続膜を形成する手法である。
PVD法とは、通常のスパッタリング法でもよいが、埋め込み特性の向上や、膜質の向上や、膜厚のウェハ面内均一性を図る上では、例えばロングスロースパッタリング法やコリメートスパッタリング法、イオナイズドスパッタリング法、などの指向性の高いスパッタリング法を用いることもできる。合金をスパッタする場合には、あらかじめ金属ターゲット内に主成分以外の金属を固溶限以下で含有させることで、成膜された金属膜を合金膜とすることができる。本発明中では、主にダマシンCu配線を形成する際のCuシード層や、バリアメタル層を形成する際に使用することができる。
本発明の好適な第1の態様の絶縁膜の形成方法は、環状有機シリカ構造を持つ2種以上の原料の気体をプラズマ反応によって成膜させた有機シリカ膜の形成方法であり、主骨格に3員環SiO環状構造を持つ原料と4員環SiO環状構造をもつ原料からなり、かつこれら原料の少なくとも1種は側鎖に少なくとも1つの不飽和炭化水素基を持つ方法に向けられる。
本発明の好適な第2の態様の絶縁膜の形成方法は、環状有機シリカ構造を持つ1種以上
の原料の気体と、直鎖状有機シリカ構造を持つ1種以上の原料の気体とを、プラズマ反応
によって成膜させた有機シリカ膜の形成方法であり、環状有機シリカ構造を持つ原料は主
骨格に3員環SiO環状構造を持ち、かつ直鎖状有機シリカ構造を持つ原料の元素組成比
がH/C≧1.6、C/Si≧5、H/Si≧8であり、かつこれら原料の側鎖に少なく
とも1つの不飽和炭化水素基を持ち、
前記直鎖状有機シリカ構造を持つ原料の直鎖状有機シリカ化合物が、下記式11に示す構造であり、R5は不飽和炭素化合物、R6、R7、R8は飽和炭素化合物であり、R5はビニル基又はアリル基、R6、R7、R8はメチル基、エチル基、プロピル基、イソプロピル基、ブチル基のいずれかであることを特徴とする方法に向けられる。
Figure 0004812838
本発明の絶縁膜の形成方法では、シリカ構造を持つ原料が、それぞれ異なる気化器により気体化し反応容器に導入されることが好ましい。さらにはシリカ構造を持つ原料が同一の気化器により気体化し反応容器に導入されることが好ましい。また有機シリカ原料としては環状有機シリカ化合物が、下記式1に示す構造であり、R1、R2は不飽和炭素化合物または飽和炭素化合物であり、かつ、ビニル基、アリル基、メチル基、エチル基、プロピル基、イソプロピル基、ブチル基のいずれかであることが好ましい。特に、R1は不飽和炭素化合物、R2は飽和炭素化合物であり、R1はビニル基又はアリル基、R2はメチル基、エチル基、プロピル基、イソプロピル基、ブチル基のいずれか一つであることが好ましい。
Figure 0004812838
さらに、環状有機シリカ化合物が下記式2、3、4、5に示す構造を有する化合物の少なくともいずれか1つであることが好ましい。
Figure 0004812838
Figure 0004812838
Figure 0004812838
Figure 0004812838
また環状有機シリカ化合物が、下記式6に示す構造であり、R3、R4は不飽和炭素化合物、飽和炭素化合物または水素であり、かつ、水素、ビニル基、アリル基、メチルビニル基、メチル基、エチル基、プロピル基、イソプロピル基、ブチル基のいずれかであることが好ましい。特に、R3は不飽和炭素化合物、R4は飽和炭素化合物または水素であり、R3はビニル基、アリル基又はメチルビニル基、R4は水素、メチル基、エチル基、プロピル基、イソプロピル基、ブチル基のいずれか一つであることが好ましい。
Figure 0004812838
さらに環状有機シリカ化合物が下記式7、8、9、10に示す構造を有する化合物の少なくともいずれか1つであることが好ましい。
Figure 0004812838
Figure 0004812838
Figure 0004812838
Figure 0004812838
また直鎖状有機シリカ化合物が下記式12に示す構造を有する化合物であることが好ましい。
Figure 0004812838
また、上記絶縁膜の形成方法により形成される絶縁膜は、少なくともアモルファスカーボンが含まれることが好ましい。さらに前記絶縁膜において含まれるアモルファスカーボンがSp2構造とSp3構造を兼ね備えることが好ましい。
またこれら絶縁膜を使用した半導体デバイスとしては上記有機シリカ構造を持つ原料の比を変化させて形成された2層以上の絶縁膜をもつことが好ましい。
本発明の多孔質絶縁膜の形成方法、絶縁膜および半導体装置(半導体デバイス)を用いることにより、層間絶縁膜の低誘電率化を達成し、ひいては配線の性能を向上させ、高速、低消費電力なLSIの形成が可能となる。
以下、本発明の絶縁膜の形成方法に用いてもよい環状有機シリカ化合物(シロキサン)原料および直鎖状有機シリカ化合物(シロキサン)原料について説明する。
有機シロキサン原料は、式1、6、11で示される化合物である。式1、6、11のアルキル基R1〜R8は、水素、メチル基、エチル基、プロピル基、イソプロピル基、ビニル基、アリル基、メチルビニル基、ブチル基等である。
Figure 0004812838
Figure 0004812838
Figure 0004812838
(第1の例示的な実施形態)
本発明を用いて、層間絶縁膜として好適な絶縁膜を、少なくとも1種以上の有機シロキサン原料を反応室に供給し、プラズマ気相成長法によって絶縁膜を形成することができる。
以下に気体化した原料を反応室に供給し、絶縁膜を形成する例示的な第1の実施の形態について図2A、2Bを参照して説明する。
図2Aは、本発明の方法に基づいて多孔質絶縁膜を形成(成膜)する際に使用することができるプラズマCVD装置の一例を示す概略図である。同図に示すプラズマCVD装置50は、反応室10、ガス供給部20、真空ポンプ30、及び高周波電源40を備えている。ガス供給部20はガス供給管22により反応室10と接続されており、真空ポンプ30は、バルブ32及び冷却トラップ34が途中に配置されたガス排出管36により反応室10に接続されている。そして、高周波電源40はマッチングボックス42が途中に配置された高周波ケーブル44により反応室10に接続されている。
反応室10内には、半導体基板等の被成膜部材1を保持し、加熱する基板加熱部3と、ガス供給管22の一端が接続されてガスの噴出部として機能するシャワーヘッド5とが互いに対向した状態で配置されている。基板加熱部3にはアース線7が接続され、シャワーヘッド5には高周波ケーブル44が接続されている。したがって、ガス供給部20からガス供給管22を介してシャワーヘッド5に原料ガス等を供給すると共に、高周波電源40で作り出された高周波電力を高周波ケーブル44の途中に配置されたマッチングボックス42により所定の周波数にしてシャワーヘッド5に供給することにより、基板加熱部3とシャワーヘッド5との間の空間のガスをプラズマ化させることができる。
なお、ガス供給管22には、途中に流量制御器24とバルブ26とが配置されたクリーニングガス供給管28が接続されており、ガス排出管36におけるバルブ32と冷却トラップ34との間からは廃液配管38が分岐している。ガス供給管22の周囲には、各ガスが移送過程で液化するのを防止するためにヒータ(図示せず。)を設け、ガス供給管22を加温することが好ましい。同様に、反応室10の周囲にもヒータ(図示せず。)を設けて、当該反応室10を加温することが好ましい。
ガス供給部20の内部を図2Bに示す。気化制御ユニットVU1、VU2は、液体の環状有機シロキサン原料101、103を収容する原料タンク102と、圧送ガス供給管104を介して原料タンク102内に圧送ガスを供給する圧送ガス供給装置106と、原料タンク102内に一端が挿入された原料移送管108と、原料移送管108の途中に設けられた液体流量制御部110と、原料移送管108の他端側に配置された気化部112とを有している。上記の液体流量制御部110は、2つのバルブ110a、110bと当該バルブ110a、110b間に配置された液体流量制御器110cとを備えており、上記の気化部112は、原料移送管108の上記他端側に設けられたバルブ112aと、原料移送管108の上記他端に接続された気化器112bとを備えている。
さらに、各気化制御ユニットVU1、VU2は、キャリアガス用もしくは希釈ガス用のガス供給タンク114(以下、「キャリアガス供給タンク114」という。)と、キャリアガス供給タンク114内のキャリアガスもしくは希釈ガスを液体流量制御部110と気化部112との間において原料化合物移送管108に供給する配管116とを備えている。配管116の途中には、2つのバルブ118a、118bと当該バルブ118a、118b間に配置された気体流量制御器118cとを備えた気体流量制御部118が設けられている。
気化制御ユニットVU1は、圧送ガス供給装置106から圧送ガス供給管104を介して原料タンク102内に圧送ガスを供給すると、原料タンク102の内圧が高まり、当該原料タンク102内の液体の第一有機シロキサン原料101が原料移送管108を介して気化部112へ向けて移送され、途中でキャリアガスもしくは希釈ガスと合流して気化部112に達する。気化部112に達した液体の環状有機シロキサン原料101は、気化部112の導入部での圧力減少と、ヒータ(図示せず。)による加熱とによって気化する。
気化制御ユニットVU2も同様に、圧送ガス供給装置106から圧送ガス供給管104を介して原料タンク102内に圧送ガスを供給すると、原料タンク102の内圧が高まり、当該原料タンク102内の液体の第二有機シロキサン原料103が原料移送管108を介して気化部112へ向けて移送され、途中でキャリアガスもしくは希釈ガスと合流して気化部112に達する。気化部112に達した液体の環状有機シロキサン原料101は、気化部112の導入部での圧力減少と、ヒータ(図示せず。)による加熱とによって気化する。
また気化制御ユニットVU1の原料タンク102内に2種類以上の有機シリカ材料を導入し、気化制御ユニットVU2を使わず気化制御ユニットVU1の気化部112にて同時に気化することも可能である。
各気化器112bでの気化を円滑に行ううえからは、液体流量制御部110におけるバルブ110cよりも下流側の原料化合物移送管108の周囲にヒータを設け、当該原料化合物移送管108を加温することが好ましい。同様に、各ガスが液化するのを防止するために、各ガス排出管120、124、152、及び混合器140それぞれの周囲にもヒータを設けて、これらを加温することが好ましい。
プラズマCVD装置50によって有機シリコン系膜を形成するにあたっては、まず、基板加熱部3上に半導体基板等の被成膜部材1を配置し、バルブ32を開にした状態で真空ポンプ30を動作させて反応室10内の初期真空度を数Torrにまでする。反応室10から排出されたガス中の水分は、冷却トラップ34により除去される。次いで、ガス供給部20から原料ガス(気体の環状有機シロキサンガス)をキャリアガスもしくは希釈ガスと一緒に反応室10に供給すると共に、高周波電源40及びマッチングボックス42を動作させて所定周波数の高周波電力を反応室10に供給する。
このとき、個々のガスは、対応する流量制御部110、118によりその流量を制御され、混合器140で所定の組成の混合ガスとなって反応室10に供給される。反応室10での原料ガスの分圧は0.1〜3Torr程度の範囲内で適宜選定することが好ましい。そして、成膜時の反応室10の雰囲気圧は、真空ポンプ30の動作を制御して、1〜6Torr程度の範囲内に設定することが好ましい。成膜時における被成膜部材1の表面温度は、基板加熱部3により当該被成膜部材1を加熱して、100〜400℃の範囲内で適宜設定することができ、特に250〜350℃が好ましい。既に説明したように、使用する化合物原料の種類によっては、原料ガスの供給に先立って反応室10に供給される。
上記のような条件の下に成膜を行うと、原料ガスである環状有機シロキサン原料の分子がプラズマによって励起され、活性化された状態で被成膜部材1の表面へ到達し、ここで絶縁膜を形成する。絶縁膜が不飽和結合を有する基を備えていた場合には、プラズマにより励起されて活性化した有機シリコン化合物の分子が被成膜部材1の表面へ到達して基板加熱部3から更に熱エネルギーを受けとるので、上記の基にある不飽和結合が開環し、分子間で熱重合反応が進行して、絶縁膜が成長する。
なお、反応室10のクリーニングには、三フッ化窒素(NF3)、六フッ化硫黄(SF6)、テトラフルオロメタン(CF4)、ヘキサフルオロエタン(C2F6)等のガスを用いることができ、これらのガスは、必要に応じて酸素ガス、オゾンガス等との混合ガスとして用いてもよい。クリーニングガスは、クリーニングガス供給管28を介して反応室10へ供給される。成膜時と同様に、シャワーヘッド5と基板加熱部3との間に高周波電力を印加し、プラズマを誘起させることで反応室10のクリーニングを行う。リモートプラズマ等を用いて予めプラズマ状態としたクリーニングガスを用いることも有効である。
本実施の形態では気化制御ユニットVU1の原料タンク102内に3員環SiO環状構造を持つ原料、気化制御ユニットVU2の原料タンク102内に4員環SiO環状構造を持つ原料を使い、成膜を行った。
以下の実施例においてk値の測定は水銀プローブ、膜強度の測定にはナノインデンター、ポアサイズの測定には微小角X線測定、膜構造・組成の測定にはFTIR・Raman分光測定を用いた。
(実施例1)
成膜には第一有機シロキサン原料101にR1にビニル基、R2にイソプロピル基からなる式1(式3)で示される原料を、第二有機シロキサン原料103にはR3にビニル基、R4にメチル基からなる式6(式7)で示される原料を使用した。第一有機シロキサン原料と第二有機シロキサン原料比(モル比)は1:9〜9:1である。
図3は第一有機シロキサン原料と、第二有機シロキサン原料の比に対する、膜強度(Modulus(GPa))とk値(k-value)の変化を示した図である。横軸の0は第一有機シロキサン原料からのみ成膜される膜、横軸の1は第二有機シロキサン原料からのみ成膜される膜の特性を示す。第一有機シロキサン原料からのk値の変化と、膜強度の変化を示し原料比1:9〜8:2の間では、k値はほとんど増加しないのに対し、膜強度は増加していくことが判明した。
図4にはポアサイズ分布を示すが、第一有機シロキサン原料である3員環SiO環状構造を持つ原料のみの場合シャープなポア分布を示すのに対し、第二有機シロキサン原料である4員環SiO環状構造を持つ原料を用いた場合、ポアサイズが大きくなり、かつ、ポアサイズの大きいほうに向かいその分布がブロードになっている。
図5にはRaman分光測定にて測定した有機シロキサン膜のSi−O−Si結合のピークを示し、これらピークは高波数側にCageタイプの結合、低波数側にnetworkタイプの結合に分離できる。図3と同様に第一有機シロキサン原料と第二有機シロキサン原料比(モル比)に対する、Raman分光分析で得られたSi−O−SiのCageタイプの結合のピーク強度と、networkタイプのピーク強度比を図6に示す。この結果から第二有機シロキサン原料である4員環SiO環状構造を持つ原料が加わることで、networkタイプのSi−O−Si構造が増加する。図5と図6の結果から4員環SiO環状構造を持つ原料は成膜の際、環状構造の一部が開環しSi−O−Si結合のクロスリンクにより、ネットワーク構造を作ることから膜強度が増加すると考えられる。3員環SiO構造が平面であるのに対し、4員環SiO構造は平面ではなくSiとOが平面上に存在しないためまた4員環SiO
環状構造を持つ原料が3員環SiO環状構造を持つ原料と比較し開環しやすいと考えられる。
(実施例2)
成膜には第一有機シロキサン原料101にR1にビニル基、R2にエチル基からなる式1で示される原料を、第二有機シロキサン原料103にはR3にビニル基、R4にメチル基からなる式6(式7)で示される原料を使用した。第一有機シロキサン原料と第二有機シロキサン原料比(モル比)は8:2である。
(実施例3)
成膜には第一有機シロキサン原料101にR1にエチル基、R2にイソプロピル基からなる式1(式4)で示される原料を、第二有機シロキサン原料103にはR3にビニル基、R4にメチル基からなる式6(式7)で示される原料を使用した。第一有機シロキサン原料と第二有機シロキサン原料比(モル比)は7:3である。
(実施例4)
成膜には第一有機シロキサン原料101にR1にビニル基、R2にn−ブチル基からなる式1(式5)で示される原料を、第二有機シロキサン原料103にはR3にビニル基、R4にメチル基からなる式6(式7)で示される原料を使用した。第一有機シロキサン原料と第二有機シロキサン原料比(モル比)は6:4である。
(実施例5)
成膜には第一有機シロキサン原料101にR1にビニル基、R2にイソプロピル基からなる式1(式3)で示される原料を、第二有機シロキサン原料103にはR3にビニル基、R4に水素からなる式6(式8)で示される原料を使用した。第一有機シロキサン原料と第二有機シロキサン原料比(モル比)は5:5である。
(実施例6)
成膜には第一有機シロキサン原料101にR1にビニル基、R2にイソプロピル基からなる式1(式3)で示される原料を、第二有機シロキサン原料103にはR3にメチルビニル基、R4に水素からなる式6(式10)で示される原料を使用した。第一有機シロキサン原料と第二有機シロキサン原料比(モル比)は3:7である。
(実施例7)
成膜には第一有機シロキサン原料101にR1にビニル基、R2にイソプロピル基からなる式1(式3)で示される原料を、第二有機シロキサン原料103にはR3にメチルビニル基、R4にメチル基からなる式6(式9)で示される原料を使用した。第一有機シロキサン原料と第二有機シロキサン原料比(モル比)は1:9である。
(比較例1)
成膜には第一有機シロキサン原料101にR1にビニル基、R2にイソプロピル基からなる式1(式3)で示される原料のみを使用した。
(比較例2)
成膜には第一有機シロキサン原料101にR1にビニル基、R2にメチル基からなる式6(式7)で示される原料のみを使用した。
実施例1〜7及び比較例1〜2の結果を表1に示す。表1と図3を参照すると、比較例1では3員環SiO環状構造を持つ原料のみの成膜であるが、低いk値が得られるのに対し、膜強度は3GPaと弱い。それに対し比較例2は高い膜強度が得られているもののk値が高いことが判明した。表1におけるΔkとΔModulusは第一有機シロキサン原料101のみで成膜したとき(比較例1)の値と比較して増加した値である。
Figure 0004812838
(第2の例示的な実施形態)
本実施の形態では気化制御ユニットVU1の原料タンク102内に3員環SiO環状構造を持つ原料、気化制御ユニットVU2の原料タンク102内に直鎖状SiO構造を持つ原料を使い、成膜を行った。それ以外の条件は第1の実施の形態と同じとした。
(実施例8)
成膜には第一有機シロキサン原料101にR1にビニル基、R2にイソプロピル基からなる式1(式3)で示される原料を、第二有機シロキサン原料103にはR5にビニル基、R6にイソプロピル基、R7、R8にメチル基からなる式11(式12)で示される原料を使用した。第一有機シロキサン原料と第二有機シロキサン原料比(モル比)は1:9〜9:1である。
図7はk値に対する膜強度の変化、図8は密着強度(Adhesion(MPa・m1/2))をそれぞれ示した図である。黒四角が本実施の形態を示し、白丸は後述する比較例を示したものである。k値の低い部分では、実施例8と比較例の膜強度に関して、差は認められないが、密着強度に関しては実施例8のほうが高い値を示した。一方、k値の高い部分では実施例8のほうが高い膜強度と密着強度を示した。
そこでこれら膜をRaman分光分析にて評価を行ったところ、図9に見られるようなピークが観察された。1200〜1600cm−1にかけてはアモルファスカーボン起因と見られるブロードなピークと2重結合及びハイドロカーボンのピークが存在し、500cm−1付近にはポリシロキサンに起因するピークが存在している。ポリシロキサンはSi−O構造のクロスリンクしたものであり、高い膜強度が得られる一因と考えられる。アモルファスカーボンのピークは1400cm−1と1600cm−1付近にピークがあり、一般的に1400cm−1付近のピークはSp2構造の炭素、1600cm−1付近のピークはSp3構造の炭素に起因しているといわれている。Sp3構造の炭素はダイヤモンドに代表されるように強度が高いため、これらの膜は高い膜強度と、密着強度を持つと考えられる。炭素のSp3構造に関してはRaman分光分析のほかにEELS(Electron Energy Loss Spectrscopy)を使い、確認することも可能である。このような硬いアモルファスカーボンの作製には側鎖の炭素原子の存在もさることながら、水素原子の存在も重要であり、特にプラズマ中におけるメチルラジカルの存在により硬いアモルファスカーボンが得られることが判明している。その結果、直鎖状SiO構造をもつ原料の原子比はH/C≧1.6、C/Si≧5、H/Si≧8を実現することで、膜強度の向上が図れることが判明した。
(実施例9)
成膜には第一有機シロキサン原料101にR1にビニル基、R2にメチル基からなる式1(式2)で示される原料を、第二有機シロキサン原料103にはR5にビニル基、R6にイソプロピル基、R7、R8にメチル基からなる式11(式12)で示される原料を使用した。第一有機シロキサン原料と第二有機シロキサン原料比(モル比)は2:8である。
(実施例10)
成膜には第一有機シロキサン原料101にR1にエチル基、R2にイソプロピル基からなる式1(式4)で示される原料を、第二有機シロキサン原料103にはR5にビニル基、R6にイソプロピル基、R7、R8にメチル基からなる式11(式12)で示される原料を使用した。第一有機シロキサン原料と第二有機シロキサン原料比(モル比)は3:7である。
(実施例11)
成膜には第一有機シロキサン原料101にR1にビニル基、R2にn−ブチル基からなる式1(式5)で示される原料を、第二有機シロキサン原料103にはR5にビニル基、R6にイソプロピル基、R7、R8にメチル基からなる式11(式12)で示される原料を使用した。第一有機シロキサン原料と第二有機シロキサン原料比(モル比)は4:6である。
(比較例3)
比較例としてSiOCH膜であるAuroraTM膜を作製し、その膜強度と密着強度を測定した。
(比較例4)
比較例としてSiOCH膜であるBlack DiamondTM膜を作製し、その膜強度と密着強度を測定した。
表2には第一有機シロキサン原料101のみを用いた場合(比較例1)と比較し増加した膜強度・密着強度、図7はk値に対する膜強度の変化、図8は密着強度をそれぞれ示した図である。比較例3、4と比較すると、本発明の実施例8−11のような3員環SiO構造を持つ原料と直鎖状SiO構造を持つ原料を使うことで、同一k値にもかかわらず強度・高密着強度を実現できる。
Figure 0004812838
(第3の実施の形態)
次に本発明における第3の実施の形態について説明する。図1Aに示す従来のデュアルダマシン配線構造は、下層配線201上に、キャップ膜(SiCN)202、ビア層間低誘電率膜203、エッチングストッパー膜204、配線層間低誘電率膜205、ハードマスク206、キャップ膜(SiCN)207が積層されており、ビア層間低誘電率膜203中のビア及び配線層間低誘電率膜205中の配線溝には、周囲にバリア膜209が形成されて、銅208が埋め込まれている。図1Bのデュアルダマシン構造は、図1の構造から実効被誘電率を下げた構造である。
図1A、図1Bに示すような層間絶縁膜が積層される部分において、同一装置内で連続的に成膜されれば、スループットの向上や大気解放による汚染・吸着等の影響を回避でき、それによる層間の密着性向上が期待できる。デバイスの実効誘電率を更に下げるためには、図1Bに示すような構造を採用する。こ構造では、ビア層間低誘電率膜203と配線層間低誘電率膜205にある、エッチングストッパー膜204の省略を行い、また、206’のハードマスクの低誘電率化を行う。そこで本実施の形態ではビア層間低誘電率膜203に第一有機シロキサン原料101と第二有機シロキサン原料103からなる膜、配線層間低誘電率膜205に第一有機シロキサン原料101からなる膜、ハードマスク206’には第二有機シロキサン原料103からなる膜を適用した。
(実施例12)
成膜には第一有機シロキサン原料101にR1にビニル基、R2にイソプロピル基からなる式1(式3)で示される原料を、第二有機シロキサン原料103にはR5にビニル基、R6にイソプロピル基、R7、R8にメチル基からなる式11(式12)で示される原料を使用した。
まずビア層間低誘電率膜203成膜のために第一有機シロキサン原料と第二有機シロキサン原料をモル比で2:8に混合しチャンバー内で成膜を行った後、第二有機シロキサン原料の供給を止めるためVU2の110aと118aのバルブをクローズし、第一有機シロキサン原料のみを使い配線層間低誘電率膜205を形成する。次に第一有機シロキサン原料の供給を止めるためVU1の110aと118aのバルブをクローズし、第二有機シロキサン原料のみを使いハードマスク206’を形成した。
エッチングストッパー膜204の省略により溝エッチングの際の終点検出は組成の違いによる発光分光により行った。溝エッチングの際Ar/N2/CF4/O2系のガスによりC/Siの異なる層間絶縁膜をエッチングするとSiFを示す440nmの発光スペクトルの変化が異なる。この変化をモニタすることで終点検出可能であるが、440nmのスペクトルの時間変化はC/Si比が低いほど大きいため配線層間低誘電率膜205のC/Si比を大きく、ビア層間低誘電率膜203のC/Si比を小さくすることが必要である。本実施例ではビア層間低誘電率膜203のC/Siが1.2であるのに対し、配線層間低誘電率膜205のC/Siは2.7であり、エッチングストッパー膜なしに発光分光による終点検出が可能であるため、エッチングプロセスという観点からも本実施例は有効であった。
本実施例で得られた、デバイスの実効誘電率keffは2.9であり、3を下回った。
(実施例13)
成膜には第一有機シロキサン原料101にR1にビニル基、R2にイソプロピル基からなる式1(式3)で示される原料を、第二有機シロキサン原料103にはR3にビニル基、R4にメチル基からなる式6(式7)でされる原料を使用した。ビア層間低誘電率膜203成膜のために第一有機シロキサン原料と第二有機シロキサン原料をモル比で3:7に混合しチャンバー内で成膜を行った後、第二有機シロキサン原料の供給を止めるためVU2の110aと118aのバルブをクローズし、第一有機シロキサン原料のみを使い配線層間低誘電率膜205を形成する。次に第一有機シロキサン原料の供給を止めるためVU1の110aと118aのバルブをクローズし、第二有機シロキサン原料のみを使いハードマスク206’を形成した。
本実施例で得られた、デバイスの実効誘電率keffは2.94であり、3を下回った。
(比較例5)
比較例5としてビア層間低誘電率膜203にAurora、エッチングストッパー膜204にはSiO2、配線層間低誘電率膜205に第一有機シロキサン原料、ハードマスク206にSiO2を使った(図1A参照)。
本比較例で得られた、デバイスの実効誘電率keffは3.24であり、3を上回った。前述と同様不飽和炭化水素基の架橋反応により、高い成膜速度が得られ、スループットの向上が期待できる。
以上、本発明をその好適な実施例に基づいて説明したが、本発明の多孔質の絶縁膜の形成方法は、上記実施例の構成にのみ限定されるものではなく、上記実施例の構成から種々の修正及び変更を施したものも、本発明の範囲に含まれる。
本出願は、2006年7月21日出願に係る日本特許出願2006−199273号を基礎とし且つその優先権を主張するものであり、引用によってその開示の内容の全てを本出願の明細書中に加入する。

Claims (13)

  1. 環状有機シリカ構造を持つ2種以上の原料の気体をプラズマ反応によって成膜させた有機シリカ膜の形成方法であり、主骨格に3員環SiO環状構造を持つ原料と4員環SiO環状構造をもつ原料からなり、かつこれら原料の少なくとも1種は側鎖に少なくとも1つの不飽和炭化水素基を持つこと特徴とする絶縁膜の形成方法。
  2. 環状有機シリカ構造を持つ1種以上の原料の気体と、直鎖状有機シリカ構造を持つ1種以上の原料の気体とを、プラズマ反応によって成膜させた有機シリカ膜の形成方法であり、前記環状有機シリカ構造を持つ原料は主骨格に3員環SiO環状構造を持ち、かつ前記直鎖状有機シリカ構造を持つ原料の元素組成比がH/C≧1.6、C/Si≧5、H/Si≧8であり、かつこれら原料の少なくとも1種は、側鎖に少なくとも1つの不飽和炭化水素基を持ち、
    前記直鎖状有機シリカ構造を持つ原料の直鎖状有機シリカ化合物が、下記式11に示す構造であり、R5は不飽和炭素化合物、R6、R7、R8は飽和炭素化合物であり、R5はビニル基又はアリル基、R6、R7、R8はメチル基、エチル基、プロピル基、イソプロピル基、ブチル基のいずれかであること特徴とする絶縁膜の形成方法。
    Figure 0004812838
  3. 前記シリカ構造を持つ原料が、それぞれ異なる気化器により気体化し反応容器に導入されることを特徴とする請求項1又は2に記載の絶縁膜の形成方法。
  4. 前記シリカ構造を持つ原料が同一の気化器により気体化し反応容器に導入されることを特徴とする請求項1又は2に記載の絶縁膜の形成方法。
  5. 前記3員環SiO環状構造を持つ原料の環状有機シリカ化合物が、下記式1に示す構造であり、R1、R2は不飽和炭素化合物または飽和炭素化合物であり、かつ、ビニル基、アリル基、メチル基、エチル基、プロピル基、イソプロピル基、ブチル基のいずれかであることを特徴とする請求項1乃至4のいずれか一項に記載の絶縁膜の形成方法。
    Figure 0004812838
  6. 前記3員環SiO環状構造を持つ原料の環状有機シリカ化合物が下記式2、式3、式4、式5に示す構造を有する化合物の少なくともいずれか1つであることを特徴とする請求項1乃至5のいずれか一項に記載の絶縁膜の形成方法。
    Figure 0004812838
    Figure 0004812838
    Figure 0004812838
    Figure 0004812838
  7. 前記4員環SiO環状構造を持つ原料の環状有機シリカ化合物が、下記式6に示す構造であり、R3、R4は不飽和炭素化合物、飽和炭素化合物または水素であり、かつ、水素、ビニル基、アリル基、メチルビニル基、メチル基、エチル基、プロピル基、イソプロピル基、ブチル基のいずれかであることを特徴とする請求項1又は3乃至6のいずれか一項に記載の絶縁膜の形成方法。
    Figure 0004812838
  8. 前記4員環SiO環状構造を持つ原料の環状有機シリカ化合物が下記式7、式8、式9、式10に示す構造を有する化合物の少なくともいずれか1つであることを特徴とする請求項1又は3乃至7のいずれか一項に記載の絶縁膜の形成方法。
    Figure 0004812838
    Figure 0004812838
    Figure 0004812838
    Figure 0004812838
  9. 前記直鎖状有機シリカ構造を持つ原料の直鎖状有機シリカ化合物が、下記式12に示す構造を有する化合物であることを特徴とする請求項2乃至のいずれか一項に記載の絶縁膜の形成方法。
    Figure 0004812838
  10. 請求項1乃至9のいずれか一項に記載の絶縁膜の形成方法により形成される絶縁膜であって、
    前記絶縁膜において少なくともアモルファスカーボンが含まれることを特徴とする絶縁膜。
  11. 請求項1乃至9のいずれか一項に記載の絶縁膜の形成方法により形成される絶縁膜を含む半導体デバイスであって、
    前記絶縁膜にアモルファスカーボンが含まれ、かつ、前記アモルファスカーボンがSp2構造とSp3構造を兼ね備えることを特徴とする半導体デバイス。
  12. 請求項10に記載の絶縁膜を含む半導体デバイスであって、
    前記絶縁膜に含まれるアモルファスカーボンがSp2構造とSp3構造を兼ね備えることを特徴とする半導体デバイス。
  13. 請求項11又は12に記載の半導体デバイスであって、
    前記絶縁膜において有機シリカ構造を持つ原料の比を変化させて形成された絶縁膜を2層以上持つことを特徴とする半導体デバイス。
JP2008525919A 2006-07-21 2007-07-23 多孔質絶縁膜の形成方法 Expired - Fee Related JP4812838B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008525919A JP4812838B2 (ja) 2006-07-21 2007-07-23 多孔質絶縁膜の形成方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2006199273 2006-07-21
JP2006199273 2006-07-21
PCT/JP2007/064407 WO2008010591A1 (fr) 2006-07-21 2007-07-23 Procédé de formation d'un film isolant poreux
JP2008525919A JP4812838B2 (ja) 2006-07-21 2007-07-23 多孔質絶縁膜の形成方法

Publications (2)

Publication Number Publication Date
JPWO2008010591A1 JPWO2008010591A1 (ja) 2009-12-17
JP4812838B2 true JP4812838B2 (ja) 2011-11-09

Family

ID=38956918

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008525919A Expired - Fee Related JP4812838B2 (ja) 2006-07-21 2007-07-23 多孔質絶縁膜の形成方法

Country Status (4)

Country Link
US (1) US8790785B2 (ja)
JP (1) JP4812838B2 (ja)
CN (1) CN101495674B (ja)
WO (1) WO2008010591A1 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8252113B2 (en) * 2005-03-24 2012-08-28 Ulvac, Inc. Method for producing component for vacuum apparatus, resin coating forming apparatus and vacuum film forming system
JP5262144B2 (ja) * 2008-01-31 2013-08-14 日本電気株式会社 半導体デバイス及びその製造方法
JP5554951B2 (ja) * 2008-09-11 2014-07-23 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2010153824A (ja) * 2008-11-18 2010-07-08 Renesas Electronics Corp 多孔質絶縁膜の製造方法、半導体装置の製造方法、及び半導体装置
JP2010278330A (ja) * 2009-05-29 2010-12-09 Renesas Electronics Corp 半導体装置及び半導体装置の製造方法
JP2011166106A (ja) * 2010-01-13 2011-08-25 Renesas Electronics Corp 半導体装置の製造方法及び半導体装置
JP2011155077A (ja) * 2010-01-26 2011-08-11 Renesas Electronics Corp 半導体装置の製造方法
JP5864095B2 (ja) * 2010-02-18 2016-02-17 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011199059A (ja) * 2010-03-19 2011-10-06 Renesas Electronics Corp 半導体装置およびその製造方法
JP2012074651A (ja) * 2010-09-30 2012-04-12 Renesas Electronics Corp 半導体装置、及び、その製造方法
JP6131575B2 (ja) * 2011-12-22 2017-05-24 東ソー株式会社 環状シロキサン化合物の製造方法およびジシロキサン化合物
TW201348496A (zh) * 2012-02-15 2013-12-01 Renesas Electronics Corp 多孔性絕緣膜的製造方法以及包含該膜的半導體裝置
FR2987626B1 (fr) * 2012-03-05 2015-04-03 Commissariat Energie Atomique Procede de collage direct utilisant une couche poreuse compressible
JP5904866B2 (ja) 2012-05-08 2016-04-20 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
JP6109368B2 (ja) * 2016-03-15 2017-04-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
US10170308B1 (en) * 2017-10-11 2019-01-01 International Business Machines Corporation Fabricating semiconductor devices by cross-linking and removing portions of deposited HSQ
JP7126381B2 (ja) * 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1032199A (ja) * 1996-07-17 1998-02-03 Toshiba Corp 半導体装置
JPH1187340A (ja) * 1997-09-05 1999-03-30 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP2002513203A (ja) * 1998-04-28 2002-05-08 シリコン ヴァレイ グループ サーマル システムズ リミテッド ライアビリティ カンパニー 低κ誘電性無機/有機ハイブリッドフィルム及びその製造方法
WO2003019645A1 (fr) * 2001-08-30 2003-03-06 Tokyo Electron Limited Procede et appareil de formation d'un film
JP2004047873A (ja) * 2002-07-15 2004-02-12 Nec Corp 有機シロキサン共重合体膜、その製造方法、成長装置、ならびに該共重合体膜を用いた半導体装置
WO2005053009A1 (ja) * 2003-11-28 2005-06-09 Nec Corporation 多孔質絶縁膜及びその製造方法並びに多孔質絶縁膜を用いた半導体装置

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
JP4746829B2 (ja) 2003-01-31 2011-08-10 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1032199A (ja) * 1996-07-17 1998-02-03 Toshiba Corp 半導体装置
JPH1187340A (ja) * 1997-09-05 1999-03-30 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP2002513203A (ja) * 1998-04-28 2002-05-08 シリコン ヴァレイ グループ サーマル システムズ リミテッド ライアビリティ カンパニー 低κ誘電性無機/有機ハイブリッドフィルム及びその製造方法
WO2003019645A1 (fr) * 2001-08-30 2003-03-06 Tokyo Electron Limited Procede et appareil de formation d'un film
JP2004047873A (ja) * 2002-07-15 2004-02-12 Nec Corp 有機シロキサン共重合体膜、その製造方法、成長装置、ならびに該共重合体膜を用いた半導体装置
WO2005053009A1 (ja) * 2003-11-28 2005-06-09 Nec Corporation 多孔質絶縁膜及びその製造方法並びに多孔質絶縁膜を用いた半導体装置

Also Published As

Publication number Publication date
CN101495674B (zh) 2013-07-17
WO2008010591A1 (fr) 2008-01-24
JPWO2008010591A1 (ja) 2009-12-17
US20090246538A1 (en) 2009-10-01
CN101495674A (zh) 2009-07-29
US8790785B2 (en) 2014-07-29

Similar Documents

Publication Publication Date Title
JP4812838B2 (ja) 多孔質絶縁膜の形成方法
JP5267130B2 (ja) 半導体装置およびその製造方法
US7964442B2 (en) Methods to obtain low k dielectric barrier with superior etch resistivity
JP4689026B2 (ja) 極限低誘電率膜のためのキャッピング層
US7564136B2 (en) Integration scheme for Cu/low-k interconnects
JP4656147B2 (ja) 多孔質絶縁膜の形成方法および半導体装置
JP4090740B2 (ja) 集積回路の作製方法および集積回路
JP5554951B2 (ja) 半導体装置の製造方法
US6737747B2 (en) Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof
JP5168142B2 (ja) 半導体装置
JP5093479B2 (ja) 多孔質絶縁膜の形成方法
US20090093100A1 (en) Method for forming an air gap in multilevel interconnect structure
JP5567588B2 (ja) 酸素含有前駆体を用いる誘電体バリアの堆積
US7314828B2 (en) Repairing method for low-k dielectric materials
TWI528454B (zh) 半導體裝置及半導體裝置之製造方法
US20030134499A1 (en) Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof
JP2011166106A (ja) 半導体装置の製造方法及び半導体装置
US9059259B2 (en) Hard mask for back-end-of-line (BEOL) interconnect structure
US9870944B2 (en) Back-end-of-line (BEOL) interconnect structure
JP2010267971A (ja) 窒素含有前駆物質を用いる誘電体バリアの堆積
US20080188074A1 (en) Peeling-free porous capping material
JP2004534373A (ja) 多相低誘電率材料およびその堆積方法
JP2010287655A (ja) 半導体装置の製造方法
US7129164B2 (en) Method for forming a multi-layer low-K dual damascene
JP2009094123A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7421

Effective date: 20100223

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20100618

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110426

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110623

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110719

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110801

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110823

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110823

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140902

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees