JP2011166106A - 半導体装置の製造方法及び半導体装置 - Google Patents

半導体装置の製造方法及び半導体装置 Download PDF

Info

Publication number
JP2011166106A
JP2011166106A JP2010129633A JP2010129633A JP2011166106A JP 2011166106 A JP2011166106 A JP 2011166106A JP 2010129633 A JP2010129633 A JP 2010129633A JP 2010129633 A JP2010129633 A JP 2010129633A JP 2011166106 A JP2011166106 A JP 2011166106A
Authority
JP
Japan
Prior art keywords
insulating film
film
semiconductor device
forming
flow rate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2010129633A
Other languages
English (en)
Inventor
Hirochika Yamamoto
博規 山本
Yoshihiro Hayashi
喜宏 林
Jun Kawahara
潤 川原
Tatsuya Usami
達矢 宇佐美
Koichi One
光市 大音
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2010129633A priority Critical patent/JP2011166106A/ja
Priority to US13/006,034 priority patent/US8435828B2/en
Publication of JP2011166106A publication Critical patent/JP2011166106A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】素子の信頼性を劣化させることなく、より比誘電率の低い絶縁膜を形成する。
【解決手段】この半導体装置の製造方法は、下地膜101に、環状シロキサンをプラズマ重合させて第一の絶縁膜102を形成する工程と、第一の絶縁膜102を形成する工程の後、連続的に、第一の絶縁膜102上に、環状シロキサンをプラズマ重合させて第二の絶縁膜103を形成する工程と、を含む。第一の絶縁膜102の成膜速度は、第二の絶縁膜103の成膜速度よりも遅い。
【選択図】図1

Description

本発明は、半導体装置の製造方法及び半導体装置に関する。
近年、半導体の微細化が進むにつれ寄生容量による配線遅延や消費電力の増加が問題となっている。これを回避するため、絶縁膜の低誘電率化が進められている。
特許文献1には、不飽和炭化水素とアモルファスカーボンとを含む環状シロキサンをプラズマ重合させて形成したバリア絶縁膜が記載されている。このバリア絶縁膜は、銅の拡散耐性を有し、かつその比誘電率が3.5未満である。そのため、このバリア絶縁膜で銅含有配線を被覆することで、銅含有配線の信頼性を劣化させることなく、配線間容量を低減でき、高速、低消費電力なLSIを実現できるとされている。
なお、一般的な低誘電率絶縁膜を成膜する手法として特許文献2〜6に記載のものがある。
国際公開第2008/078649号パンフレット 特開2002−25228号公報 特開平2−222178号公報 特開平7−58096号公報 特開2005−223012号公報 特開2009−27075号公報
しかしながら、本発明者らの知見によれば、特許文献1に記載の環状シロキサンを用いたプラズマ重合を行うと、成膜初期において異常放電を起こす成膜条件が存在することが明らかとなった。そのため、成膜初期の絶縁膜の膜厚が不均一になり、絶縁膜全体の膜厚の均一性を劣化させることがあった。また、トランジスタ上に絶縁膜を形成すると、この異常放電によりゲート絶縁膜にダメージを与えるということもあった。したがって、成膜条件のマージンを広げ、かつ素子の信頼性を劣化させることなく、絶縁膜を低誘電率化できる技術が求められた。
本発明によれば、
下地膜に、Si−O環状構造を有する有機シロキサンをプラズマ重合させて第一の絶縁膜を形成する工程と、
前記第一の絶縁膜を形成する前記工程の後、連続的に、前記第一の絶縁膜上に、前記有機シロキサンをプラズマ重合させて第二の絶縁膜を形成する工程と、
を含み、
前記第一の絶縁膜の成膜速度が前記第二の絶縁膜の成膜速度よりも遅い、半導体装置の製造方法
が提供される。
また、本発明によれば、
金属配線と、
上記の方法により形成された第一の絶縁膜と第二の絶縁膜からなる積層構造の層間絶縁膜と、
を備え、前記層間絶縁膜が前記金属配線間に形成されている、半導体装置
が提供される。
また、本発明によれば、
下地膜と、
前記下地膜上に形成された第一の絶縁膜と、
前記第一の絶縁膜上に連続的に形成された第二の絶縁膜と、
を備え、
前記第一、第二の絶縁膜の少なくとも一方が環状シロキサンを有し、
前記第一、第二の絶縁膜がいずれもシリコン、酸素、炭素及び水素を構成元素とし、前記第一、第二の絶縁膜中に含まれる酸素の原子数(O)に対する炭素の原子数(C)の比率をC/O比としたとき、前記第一の絶縁膜及び前記第二の絶縁膜のC/O比が互いに異なる、半導体装置
が提供される。
さらに、本発明によれば、
前記下地膜が少なくともシリコン及び炭素を構成元素とし、
前記下地膜中に含まれるシリコンの原子数(Si)に対する炭素の原子数(C)の比率をC/Si比としたとき、前記下地膜と前記第一の絶縁膜との界面における前記下地膜のC/Si比が、下地膜の内部のC/Si比より低い、半導体装置
が提供される。
ここで、本発明において「下地膜」とは、膜だけではなく、基板をも含むものである。
この発明によれば、下地膜に、Si−O環状構造を有する有機シロキサンをプラズマ重合させて絶縁膜を形成する工程において、成膜開始時の第一の絶縁膜の成膜速度を成膜後期の第二の絶縁膜の成膜速度よりも遅くする。これにより、成膜初期における成膜表面のインピーダンス変化を緩慢にさせることができるため、プラズマが安定化し、成膜初期における異常放電の発生を抑制することができる。したがって、素子の信頼性を劣化させることなく、より比誘電率の低い絶縁膜を形成することができる。同時に下地層との密着強度を上げ、剥離等を減少させることで、CMP(Chemical Mechanical Polishing)などのプロセス耐性や実装耐性が向上する。
本発明によれば、素子の信頼性を劣化させることなく、より比誘電率の低い絶縁膜を形成することができる。
実施の形態に係る半導体装置の製法方法を模式的に示した断面図である。 実施の形態に係る半導体装置の製法方法で用いるプラズマ発生装置を模式的に示した図である。 第1の実施形態に係る半導体装置の製造方法のタイムチャートである。 第2の実施形態に係る半導体装置の製造方法のタイムチャートである。 第3の実施形態に係る半導体装置の製法方法を模式的に示した断面図である。 (a)は実施例を示す図である。(b)は比較例を示す図である。 実施例を示す図である。 (a)は実施例を示す図である。(b)は比較例を示す図である。 実施例を示す図である。 (a)は比較例のタイムチャートである。(b)は実施例のタイムチャートである。(c)は比較例を示す図である。(d)は実施例を示す図である。 実施例を示す図である。 従来の半導体装置の製造方法を示す図である。(a)は従来の半導体装置を模式的に示した断面図である。(b)は従来の半導体装置の製造方法のタイムチャートである。 従来の半導体装置の製造方法を説明する図である。 第4の実施形態に係る半導体装置の製造方法のタイムチャートである。 酸化性ガス添加量に対する成膜レートの関係を示した図である。 実施例を示す図である。 実施例を示す図である。 比較例のタイムチャートである。 比較例を示す図である 密着強度を示した図である。 実施例を示す図である。 実施例を示す図である。 実施例を示す図である。
以下、本発明の実施の形態について、図面を用いて説明する。尚、すべての図面において、同様な構成要素には同様の符号を付し、適宜説明を省略する。
(第1の実施形態)
図1は、本実施形態に係る半導体装置の製造方法を説明する図である。図1は、本発明すなわち、下地膜101、第一の絶縁膜102、第二の絶縁膜103の関係をわかりすく説明するために、簡略したものであり、実際の半導体プロセス工程では配線層(図示せず)やバリア膜(図示せず)、キャップ膜(図示せず)などが存在している。本実施形態は、下地膜101に、環状シロキサンをプラズマ重合させて第一の絶縁膜102を形成する工程と(図1(b))、第一の絶縁膜102を形成する工程の後、連続的に、第一の絶縁膜102上に、環状シロキサンをプラズマ重合させて第二の絶縁膜103を形成する工程(図1(c))と、を含む。ここでいう「連続的に」とは、第一の絶縁膜102の形成する工程の後、真空状態を維持し、かつ、環状シロキサンの供給を停止しないで、引き続き、第二の絶縁膜103を形成する工程を実行することをいう。そのため、第一の絶縁膜102と第二の絶縁膜103との間には不連続面が形成されないが、図1では、説明のため、第一の絶縁膜102と第二の絶縁膜103との境界を示している。このようにして、第一の絶縁膜と第二の絶縁膜の積層構造が形成される。第一の絶縁膜102の成膜速度は、第二の絶縁膜103の成膜速度よりも遅い。
以下、本実施形態に係る半導体装置の製造方法について詳細に説明する。まず、下地膜101を用意する(図1(a))。下地膜101は、導体、半導体、絶縁膜又は基板のいずれかとする。本実施形態では、シリコン基板とする。
ついで、用意した下地膜101をプラズマ発生装置のチャンバー内に投入する。図2は、プラズマ発生装置の一例を示す図である。チャンバー201は、排気配管207、排気バルブ222及び冷却トラップ208を介して真空ポンプ209に接続されている。これにより、真空ポンプ209を運転させることでチャンバー201内を減圧させることができる。また、チャンバー201の真空はチャンバー201と真空ポンプ209の間に設置されるスロットルバルブ(図示せず)で制御することで、チャンバー201内の圧力も制御することができる。チャンバー201の内部には加熱機能を有するステージ203が設けられている。ステージ203上には、シリコン基板10が敷置される。ステージ203は200℃〜400℃の温度範囲に加熱されていることが好ましい。
環状シロキサン(液体)は、原料リザーバタンク226から圧送され気化器216内において気化し、バルブ221を介して配管215を通じ、チャンバー201内へ供給される。このとき、環状シロキサンは、バルブ225、224を介して気化器216内に投入され、液体流量コントローラ223によって流量調整がされている。
環状シロキサンとして、化学式(1)で示すSi−O環状構造を有する有機シロキサンを用いる。
Figure 2011166106
化学式(1)において、R1、R2は、水素又は炭素数1〜4の炭化水素基であり、R1及びR2は、同一であってもよいし、異なっていてもよい。nは、2〜5とする。
また、化学式(1)のR1、R2は、炭素数2〜4の直鎖状不飽和炭化水素基又は炭素数3〜4の分枝鎖状飽和炭化水素基を少なくとも1つ有するのが好ましい。具体的には、化学式(1)中、R1、R2は、ビニル基、アリル基、メチル基、エチル基、プロピル基、イソプロピル基及びブチル基のいずれかとすると好ましい。また、nは、3又は4とすると好ましい。具体的には、化学式(1)で示す環状シロキサンとして、化学式(2)で示すテトラビニルシクロテトラシロキサン誘導体、化学式(3)で示すトリビニルシクロテトラシロキサン誘導体、化学式(4)、(5)で示すジビニルシクロテトラシロキサン誘導体、化学式(6)で示すビニルシクロテトラシロキサン誘導体、化学式(7)で示すトリビニルシクロトリシロキサン誘導体、化学式(8)で示すジビニルシクロトリシロキサン誘導体、化学式(9)で示すビニルシクロトリシロキサン誘導体が例示される。
Figure 2011166106
Figure 2011166106
Figure 2011166106
Figure 2011166106
Figure 2011166106
Figure 2011166106
Figure 2011166106
Figure 2011166106
化学式(2)〜(9)において、R1〜R7は、水素又は炭素数1〜4の炭化水素基であり、R1〜7は、同一であってもよいし、異なっていてもよい。化学式(2)〜(9)中、R1〜R7は、ビニル基、アリル基、メチル基、エチル基、プロピル基、イソプロピル基及びブチル基のいずれかであることが好ましい。環状シロキサンとして、より好ましくは、化学式(10)で示すテトラビニルテトラメチルシクロテトラシロキサン、化学式(11)で示すトリビニルトリイソプロピルシクロトリシロキサンを用いることができる。
Figure 2011166106
Figure 2011166106
本発明における環状シロキサンとして、化学式(2)〜(9)で示される環状シロキサンの中から一種類の環状シロキサンを選択して用いてもよいし、数種類組み合わせて用いてもよい。二種の環状シロキサンを原料として用いる場合は、気化器216を用いて、上記のように、一の環状シロキサンを気化し、気化器216とは異なる気化器を用いて他の環状シロキサンを気化し、配管215内で二種の環状シロキサンガスを混合し、チャンバー201に供給すれば良い。また、三種類以上の環状シロキサンを用いる場合には、複数の原料タンク(図示しない)、環状シロキサン供給配管(図示しない)、気化供給システム(図示しない)、環状シロキサン供給配管(図示しない)などを同様に設置すれば良い。
また、配管215には、ガス流量コントローラ218及びバルブ220を介してキャリアガスが導入可能となっている。キャリアガスとしては、ヘリウム(He)、アルゴン(Ar)、ネオン(Ne)、キセノン(Xe)、窒素(N)などの不活性ガスを用いることができる。また、添加ガス流量コントローラ228及びバルブ227を介して、酸素(O)、二酸化炭素(CO)、一酸化窒素(NO)及び二酸化窒素(NO)などの酸化性ガスを添加することができる。成膜の際、原料ガス(環状シロキサンガス)と不活性ガス(キャリアガス、励起ガス)のみを使うことで、装置を簡素化することができ、また使用するガスが少なくなることで成膜が単純になり、制御がしやすいという利点がある。
配管215は、ヒータと断熱材によって加熱・保温され、気化した環状シロキサンの再液化を防止している。
チャンバー201に導入された環状シロキサンガス及びキャリアガスは、複数の貫通孔を具有しチャンバー201内に設置されたシャワーヘッド204で分散される。シャワーヘッド上部には図示しないガス分散板が設けられることもある。
シャワーヘッド204には、給電線211とマッチングコントローラ212とを介して高周波電源(RF(Radio−Frequency)電源)213が接続され、接地線206を介して接地されたステージ203との間に高周波電力(RF電力)が供給される。供給するRF電力は、第一の絶縁膜102の成膜工程(図1(b))では、第二の絶縁膜103の成膜工程(図1(c))よりも低く設定することが好ましい。具体的には、第一の絶縁膜102の成膜工程(図1(b))のRF電力は、第二の絶縁膜103の成膜工程のRF電力の80%以下にすることが好ましい。
ここで、第一の絶縁膜102の成膜速度は、後述する第二の絶縁膜103の成膜速度を1とした場合、0.75以下とするように、RF電力を設定すると好ましい。第二の絶縁膜103の成膜速度を120nm/分以上とし、第一の絶縁膜の成膜速度が90nm/分以下にすることが好ましい。また、第一の絶縁膜102の成膜工程において、第一の絶縁膜102の膜厚面内均一性が4%以下を保持できるようにすることが好ましい。なお、膜厚面内均一性は、分光エリプソメーターを用いた膜厚の均一性の面内分布測定法により測定することができる。膜厚の測定点は300mmウェハの場合中央に1点、半径49mmの円上に8方位、半径98mmの円上に16方位、半径147mmの円上に24方位の計49点である。膜厚面内均一性は、下記の数式(1)で示すように定義する。
膜厚面内均一性(%)={(測定点の最大膜厚−測定点の最小膜厚)/平均膜厚/2}×100・・・・(1)
そして、チャンバー201に導入された環状シロキサンガス及びキャリアガスは、シャワーヘッド204とステージ203との間にかかる印加電力によってプラズマ化し、ステージ203上に置かれたシリコン基板10の表面に堆積し、第一の絶縁膜102を形成する。
ついで、下地膜101の表面が第一の絶縁膜102で覆われたタイミングで、RF電源213の出力を上げ、供給するRF電力を大きくする。こうすることで、第一の絶縁膜102の表面に第二の絶縁膜103の成膜が開始する。具体的には、第一の絶縁膜102が膜厚10nm以上になったタイミングで、RF電力を上げることが好ましい。このように、本実施形態では、第一の絶縁膜102成膜後、チャンバー201から取り出さずに、続けて、第一の絶縁膜102の表面に第二の絶縁膜103を成膜する。これにより、第一の絶縁膜102の成膜工程後「連続的に」第二の絶縁膜103が形成される。このようにして、第一の絶縁膜と第二の絶縁膜の積層構造が形成される。なお、第二の絶縁膜103の成膜を開始する時点における第一の絶縁膜102の膜厚面内均一性は、4%以下である。
図3には、第一の絶縁膜102及び第二の絶縁膜103の成膜工程のタイムチャートを示す。図3のIの領域が第一の絶縁膜102の成膜工程を示し、同IIが第二の絶縁膜103の成膜工程を示す。
環状シロキサンのガス流量及びキャリアガスのガス流量は、流量コントローラの制御により、第一の絶縁膜102の成膜工程から第二の絶縁膜103の成膜工程にわたって一定とする。ここで、チャンバー内に導入されるキャリアガス及び環状シロキサンガスは、キャリアガスの流量(b)に対する環状シロキサンのガス流量(a)の比率(a/b)が0.05〜0.6にするとよい。また、チャンバー201内の圧力は、真空ポンプ209等によって、一定に制御する。そして、図3で示すように、RF電力のみが第一の絶縁膜102の成膜工程後、徐々に上げられ、所望の膜厚の第二の絶縁膜103が形成されるまで、一定状態を維持する。RF電力は、第二の絶縁膜103の成膜速度を第一の絶縁膜102の成膜速度よりも30nm/分以上増加させることが好ましい。
ここで、第二の絶縁膜103の成膜初期におけるRF電力は、チャンバー201内のプラズマ安定性を考慮すれば、徐々に上げることが望ましい。しかしながら、第二の絶縁膜103の膜組成を均一にするためには、第二の絶縁膜103の成膜速度を一定にすることが好ましい。したがって、第二の絶縁膜103の膜組成を均一にするためには、RF電力の上昇速度をチャンバー201内のプラズマ安定性を損なわない範囲に制御することが好ましい。
このように成膜された第一の絶縁膜102のシリコンの原子数(Si)に対する炭素の原子数(C)の比率(C/Si)は、第二の絶縁膜103のC/Siよりも高くなる。
また、第一の絶縁膜102のシリコンの原子数(Si)に対する酸素の原子数(O)の比率(O/Si)は、第二の絶縁膜103のO/Siよりも低くなる。
以上、説明した方法を半導体装置の低誘電率絶縁膜の成膜工程に導入することで、半導体装置を完成する。これにより、第一の絶縁膜102と、第一の絶縁膜102上に連続的に形成された第二の絶縁膜103とを備えた半導体装置が得られる。第一、第二の絶縁膜102、103は、いずれもシリコン、酸素、炭素及び水素を主な構成元素としている。また、第一、第二の絶縁膜102、103には、環状シロキサンが残存して空孔をなす。また、第一の絶縁膜102上への第二の絶縁膜103形成工程を、真空チャンバーから取り出すことなく連続工程にて行うため、第一、第二の絶縁膜102、103は不連続面を有しない。このため、第一、第二の絶縁膜102、103が一体として多孔質絶縁膜をなす。この多孔質絶縁膜の比誘電率は、2.7以下となる。環状シロキサンを用いたことにより、従来の低誘電率膜と比べて、C/Si比が高い膜となっている。また、第一の絶縁膜102の酸素の原子数(O)に対する炭素の原子数(C)の比率(C/O)が第二の絶縁膜103よりも高くなっている。たとえば、第一の絶縁膜102のC/O比を3.86であり、第二の絶縁膜103のC/O比を3.38とすることができる。
第一、第二の絶縁膜102、103内の空孔は、膜材料の化学構造を利用して形成されるため、従来よりも径の小さい多孔質絶縁膜が得られる。たとえば、小角X線散乱法により測定した空孔の最大径を1.5nm以下、より好ましくは、1.0nm以下、平均値を0.5nm以下、半値幅を0.3nm〜0.5nmとすることができる。なお、第一の絶縁膜102と第二の絶縁膜103との界面は連続面となるため、絶縁膜間の密着力の低下の懸念はない。
つづいて、本実施形態の半導体装置の製造方法の作用及び効果について説明する。この方法によれば、下地膜101に、環状シロキサンをプラズマ重合させて絶縁膜を形成する工程において、成膜開始時の第一の絶縁膜102の成膜速度を成膜後期の第二の絶縁膜103の成膜速度よりも遅くする。これにより、成膜初期における成膜表面のインピーダンス変化を緩慢にさせることができるため、プラズマが安定化し、成膜初期における異常放電の発生を抑制することができる。したがって、素子の信頼性を劣化させることなく、より比誘電率の低い絶縁膜を形成することができる。
前記化学式(1)に示すような側鎖に炭化水素基を持つ環状シロキサンによれば、C/Siが高い多孔質絶縁膜を成膜することができる。したがって、従来よりも比誘電率の低い絶縁膜が形成されることが期待される。しかしながら、環状シロキサンを用いた成膜法では、プラズマ中における解離状態が環境の影響を受けやすく多種類の活性種を発生する。これにより、プラズマが不安定になりやすい。特に成膜初期では、下地膜101と成膜される絶縁膜との間でインピーダンスが大きく異なるため、さらにプラズマが不安定化し、異常放電が起きてしまう。このような異常放電は半導体装置のゲート酸化膜絶縁耐圧劣化の要因となっている。そして、その不安定なプラズマ環境は、刻々と変化していくため、成膜初期の膜厚が不均一となりやすいことがあった。その結果、成膜初期の膜厚が不均一となることで、絶縁膜全体の膜厚が不均一となり、素子の性能を低下させていた。
しかしながら、本実施形態では、RF電力を成膜初期に低くすることで、成膜初期における活性種の発生を抑制し、プラズマ重合が起こりにくくなるため、環状シロキサンの成膜初期における成膜速度を低くすることができる。これにより成膜表面のインピーダンス変化を緩慢にでき、プラズマの急激な変化を抑制して、さらにプラズマ環境は安定化させることができる。こうすることで、成膜初期において膜厚の均一な絶縁膜を成膜することが可能になる。
(第2の実施形態)
本実施形態もまた、半導体装置の製造方法である。本実施形態では、第1の実施形態と異なる点のみを説明し、同一の内容は適宜省略する。本実施形態もまた、図1で示すように、下地膜101に、環状シロキサンをプラズマ重合させて第一の絶縁膜102を形成し、連続的に、第一の絶縁膜102上に、環状シロキサンをプラズマ重合させて第二の絶縁膜103を形成する。このようにして、第一の絶縁膜と第二の絶縁膜の積層構造が形成される。第1の実施形態では、RF電力により成膜速度を制御したが、本実施形態では、第二の絶縁膜103を形成する工程(図1(c))におけるキャリアガスの流量(b)と励起ガスの流量(c)との和(b+c)に対する環状シロキサンのガス流量(a)の比率(a/(b+c))が、第一の絶縁膜102を形成する工程(図1(b))におけるキャリアガスの流量(b)と励起ガスの流量(c)との和(b+c)に対する環状シロキサンのガス流量(a)の比率(a/(b+c))よりも大きくすることで、成膜速度を制御する。
具体的には、本実施形態の製造方法は、図4のタイムチャートで示される。図4で示すように、チャンバー201内の圧力、RF電力及び環状シロキサンの流量は、第一の絶縁膜102の成膜工程(I)及び第二の絶縁膜103の成膜工程(II)にわたって一定である。また、本実施形態では、キャリアガスと励起ガスとをチャンバー201内に投入する。キャリアガス及び励起ガスは、いずれも、ヘリウム(He)、アルゴン(Ar)、ネオン(Ne)、キセノン(Xe)、窒素(N)などの不活性ガスとすることができるが、組成は同一とするほうがより好ましい。キャリアガスの流量は第一の絶縁膜102の成膜工程及び第二の絶縁膜103の成膜工程にわたって一定とするが、励起ガスは、第一の絶縁膜102が10nm以上成膜したところで流量を徐々に減少させ、第二の絶縁膜103の成膜初期において0にする。たとえば、励起ガスの流量は、キャリアガスの流量の2〜3倍程度にすることができる。
具体的には、第一の絶縁膜102を形成する工程(I)において、キャリアガスの流量(b)と励起ガスの流量(c)との和(b+c)に対する環状シロキサンのガス流量(a)の比率(a/(b+c))を0.15以下とすると好ましく、0.1以下がより好ましい。bは、励起ガス及びキャリアガスを混合させた流量として算出する。
また、第二の絶縁膜103の成膜工程(II)において、キャリアガスの流量(b)と励起ガス流量(c)との和(b+c)に対する環状シロキサンのガス流量(a)の混合比(a/(b+c))は、0.2以上とするとよい。例えば、第二の絶縁膜103の成膜工程の開始後所定の期間、徐々に励起ガスの流量を減少させて励起ガスの流量を0とする。こうすることで、励起ガスの流量とキャリアガスの流量との和(b+c)に対する環状シロキサンのガス流量(a)の混合比(a/(b+c))を0.2以上とすることができる。
このように成膜された第一の絶縁膜102のシリコンの原子数(Si)に対する炭素の原子数(C)の比率(C/Si)は、第二の絶縁膜103のC/Siよりも低くなる。これは、環状シロキサンがキャリアガスに衝突して解離しやすくなるためで、それと同時にSiの価数が増える、つまり酸化が進む方向にある。したがって、第一の絶縁膜102は第二の絶縁膜103よりも硬質な膜となる。このため、下地膜101への密着性が向上する。また、第一の絶縁膜102の酸素/シリコン比(O/Si)は、第二の絶縁膜103のO/Siよりも高くなる。したがって、第一の絶縁膜102は、第二の絶縁膜103よりも膜強度が優れる。また、第一の絶縁膜102のC/O比が、第二の絶縁膜103のC/O比よりも低くなる。たとえば、第一の絶縁膜102のC/O比を3.14以上3.29以下の範囲とし、かつ、第二の絶縁膜103のC/O比を3.38以上4.17以下の範囲とすることができる。
たとえば、図4のIにおける成膜速度は、図4のIIにおける成膜速度の0.8倍にすることができる。この場合、第一の絶縁膜102は、比誘電率が2.7、最大空孔径が1.0nmであり、XPS(X線光電子分光)測定により求められた膜組成はC/Siが2.2であり、O/Siが0.7であることが確認されている。また、第二の絶縁膜103は、比誘電率が2.55、最大空孔径が0.36nmであり、膜組成はC/Siが2.7であり、O/Siが0.8であることが確認されている。
本実施形態の方法でも、低誘電率絶縁膜の成膜初期における成膜速度を低くすることができるため、第1の実施形態と同様な効果を得ることができる。本実施形態では、環状シロキサンガスがキャリアガスと衝突する頻度が向上するため、環状シロキサンが解離しやすくなるが、使用する環状シロキサンの絶対量が少ないため、プラズマを不安定化させる懸念は少ない。また、下地膜に対する密着性がよく、かつ、強度の高い絶縁膜を形成できるため、CMP(Chemical Mechanical Polishing)などの物理的な衝撃に対する耐性が高くなり、歩留まりが向上する。
(第3の実施形態)
本実施形態では、第1、第2の実施形態と異なる点のみを説明し、同一の内容は適宜省略する。本実施形態では、第一の絶縁膜102を形成する工程、及び、第二の絶縁膜103を形成する工程のいずれかの工程において、図2で示すチャンバー201内に有機シロキサンとともに酸化性ガスを供給する。本実施形態では、環状シロキサンのガス及び酸化性ガスとともに、キャリアガス、及び、励起ガス用いることができる。酸化性ガスには酸素(O)、二酸化炭素(CO)、一酸化窒素(NO)及び二酸化窒素(NO)などを使うことができる。
酸化性ガスの添加により成膜レートは図15に示すように向上させることが可能である。これによりスループット向上や原料使用量の低減といったメリットが発生し、同時に価格低減といった効果も期待できる。
本実施形態の製造方法は、図14のタイムチャートで示される。図14で示すように、チャンバー201内の圧力、RF電力、酸化性ガス流量及び環状シロキサンの流量は、第一の絶縁膜102の成膜工程(I)及び第二の絶縁膜103の成膜工程(II)にわたって一定である。また、本実施形態では、キャリアガスと励起ガスとをチャンバー201内に投入する。キャリアガス及び励起ガスは、いずれも、ヘリウム(He)、アルゴン(Ar)、ネオン(Ne)、キセノン(Xe)、窒素(N)などの不活性ガスとすることができるが、組成は同一とするほうがより好ましい。キャリアガスの流量は第一の絶縁膜102の成膜工程及び第二の絶縁膜103の成膜工程にわたって一定とするが、励起ガスは、第一の絶縁膜102が10nm以上成膜したところで流量を徐々に減少させ、第二の絶縁膜103の成膜初期において0にする。たとえば、励起ガスの流量は、キャリアガスの流量の2〜3倍程度にすることができる。
本実施形態もまた、図1で示すように、下地膜101に、環状シロキサンをプラズマ重合させて第一の絶縁膜102を形成し、連続的に、第一の絶縁膜102上に、環状シロキサンをプラズマ重合させて第二の絶縁膜103を形成する。
具体的には、第一の絶縁膜102を形成する工程(I)において、励起ガス流量とキャリアガス流量との和(b)に対する供給される環状シロキサンのガス流量(a)の比率(a/b)を0.15以下とすると好ましく、0.1以下がより好ましい。bは、励起ガス及びキャリアガスを混合させた流量として算出する。
また、チャンバー201内の圧力は、真空ポンプ209等によって、一定に制御した。そして、図14で示すように、励起ガス流量のみが第一の絶縁膜102の成膜工程後、徐々に下げられ、所望の膜厚の第二の絶縁膜103が形成されるまで、一定状態を維持する。励起ガス流量は、第二の絶縁膜103の成膜速度が第一の絶縁膜102の成膜速度よりも30nm/分以上増加させるよう設定することが好ましい。
ここで、第二の絶縁膜103の成膜初期における励起ガス流量は、チャンバー201内のプラズマ安定性を考慮すれば、徐々に下げることが望ましい。しかしながら、第二の絶縁膜103の膜組成を均一にするためには、第二の絶縁膜103の成膜速度を一定にすることが好ましい。したがって、第二の絶縁膜103の膜組成を均一にするためには、励起ガスの流量減少レートをチャンバー201内のプラズマ安定性を損なわない範囲に制御することが好ましい。
このように成膜された第一の絶縁膜102のシリコンの原子数(Si)に対する炭素の原子数(C)の比率(C/Si)は、第二の絶縁膜103のC/Siよりも低くなる。これは、環状シロキサンがキャリアガスに衝突して解離しやすくなるためで、それと同時にSiの価数が増える、つまり酸化が進む方向にある。したがって、第一の絶縁膜102は第二の絶縁膜103よりも硬質な膜となる。このため、下地膜101への密着性が向上する。また、第一の絶縁膜102の酸素/シリコン比(O/Si)は、第二の絶縁膜103のO/Siよりも高くなる。したがって、第一の絶縁膜102は、第二の絶縁膜103よりも膜強度が優れる。また、第一の絶縁膜102のC/O比が、第二の絶縁膜103のC/O比よりも低くなる。
つづいて、本実施形態の半導体装置の製造方法の作用及び効果について説明する。この方法によれば、第一の絶縁膜102の形成時、及び、第二の絶縁膜103の形成時に酸化性ガスを導入することで、成膜速度を向上させることができる。また、励起ガス及びキャリアガスに対する酸化性ガスの流量を制御することで、密着強度を保持したまま、高速成膜を実現することができる。
また、本実施形態の方法でも、低誘電率絶縁膜の成膜初期における成膜速度を低くすることができるため、第1の実施形態と同様な効果を得ることができる。本実施形態では、環状シロキサンガスがキャリアガスと衝突する頻度が向上するため、環状シロキサンが解離しやすくなるが、使用する環状シロキサンの絶対量が少ないため、プラズマを不安定化させる懸念は少ない。また、下地膜に対する密着性がよく、かつ、強度の高い絶縁膜を形成できるため、CMP(Chemical Mechanical Polishing)などの物理的な衝撃に対する耐性が高くなり、歩留まりが向上する。
(第4の実施形態)
本実施形態は、第1の実施形態を利用した半導体装置の製造方法である。図5を用いて本実施形態を説明する。
図5(a)は、この上に上層配線が形成される下層配線を示している。図示するように、下層配線は、層間絶縁膜301と、層間絶縁膜301に埋め込まれた銅(Cu)を主成分とする金属配線302と、金属配線302を覆うように層間絶縁膜301に積層されたバリア絶縁膜304とから構成される。層間絶縁膜301と金属配線302との間には、バリアメタル膜303が形成されている。下層配線部分も下記に示される上層配線と同様のプロセスを用いて形成することができる。
次にプラズマCVD法あるいはプラズマ重合反応によって第一の絶縁膜305を形成する(図5(b))。この第一の絶縁膜305の成膜速度は、90nm/分以下とすることが好ましい。なお、第一の絶縁膜305は、第1の実施形態の第一の絶縁膜102に対応する。
ここで、第一の絶縁膜305を形成する前にバリア絶縁膜304の表面をプラズマ処理してもよい。このプラズマ処理を行なうガスは不活性ガスを用いることが好ましく、ヘリウム(He)、アルゴン、窒素を用いることができるが、ヘリウムを用いることがより好ましい。バリア絶縁膜304は、少なくともシリコン(Si)及び炭素(C)を構成元素として含むことが好ましく、具体的には、SiCN膜やSiC膜、さらにはこれらの積層膜を用いることができる。バリア絶縁膜304中に含まれるシリコンの原子数(Si)に対する炭素の原子数(C)の比率をC/Si比としたとき、バリア絶縁膜304の組成比C/Si比は、通常0.8以上1.5以下とすることができるが、バリア絶縁膜304の表面をプラズマ処理することで、炭素が離脱するため、バリア絶縁膜304の界面におけるバリア絶縁膜のC/Si比をバリア絶縁膜304の内部のC/Si比より低くすることができる。たとえば、バリア絶縁膜304としてSiCN膜を用いた場合、このSiCN膜表面を、ヘリウムプラズマを用いて処理すると、表面近傍のC/Si比は、0.5以上1.4以下とすることができる。このようなバリア絶縁膜304に第一の絶縁膜305を形成させることで、バリア絶縁膜304と第一の絶縁膜305との界面におけるバリア絶縁膜304は、バリア絶縁膜304の内部(バルク中)よりC/Si比が小さくなる。こうしたバリア絶縁膜304の表面の炭素組成を低減する処理は、バリア絶縁膜304と第一の絶縁膜305との密着性を向上させるのに効果的である。
図5(b)に戻り、第一の絶縁膜305の膜厚が10nm以上になったところで、第一の絶縁膜305上に連続的に第二の絶縁膜306を成膜する(図5(c))。第一の絶縁膜305から第二の絶縁膜306への成膜の切り替えには、図3のタイムチャートに示すようにRF出力を上げたり、図4や図14のタイムチャートに示すように励起ガス流量を減少したりすることで行われ、第二の絶縁膜306の成膜速度は、第一の絶縁膜305の成膜速度より速くなる。第一の絶縁膜305及び第二の絶縁膜306には不連続面は形成されないが、図5では、説明のため、境界を示している。第二の絶縁膜306は、第1の実施形態の第二の絶縁膜103に対応する。また第一の絶縁膜305と第二の絶縁膜306をあわせた積層構造も層間絶縁膜と呼ぶことができる。
なお、第一の絶縁膜305及び第二の絶縁膜306もまた、図2で示すプラズマ発生装置を用いて成膜することができる。チャンバー201内の圧力、環状シロキサンの流量及びキャリアガスの流量は、第1の実施形態で説明した図3のタイムチャートに従って、一定とする。
その後CMPを行う際、第二の絶縁膜306の保護膜となるハードマスク307を成膜する(図5(d))。ハードマスク307としては、例えば、シリコン酸化膜(SiO)、テトラエトキシシラン(TEOS)、又は、比較的硬質な(弾性率10GPa以上)のSiOC膜、若しくは、SiOCH膜が使われる。次にリソグラフィーと異方性エッチングによって、絶縁膜中に配線溝および配線孔を形成する(図5(e))。
その後、バリアメタル膜308を形成する(図5(f))。バリアメタル膜とは、配線を構成する金属元素が層間絶縁膜や下層へ拡散することを防止するために、配線の側面および底面を被覆する、バリア性を有する導電性膜を示す。例えば、配線がCuを主成分とする金属元素からなる場合には、タンタル(Ta)、窒化タンタル(TaN)、窒化チタン(TiN)、炭窒化タングステン(WCN)のような高融点金属やその窒化物等、またはそれらの積層膜が使用される。
ついで、配線溝および配線孔に金属配線材309aを埋め込む(図5(g))。金属配線材309aは、Cuを主成分とする金属材料である。金属配線材309aの信頼性を向上させるため、Cu以外の金属元素がCuからなる部材に含まれていても良い。また金属配線材309aの上面や側面は、Cu以外の金属元素で形成されていても良い。
次に、Cu粒成長のための熱処理を施す。この熱処理の温度は200℃〜400℃、時間は30秒〜1時間に設定する。但し、第一及び第二の絶縁膜の成膜温度以下であることが望ましい。続いて、CMPなどの研磨技術を用い、配線溝および配線孔以外の余剰な金属配線材309aおよびバリアメタル膜308、ハードマスク307を除去する(図5(h))。
さらにこの上にバリア絶縁膜310を成膜する(図5(i))。バリア絶縁膜310とは金属配線309の上面に形成され、金属配線309中のCuの酸化や絶縁膜中へのCuの拡散を防ぐ機能、および加工時にエッチングストップ層としての役割を有する。例えば、SiC膜、SiCN膜、SiN膜、CoWP、CoWB、CoSnP、CoSnB、NiB、NiMoBなどが用いられている。図5(a)〜(i)を繰り返すことでより上層の配線層及びビア層を形成できる。また、以上では配線溝と配線孔を同時に形成するデュアルダマシン法を用いて説明したが、シングルダマシン法を用いたときの配線層及びビア層の形成にも本実施例は同様に適用される。なお、本実施形態の層間絶縁膜の形成手段は、配線層又はビア層の少なくとも一方に適用していればよいし、配線層及びビア層のいずれにも適用してもよい。
従来は、図12(b)で示すようなタイムチャートに従い、バリア絶縁層などの下地膜901に環状シロキサンをプラズマ重合して絶縁膜902を成膜していた(図12(a))。そうすると、成膜初期において、プラズマが不安定化し、異常放電が発生するということがあった。この異常放電が図13で示すように、ゲート絶縁膜を通りシリコン基板(図13中ウェハ)に電流(あるいは電子)が流れてしまうため、ゲート絶縁膜の絶縁耐性を劣化してしまうということがあった。これにより、素子の信頼性が低下してしまうため、素子信頼性を保持しつつ、環状シロキサンによる絶縁膜の低誘電率化を図ることができなかった。
一方、本実施形態の方法では、環状シロキサンを用いた層間絶縁膜の成膜工程において、成膜初期においては成膜速度を90nm/分以下とし、下地膜が絶縁膜で覆われたところで、成膜速度を向上させる。こうすることで、プラズマ環境を安定化し、異常放電の発生を防ぐことができる。したがって、素子信頼性を保持しつつ、環状シロキサンによる絶縁膜の低誘電率化を図ることができ、素子特性を向上させることが可能になる。
また、第一の絶縁膜305及び第二の絶縁膜306は、成膜速度を制御することにより、膜組成を変化させることができる。こうすることで、第二の絶縁膜306内に銅配線形成用の溝を形成する場合に、エッチング速度の変化を検知することで、所望の深さの溝を形成することができる。
なお、本実施形態における第一の絶縁膜305及び第二の絶縁膜306の成膜手法として、第一の実施形態の方法に換えて第二、あるいは第三の実施形態の方法を用いてもよい。すなわち、RF電力の制御による成膜速度の制御に換えて、キャリアガスと環状シロキサンガスとの混合比を制御することで、成膜速度を制御してもよい。
以上、図面を参照して本発明の実施形態について述べたが、これらは本発明の例示であり、上記以外の様々な構成を採用することもできる。たとえば、図2で示したプラズマ発生装置では、キャリアガス及び励起ガスは異なる配管を介してそれぞれチャンバー内に導入されるが、キャリアガスと励起ガスを混合させた後、気化器内に導入してもよい。
(実施例1)
図1で示す構成を用い、図3に示すタイムチャートにしたがって、第一の絶縁膜102及び第二の絶縁膜103を成膜した。プラズマ発生装置は、図2に示すものを用いた。本実施例では、膜厚均一性の計測をより簡単に行うために下地膜101は、シリコン基板とした。環状シロキサンは、上記化学式(11)で示すトリビニルトリイソプロピルシクロトリシロキサンとし、キャリアガスは、Heガスとした。ガスチャンバー内の圧力は280Paに維持した。図3のIにおいて、90nm/分の成膜速度になるようRF電力を制御し、第一の絶縁膜102を10nm成膜した。このとき、分光エリプソメーターを用いた膜厚の均一性の面内分布測定において、第一の絶縁膜102の膜厚面内均一性は4%であった。その後10秒をかけて成膜速度を120nm/分とし、第二の絶縁膜103の成膜を開始した。つまり、図3のIにおける成膜速度は、図3のIIにおける成膜速度の0.75倍である。また、両者の差は、30nm/分である。膜厚100nmの第二の絶縁膜102を成膜したところで、膜厚の均一性の面内分布を測定したところ、膜厚面内均一性は3%であった。高周波電圧振幅Vpp及びセルフバイアスVdcの経時変化を調べたところ、図6(a)で示すように異常放電は認められなかった。
ついで、小角X線散乱装置により、第一の絶縁膜102及び第二の絶縁膜103の空孔径を調べたところ、図7で示す結果となった。平均空孔径が0.25nmであり、半値幅は、0.4nmであり、最大空孔径が1.0nmであった。
また、実施例1において、第一の絶縁膜102及び第二の絶縁膜103を透過型電子顕微鏡(TEM)により観察し、その像の濃淡を確認した。その結果、第一の絶縁膜102が相対的に薄く第一の絶縁膜102と第二の絶縁膜103との界面から徐々に濃くなり第二の絶縁膜103が相対的に濃い像として観察される。これは、第一の絶縁膜102の電子密度が第二の絶縁膜103の電子密度よりも低いことを示す。電子密度と比誘電率には相関があることが一般的に知られているが、確認のため、シリコン基板上に第一の絶縁膜102及び第二の絶縁膜103をそれぞれ単独でシリコン基板上に成膜し、水銀プローバにて比誘電率を測定したところ、第一の絶縁膜102は、第二の絶縁膜103よりも比誘電率が低かった。第一の絶縁膜102の比誘電率が2.5であり、第二の絶縁膜103の比誘電率が2.55であった。
また、XPS(X線光電子分光)測定により、各絶縁膜のC/Si、O/Si、C/O(原子比)を測定したところ、第一の絶縁膜102のC/Oは、第二の絶縁膜103のC/Oよりも高かった。また、第一の絶縁膜102のC/Siは、2.7であり、第二の絶縁膜103は、C/Siは2.7であった。また、第一の絶縁膜102のO/Siは、0.7であり、第二の絶縁膜103は、O/Siは0.8であった。
(比較例1)
図12(a)で示す構成を用い、図12(b)に示すタイムチャートにしたがって、下地膜901上に絶縁膜902を成膜した。プラズマ発生装置は、図2に示すものを用いた。チャンバー内の圧力は300Paに維持した。下地膜901は、シリコン基板とした。環状シロキサンは、上記化学式(11)で示すトリビニルトリイソプロピルシクロトリシロキサンとし、キャリアガスは、Heガスとした。絶縁膜902の成膜速度は、120nm/分とした。成膜開始10秒後の膜厚の均一性の面内分布測定(装置は、実施例1に同じ)において、膜厚面内均一性は15%であり、膜厚100nmを成膜した時点における膜厚面内均一性は6.5%であった。高周波電圧振幅Vpp及びセルフバイアスVdcの経時変化を調べたところ、図6(b)で示すように、成膜開始20秒間において異常放電が認められた。
(実施例2)
実施例1の方法において、成膜する第一の絶縁膜102の膜厚を0、3、7、8、10、15nmにそれぞれ変化させ、異常放電が起こるか否かを調べた。結果を表1に示す。
Figure 2011166106
第一の絶縁膜102が10nmより薄いときは、第二の絶縁膜103の成膜初期において、異常放電が認められた。一方、第一の絶縁膜102が10nm、15nmでは、第一、第二の絶縁膜102、103の成膜工程にわたって、異常放電が認められなかった。
(実施例3)
成膜時のプラズマダメージを計測するためMOSキャパシタ上にパッドをかねたゲート電極を作製したアンテナTEG(Test Element Group)ウェハを用いた。ゲートの面積に対するゲート電極面積比をアンテナ比と定義する。ウェハサイズは300mm、ゲート絶縁膜の膜厚は、2nmである。このTEGウェハを図1の下地膜101として、図3のタイムチャートに従って、第一、二の絶縁膜102、103を順に成膜した。環状シロキサンは、上記化学式(11)で示すトリビニルトリイソプロピルシクロトリシロキサンとし、キャリアガスは、ヘリウムガスとした。図3のIにおいて、90nm/分の成膜速度になるようRF電力を制御し、膜厚10nmの第一の絶縁膜102を成膜した。その後10秒をかけて成膜速度を120nm/分とし、膜厚190nmの第二の絶縁膜103を成膜した。
(比較例2)
実施例3と同じアンテナTEGウェハを用い、図12(b)に示すタイムチャートにしたがって、TEGウェハを図12(a)の下地膜901として絶縁膜902を成膜した。プラズマ発生装置は、図2に示すものを用いた。環状シロキサンは、上記化学式(11)で示すトリビニルトリイソプロピルシクロトリシロキサンとし、キャリアガスは、ヘリウムガスとした。絶縁膜902の成膜速度は、120nm/分とし、膜厚200nmの絶縁膜902を成膜した。
[絶縁耐圧試験]
アンテナTEGの絶縁耐圧試験はオートプローバを用いて行った。ゲート酸化膜に電圧を印加しながら、リーク電流をモニタし、規定の電流量を超えた時点を絶縁破壊と判定した。規定の電流量を超えた時点の電界強度が14MV/cm以上であった素子を良品とし、14MV/cm未満の素子を不良品とした。アンテナTEGウェハの全素子に対する良品の百分率を良品率とした。
[評価1]
実施例3及び比較例2の絶縁耐圧試験の結果を図8に示す。実施例3の結果が図8(a)であり、良品率は、99%だった。一方、比較例2の結果が図8(b)であり、良品率は、0%だった。
[評価2]
実施例3において、第一の絶縁膜102の成膜速度を変化させ、それぞれのTEGウェハについて絶縁耐圧試験を行った。結果を図9に示す。良品率が50%以上であるものをゲート絶縁膜に対するプラズマダメージが許容範囲である(プラズマダメージOK)とし、良品率が50%未満のものをゲート絶縁膜にプラズマダメージがある(プラズマダメージNG)とした。その結果、第一の絶縁膜102の成膜速度を90nm/分以下とすることで、ゲート絶縁膜に対するプラズマダメージが許容範囲となった。
(実施例4)
実施例3と同じTEGウェハを用い、図10(b)に示すタイムチャートにしたがって、TEGウェハを図1の下地膜101として第一の絶縁膜102及び第二の絶縁膜103を成膜した。プラズマ発生装置は、図2に示すものを用いた。チャンバー内の圧力は300Paに維持し、RF電力は、300Wとした。環状シロキサンは、上記化学式(11)で示すトリビニルトリイソプロピルシクロトリシロキサンとし、励起ガス及びキャリアガスは、Heガスとした。図10中、Aは、環状シロキサンガスを示し、Bは、キャリアガスを示し、Cは、励起ガスを示す。Bの流量は、300sccmであり、Cの流量は、700sccmである。第一の絶縁膜102の成膜時間(I)を10秒とし、7秒をかけて(IIの期間)、Cの流量を0ccmにした。これにより、第一の絶縁膜102の成膜工程におけるキャリアガスの流量と励起ガスの流量(c)との和(b)に対する環状シロキサンガスの流量(a)の比(a/(b+c)))を0.1とし、第二の絶縁膜102の成膜工程におけるキャリアガスの流量(b)と励起ガスの流量(c)との和(b+c)に対する環状シロキサンガスの流量(a)の比(a/(b+c))を0.3とした。こうすることで、第一の絶縁膜102の成膜速度を第二の絶縁膜103の成膜速度よりも遅くした。
(比較例3)
実施例3と同じTEGウェハを用い、図10(a)に示すタイムチャートにしたがって、TEGウェハを図12(a)の下地膜901として絶縁膜902を成膜した。はじめからCの流量を0sccmとした以外は、実施例3と同様にした。これにより、キャリアガスの流量(b)に対する環状シロキサンガスの流量(a)の比(a/b)を0.3とし、第一の絶縁膜102の成膜速度と第二の絶縁膜103の成膜速度とは同じとした。
[評価3]
実施例4及び比較例3のそれぞれのTEGウェハについて絶縁耐圧試験を行った。結果を図10に示す。実施例4の結果は、図10(d)であり、良品率は、91%であり、第一の絶縁膜102及び第二の絶縁膜103全体の比誘電率は、2.7であった。比較例3の結果は、図10(c)であり、良品率は、0%であり、絶縁膜902の比誘電率は、2.55であった。
[評価4]
実施例4の方法において、(a/(b+c))及び(a/(b+c))を一定としその比を0.05〜0.3の範囲で変化させた。各々のTEGウェハについて絶縁耐圧試験を行った。結果を図11に示す。図11の横軸は、励起ガス(B)の流量とキャリアガス(C)の流量との和に対する環状シロキサンガス(A)の流量の比率を示す。(a/(b+c))及び(a/(b+c))を0.10よりも大きくすると、第一の絶縁膜102の成膜速度と第二の絶縁膜103の成膜速度とが同じとなり、良品率が0%となった。
実施例3、4で成膜した、第一の絶縁膜102及び第二の絶縁膜103をTEM(Transmission Electron Microscope)で観察する。実施例3では、第一の絶縁膜102の像が相対的に薄く、第一の絶縁膜102と第二の絶縁膜103との界面から徐々に濃くなり、第二の絶縁膜103の上部の像は、第一の絶縁膜102の像よりも濃くなる。そのため、第一の絶縁膜102は、第二の絶縁膜103よりも比誘電率が低いことが予測される。また、実施例4では、第一の絶縁膜102の像が相対的に濃く、第一の絶縁膜102と第二の絶縁膜103との界面から徐々に薄くなり、第二の絶縁膜103の上部の像は、第一の絶縁膜102の像よりも濃くなる。そのため、第一の絶縁膜102は、第二の絶縁膜103よりも比誘電率が高いことが予測される。
なお、アンテナTEGウェハを用いた試験は加速試験であるため、通常のデバイスの絶縁耐圧試験の良品率の結果は、TEGウェハを用いた絶縁耐圧試験の良品率の結果よりも高くなると考えられる。
(実施例5)
図1で示す構成を用い、図14に示すタイムチャートにしたがって、第一の絶縁膜102及び第二の絶縁膜103を成膜した。プラズマ発生装置は、図2に示すものを用いた。本実施例では、膜厚均一性の計測をより簡単に行うために下地膜101は、シリコン基板とした。環状シロキサンは、上記化学式(11)で示すトリビニルトリイソプロピルシクロトリシロキサンとし、キャリアガス及び励起ガスは、ヘリウムガスとした。酸化性ガスにはNOガスを用い、ガスチャンバー内の圧力は250Paに維持した。第一の絶縁膜102の成膜時はキャリアガス300sccm、励起ガスは700sccm、NOガスは80sccmとした。また第二の絶縁膜103の成膜時はキャリアガス300sccm、励起ガスは200sccm、NOガスは80sccmとした。
第一の絶縁膜102を10nm成膜し、分光エリプソメーターを用い膜厚の面内分布測定を行なったところ、第一の絶縁膜102の膜厚面内均一性は5%であった。その後励起ガスの流量を低下させながら、第二の絶縁膜103の成膜を開始した。励起ガスの流量は第一の絶縁膜102の成膜時より少なければ良く、0まで減少させても良い。図14のIにおける成膜速度は、図14のIIにおける成膜速度の0.9倍である。第一の絶縁膜102は比誘電率が2.6、最大空孔径が1.5nmであり、XPS(X線光電子分光)測定により求められた膜組はC/Si(原子比)が2.3であり、O/Si(原子比)が0.7であった。一方、第二の絶縁膜は、比誘電率が2.55、最大空孔径が0.83nmであり、膜組成はC/Siが2.5であり、O/Siが0.6であった。空孔の分布を図23に示す。膜厚100nmの第二の絶縁膜102を成膜したところで、膜厚の均一性の面内分布を測定したところ、膜厚面内均一性は4%であった。高周波電圧振幅Vpp及びセルフバイアスVdcの経時変化を調べたところ、図16で示すように異常放電は認められなかった。またアンテナTEGウェハの良品率は90%であり、酸化性ガス添加のプロセスにおいても酸化性ガス添加無しのプロセスと同等の良品率が得られた。またアンテナTEGウェハ上に第一の絶縁膜102を形成する前に、第4の実施形態に示すように、ヘリウムプラズマ処理を行ないその影響を評価したところ、良品率は変わらず90%であった。
次に、下地膜101との密着性評価を行なった。図17に示すような積層構造(400:シリコン基板、401:SiCN膜(バリア絶縁膜)、402:第一の絶縁膜、403:第二の絶縁膜、404:酸化膜)を作製し、m−ELT (modified Edge Liftoff Test)試験により密着強度を測定した。第一の絶縁膜402の成膜前には、SiCN膜401表面をヘリウムプラズマによって処理を行なった。図17の構造上にエポキシ樹脂を20〜200ミクロン塗布し、これを約1cm四方に切断する。このサンプルを液体窒素で冷却し剥離する温度を測定する。冷却が始まると図17の構造と、その上に塗布したエポキシの熱収縮量の違い起因する熱応力によって最も密着性が弱い部分で剥離が発生する。このとき塗布したエポキシ樹脂の厚さと、熱収縮に関する係数、および剥離開始温度によって密着強度を得ることができる。
(実施例6)
図1で示す構成を用い、図4に示すタイムチャートにしたがって、第一の絶縁膜102及び第二の絶縁膜103を成膜した。プラズマ発生装置は、図2に示すものを用いた。
このように成膜された第一の絶縁膜102のシリコンの原子数(Si)に対する炭素の原子数(C)の比率(C/Si)は、第二の絶縁膜103のC/Siよりも低くなる。これは、環状シロキサンがキャリアガスに衝突して解離しやすくなるためで、それと同時にSiの価数が増える、つまり酸化が進む方向にある。したがって、第一の絶縁膜102は第二の絶縁膜103よりも硬質な膜となる。このため、下地膜101への密着性が向上する。また、第一の絶縁膜102の酸素/シリコン比(O/Si)は、第二の絶縁膜103のO/Siよりも高くなる。したがって、第一の絶縁膜102は、第二の絶縁膜103よりも膜強度が優れる。また、第一の絶縁膜102のC/O比が、第二の絶縁膜103のC/O比よりも低くなる。第一の絶縁膜102の成膜時、キャリアガス300sccm、励起ガスは700sccm、有機シロキサンガス流量は30〜150sccmとした。また第二の絶縁膜103の成膜時はキャリアガス300sccm、励起ガスは0sccm、有機シロキサンガス流量は60〜130sccmとしXPSを使い膜の組成分析を行なった。その結果、第一の絶縁膜102のC/O比は、3.14以上3.29以下であり、かつ、第二の絶縁膜103のC/O比は、3.38以上4.17以下であった。
(実施例7)
図1で示す構成を用い、図14に示すタイムチャートにしたがって、第一の絶縁膜102及び第二の絶縁膜103を成膜した。プラズマ発生装置は、図2に示すものを用いた。本実施例では酸化性ガスに酸素(O)、二酸化炭素(CO)、一酸化窒素(NO)及び二酸化窒素(NO)のいずれかを使用し、成膜速度と膜強度に対する関係を調べた。図21および図22はこれら酸化性ガスを使用して得られた全ての結果を示しており、キャリアガスの流量(b)と励起ガスの流量(c)の和(b+c)に対する酸化性ガスの流量(d)の比率(d/(b+c))と成膜速度および膜強度の関係を示す。
第一の絶縁膜102の成膜時は成膜速度の観点から、d/(b+c)は、0.01以上とするのが好ましく、0.06以上とするとより好ましい。また、膜強度の観点からd/(b+c)は、0.3以下とすると好ましく、0.2以下とするのがより好ましい。
つまり図14に示すタイムチャートのIの部分で第一の絶縁膜102を成膜するとき、チャンバー201内に導入されるキャリアガスの流量(b)と励起ガスの流量(c)との和(b+c)に対する酸化性ガスの流量(d)の比率(d/(b+c))は、0.01以上0.3以下にすることが好ましく、0.06以上0.2以下にするとより好ましい。
また、第二の絶縁膜103の成膜工程(II)において、キャリアガスの流量(b)と励起ガスの流量(c)との和(b+c)に対する環状シロキサンのガス流量(a)の混合比(a/(b+c))は、0.2以上とするとよい。例えば、第二の絶縁膜103の成膜工程の開始後所定の期間、徐々に励起ガスの流量を減少させて励起ガスの流量を0とする。こうすることで、励起ガスの流量とキャリアガスの流量との和(b+c)に対する供給される環状シロキサンのガス流量(a)の混合比(a/(b+c))を0.2以上とすることができる。
また、図14に示すタイムチャートのIIの部分により第二の絶縁膜103を成膜するとき、チャンバー201内に導入されるキャリアガス流量(b)と励起ガス流量(c)との和(b+c)に対する酸化性ガスの流量(d)の比率(d/(b+c))は0.1以上0.4以下にするとよい。第二の絶縁膜103は第一の絶縁膜102と比較し膜厚が厚いため、成膜時間の短縮にはこの部分の成膜レートを高くすることが望ましく、少なくとも第二の絶縁膜103は第一の絶縁膜102の2倍以上の成膜速度が必要と見積もられる。
図21からキャリアガスの流量(b)と励起ガスの流量(c)の和(b+c)に対する酸化性ガスの流量(d)の比率(d/(b+c))は、0.1以上とするのが好ましい。また、キャリアガスを少なくすると原料気化が不安定となる場合があるため、キャリアガスの流量(b)と励起ガスの流量(c)の和(b+c)の比率(d/(b+c))は、0.4以下とすることができる。こうすることで、良好な気化特性を得ることができる。
(実施例8)
図1で示す構成を用い、図3に示すタイムチャートにしたがって、第一の絶縁膜102及び第二の絶縁膜103を成膜した。プラズマ発生装置は、図2に示すものを用いた。
また、第一の絶縁膜102の酸素の原子数(O)に対する炭素の原子数(C)の比率(C/O)が第二の絶縁膜103よりも高くなっている。第一の絶縁膜102の成膜時、キャリアガス300sccm、圧力は200Pa、有機シロキサンガス流量は90sccm、RF出力を175Wとした。また第二の絶縁膜103の成膜時はキャリアガス300sccm、圧力は200Pa、有機シロキサンガス流量は90sccm、RF出力を275Wとした。としXPSを使い膜の組成分析を行なった。その結果第一の絶縁膜102のC/O比を3.86であり、第二の絶縁膜103のC/O比を3.38であった。
(比較例4)
図18に示すタイムチャートしたがって図19に示すような積層構造を作製し、同様にm−ELT試験により密着強度を測定した。図19の積層構造では、第一の絶縁膜402が形成されていない点のみが図17の積層構造と異なっている。図18のタイムチャートは、図14に示すIIの領域の励起ガスが減少し、その後安定した部分と同一である。
比較例4と同時に図4のタイムチャートを使い成膜を行なった実施例4および実施例5の膜の密着性も試験した。この結果を図20に示す。比較例4のように条件を変更せず成膜を行なった場合より、実施例4、5に示すタイムチャートで成膜を行なうほうが、高い密着強度となることが判明した。またヘリウムプラズマ処理を行なった実施例5は、実施例4と比較し、わずかに高い密着強度を示した。
10 シリコン基板
101 下地膜
102 第一の絶縁膜
103 第二の絶縁膜
201 チャンバー
203 ステージ
204 シャワーヘッド
206 接地線
207 排気配管
208 冷却トラップ
209 真空ポンプ
211 給電線
212 マッチングコントローラ
213 高周波電源
215 配管
216 気化器
218 ガス流量コントローラ
220 バルブ
221 バルブ
222 排気バルブ
223 液体流量コントローラ
224 バルブ
225 バルブ
226 原料リザーバタンク
227 バルブ
228 添加ガス流量コントローラ
301 層間絶縁膜
302 金属配線
303 バリアメタル膜
304 バリア絶縁膜
305 第一の絶縁膜
306 第二の絶縁膜
307 ハードマスク
308 バリアメタル膜
309a 金属配線材
309 金属配線
310 バリア絶縁膜
400 シリコン基板
401 SiCN膜
402 第一の絶縁膜
403 第二の絶縁膜
404 酸化膜
901 下地膜
902 絶縁膜

Claims (34)

  1. 下地膜に、Si−O環状構造を有する有機シロキサンをプラズマ重合させて第一の絶縁膜を形成する工程と、
    前記第一の絶縁膜を形成する前記工程の後、連続的に、前記第一の絶縁膜上に、前記有機シロキサンをプラズマ重合させて第二の絶縁膜を形成する工程と、
    を含み、
    前記第一の絶縁膜の成膜速度が前記第二の絶縁膜の成膜速度よりも遅い、半導体装置の製造方法。
  2. 前記有機シロキサンは、下記化学式(1)に示す有機シロキサンである、請求項1に記載の半導体装置の製造方法。
    Figure 2011166106
    化学式(1)中、nは、2〜5、R1、R2は、ビニル基、アリル基、メチル基、エチル基、プロピル基、イソプロピル基及びブチル基のいずれかであり、R1及びR2は、同一であってもよいし、異なっていてもよい。
  3. 前記有機シロキサンは、炭素数2〜4の直鎖状不飽和炭化水素基又は炭素数3〜4の分枝鎖状飽和炭化水素基を有し、前記直鎖状不飽和炭化水素基又は前記分枝鎖状飽和炭化水素基が前記Si−O環状構造を構成するSi原子に結合している、請求項1又は2に記載の半導体装置の製造方法。
  4. 前記有機シロキサンは、下記化学式(2)〜(9)のいずれかに示す環状有機シロキサンである、請求項1に記載の半導体装置の製造方法。
    Figure 2011166106
    Figure 2011166106
    Figure 2011166106
    Figure 2011166106
    Figure 2011166106
    Figure 2011166106
    Figure 2011166106
    Figure 2011166106
    化学式(2)〜(9)中、R1〜R7は、ビニル基、アリル基、メチル基、エチル基、プロピル基、イソプロピル基及びブチル基のいずれかであり、R1〜R7は、同一であってもよいし、異なっていてもよい。
  5. 前記有機シロキサンは、下記化学式(10)及び(11)いずれかに示す環状有機シロキサンである、請求項1に記載の半導体装置の製造方法。
    Figure 2011166106
    Figure 2011166106
  6. 前記第一の絶縁膜を形成する前記工程、及び、前記第二の絶縁膜を形成する前記工程のいずれかの工程において、前記有機シロキサンをプラズマ化するプラズマ発生装置内に前記有機シロキサンとともに酸化性ガスを供給する、請求項1乃至5いずれか1項に記載の半導体装置の製造方法。
  7. 前記酸化性ガスが酸素(O)、二酸化炭素(CO)、一酸化窒素(NO)及び二酸化窒素(NO)のいずれか1種類以上含む、請求項6に記載の半導体装置の製造方法。
  8. 前記第二の絶縁膜の形成を開始する時点における前記第一の絶縁膜の膜厚面内均一性が4%以下である、請求項1乃至7いずれか1項に記載の半導体装置の製造方法。
  9. 前記第一の絶縁膜の成膜速度を前記第二の絶縁膜の成膜速度の0.75倍以下とする、請求項1乃至8いずれか1項に記載の半導体装置の製造方法。
  10. 前記第一の絶縁膜を形成する工程において、膜厚10nm以上の前記第一の絶縁膜を形成する、請求項1乃至9いずれか1項に記載の半導体装置の製造方法。
  11. 前記第一の絶縁膜を形成する工程において、前記第一の絶縁膜の成膜速度を90nm/分以下にする、請求項1乃至10いずれか1項に記載の半導体装置の製造方法。
  12. 前記第一、第二の絶縁膜を形成する前記工程において、前記有機シロキサンをプラズマ化するプラズマ発生装置内に前記有機シロキサンを供給しながら高周波電力を印加することで前記第一、第二の絶縁膜をそれぞれ形成し、
    前記第一の絶縁膜を形成する前記工程において前記プラズマ発生装置内に印加される前記高周波電力は、前記第二の絶縁膜を形成する前記工程において前記プラズマ発生装置内に印加される前記高周波電力よりも小さい、請求項1乃至11いずれか1項に記載の半導体装置の製造方法。
  13. 前記第一、第二の絶縁膜を形成する工程において、前記有機シロキサンをプラズマ化するプラズマ発生装置内に前記有機シロキサンとともに励起ガスとキャリアガスとを供給することで前記第一、第二の絶縁膜をそれぞれ形成し、
    前記第二の絶縁膜を形成する前記工程における前記キャリアガスの流量(b)と前記励起ガスの流量(c)との和(b+c)に対する前記有機シロキサンのガス流量(a)の比率(a/(b+c))が、前記第一の絶縁膜を形成する前記工程における前記キャリアガスの流量(b)と前記励起ガスの流量(c)との和(b+c)に対する前記有機シロキサンのガス流量(a)の比率(a/(b+c))よりも大きい、請求項1乃至12いずれか1項に記載の半導体装置の製造方法。
  14. 前記第一の絶縁膜を形成する前記工程において、少なくとも前記キャリアガスの流量と前記励起ガスの流量との和に対する前記有機シロキサンのガス流量の比率(a/(b+c))が0.15以下である、請求項13に記載の半導体装置の製造方法。
  15. 前記第二の絶縁膜を形成する前記工程において、少なくとも前記キャリアガスの流量と前記励起ガスの流量との和に対する前記有機シロキサンのガス流量の前記比率(a/(b+c))が0.2以上である、請求項14に記載の半導体装置の製造方法。
  16. 前記第一、第二の絶縁膜を形成する工程において、前記有機シロキサンをプラズマ化する前記プラズマ発生装置内に前記有機シロキサンとともに励起ガスとキャリアガスと酸化性ガスとを供給することで前記第一、第二の絶縁膜をそれぞれ形成し、
    前記第一の絶縁膜を成膜する前記工程における前記励起ガスの流量と前記キャリアガスの流量との和(b+c)に対する前記酸化性ガスの流量(d)の比率(d/(b+c))が0.06以上0.2以下である、請求項13に記載の半導体装置の製造方法。
  17. 前記第一、二の絶縁膜を形成する前記工程において、前記有機シロキサンをプラズマ化するプラズマ発生装置内に前記有機シロキサンとともに励起ガスとキャリアガスと酸化性ガスとを供給することで前記第一、第二の絶縁膜をそれぞれ形成し、
    前記第二の絶縁膜を成膜する前記工程における前記キャリアガスの流量と前記励起ガスの流量との和(b+c)に対する前記酸化性ガスの流量(d)の比率(d/(b+c))が0.1以上0.4以下である、請求項13に記載の半導体装置の製造方法。
  18. 前記第二の絶縁膜を形成する前記工程において、第二の絶縁膜の成膜開始から前記励起ガスの流量を減少させる請求項13乃至17いずれか1項に記載の半導体装置の製造方法。
  19. 前記第一の絶縁膜を形成する前記工程における前記有機シロキサンをプラズマ化する前記プラズマ発生装置内の圧力と、前記第二の絶縁膜を形成する前記工程における前記プラズマ発生装置内の圧力とが同じである、請求項13乃至18いずれか1項に記載の半導体装置の製造方法。
  20. 前記第一の絶縁膜を形成する前記工程を実行する前に、不活性ガスを含むプラズマで前記下地膜の表面を処理する、請求項1乃至19いずれか1項に記載の半導体装置の製造方法。
  21. 前記不活性ガスが、ヘリウム、アルゴン、窒素のいずれかである、請求項20に記載の半導体装置の製造方法。
  22. 金属配線と、
    請求項1乃至21いずれか1項に記載の方法により形成された第一の絶縁膜と第二の絶縁膜からなる積層構造の層間絶縁膜と、
    を備え、前記層間絶縁膜が前記金属配線間に形成されている、半導体装置。
  23. 下地膜と、
    前記下地膜上に形成された第一の絶縁膜と、
    前記第一の絶縁膜上に連続的に形成された第二の絶縁膜と、
    を備え、
    前記第一、第二の絶縁膜の少なくとも一方が環状シロキサンを有し、
    前記第一、第二の絶縁膜がいずれもシリコン、酸素、炭素及び水素を構成元素とし、前記第一、第二の絶縁膜中に含まれる酸素の原子数(O)に対する炭素の原子数(C)の比率をC/O比としたとき、前記第一の絶縁膜及び前記第二の絶縁膜のC/O比が互いに異なる、半導体装置。
  24. 前記第一の絶縁膜の膜厚が10nm以上である、請求項23に記載の半導体装置。
  25. 前記第一の絶縁膜、及び、前記第二の絶縁膜の比誘電率が互いに異なり、前記比誘電率は、いずれも2.7以下である、請求項23又は24に記載の半導体装置。
  26. 前記第一、第二の絶縁膜に形成される空孔の最大径が1.5nm以下である、請求項23乃至25いずれか1項に記載の半導体装置。
  27. 前記第一の絶縁膜のC/O比が、前記第二の絶縁膜のC/O比よりも低い、請求項23乃至26いずれか1項に記載の半導体装置。
  28. 前記第一の絶縁膜のC/O比が3.14以上3.29以下の範囲であり、かつ、前記第二の絶縁膜のC/O比が3.38以上4.17以下の範囲である、請求項27に記載の半導体装置。
  29. 前記第一の絶縁膜のC/O比が、前記第二の絶縁膜のC/O比よりも高い、請求項23乃至26いずれか1項に記載の半導体装置。
  30. 前記第一の絶縁膜のC/O比が3.86であり、前記第二の絶縁膜のC/O比が3.38である、請求項29に記載の半導体装置。
  31. 前記下地膜が少なくともシリコン及び炭素を構成元素とし、
    前記下地膜中に含まれるシリコンの原子数(Si)に対する炭素の原子数(C)の比率をC/Si比としたとき、前記下地膜と前記第一の絶縁膜との界面における前記下地膜のC/Si比が、下地膜の内部のC/Si比より低い、半導体装置。
  32. 前記下地膜と前記第一の絶縁膜との界面における前記下地膜のC/Si比が0.5以上1.4以下である、請求項31に記載の半導体装置。
  33. 前記第一の絶縁膜上に前記第二の絶縁膜を積層した積層構造を層間絶縁膜として備えた多層配線構造を有する、請求項22乃至32いずれか1項に記載の半導体装置。
  34. 配線層とビア層とを備え、前記配線層及び前記ビア層の少なくとも一方に、前記第一の絶縁膜上に前記第二の絶縁膜を積層した積層構造を有する、請求項22乃至32いずれか1項に記載の半導体装置。
JP2010129633A 2010-01-13 2010-06-07 半導体装置の製造方法及び半導体装置 Pending JP2011166106A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2010129633A JP2011166106A (ja) 2010-01-13 2010-06-07 半導体装置の製造方法及び半導体装置
US13/006,034 US8435828B2 (en) 2010-01-13 2011-01-13 Method of manufacturing semiconductor device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2010004539 2010-01-13
JP2010004539 2010-01-13
JP2010129633A JP2011166106A (ja) 2010-01-13 2010-06-07 半導体装置の製造方法及び半導体装置

Publications (1)

Publication Number Publication Date
JP2011166106A true JP2011166106A (ja) 2011-08-25

Family

ID=44258855

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010129633A Pending JP2011166106A (ja) 2010-01-13 2010-06-07 半導体装置の製造方法及び半導体装置

Country Status (2)

Country Link
US (1) US8435828B2 (ja)
JP (1) JP2011166106A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013235925A (ja) * 2012-05-08 2013-11-21 Renesas Electronics Corp 半導体装置の製造方法および半導体装置
JP2016129259A (ja) * 2016-03-15 2016-07-14 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置

Families Citing this family (324)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9997357B2 (en) * 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8940637B2 (en) * 2012-07-05 2015-01-27 Globalfoundries Singapore Pte. Ltd. Method for forming through silicon via with wafer backside protection
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US9984869B1 (en) * 2017-04-17 2018-05-29 Asm Ip Holding B.V. Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20210150606A (ko) 2019-05-01 2021-12-10 램 리써치 코포레이션 변조된 원자 층 증착
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN115404469A (zh) * 2021-05-27 2022-11-29 上村工业株式会社 化学镀Co-W镀膜和化学镀Co-W镀液
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007061134A1 (ja) * 2005-11-24 2007-05-31 Nec Corporation 多孔質絶縁膜の形成方法、半導体装置の製造装置、半導体装置の製造方法及び半導体装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0824198B2 (ja) 1989-02-23 1996-03-06 松下電器産業株式会社 ヘテロ接合ダイオードの製造方法
US5070027A (en) * 1989-02-23 1991-12-03 Matsushita Electric Industrial Co., Ltd. Method of forming a heterostructure diode
JP3102214B2 (ja) 1993-08-11 2000-10-23 富士電機株式会社 半導体装置の製造方法
JP2002025228A (ja) 2000-06-30 2002-01-25 Sony Corp 情報記録装置及び方法、情報処理装置及び方法、情報処理システム
JP4938222B2 (ja) 2004-02-03 2012-05-23 ルネサスエレクトロニクス株式会社 半導体装置
JP2006351880A (ja) * 2005-06-16 2006-12-28 Matsushita Electric Ind Co Ltd 層間絶縁膜の形成方法及び層間絶縁膜の膜構造
KR101257846B1 (ko) * 2005-08-26 2013-04-24 삼성전자주식회사 단결정 실리콘 제조방법 및 이를 이용한 tft의 제조방법
TW200801513A (en) 2006-06-29 2008-01-01 Fermiscan Australia Pty Ltd Improved process
CN101495674B (zh) * 2006-07-21 2013-07-17 瑞萨电子株式会社 多孔质绝缘膜的形成方法
US20100025852A1 (en) * 2006-12-22 2010-02-04 Makoto Ueki Semiconductor device and method for manufacturing the same
JP5161506B2 (ja) 2007-07-23 2013-03-13 ルネサスエレクトロニクス株式会社 半導体装置の製造方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007061134A1 (ja) * 2005-11-24 2007-05-31 Nec Corporation 多孔質絶縁膜の形成方法、半導体装置の製造装置、半導体装置の製造方法及び半導体装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013235925A (ja) * 2012-05-08 2013-11-21 Renesas Electronics Corp 半導体装置の製造方法および半導体装置
JP2016129259A (ja) * 2016-03-15 2016-07-14 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置

Also Published As

Publication number Publication date
US20110171775A1 (en) 2011-07-14
US8435828B2 (en) 2013-05-07

Similar Documents

Publication Publication Date Title
JP2011166106A (ja) 半導体装置の製造方法及び半導体装置
JP4090740B2 (ja) 集積回路の作製方法および集積回路
JP5093479B2 (ja) 多孔質絶縁膜の形成方法
JP5267130B2 (ja) 半導体装置およびその製造方法
US8492266B2 (en) Semiconductor device having insulating film with surface modification layer and method for manufacturing the same
US7888741B2 (en) Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same
JP4812838B2 (ja) 多孔質絶縁膜の形成方法
TWI528454B (zh) 半導體裝置及半導體裝置之製造方法
US8715791B2 (en) Method for forming porous insulating film and semiconductor device
JP2010278330A (ja) 半導体装置及び半導体装置の製造方法
JP2005033203A (ja) シリコンカーバイド膜の形成方法
JP2007221039A (ja) 絶縁膜および絶縁膜材料
JP2011155077A (ja) 半導体装置の製造方法
JP5025679B2 (ja) 半導体装置
US7056825B2 (en) Method for manufacturing a semiconductor device that includes plasma treating an insulating film with a mixture of helium and argon gases
JP4325569B2 (ja) 有機シリコン系膜の形成方法、及び有機シリコン系膜を有する半導体装置
JP2012009617A (ja) 半導体装置の製造方法、配線用銅合金、及び半導体装置
JP3843275B2 (ja) 半導体装置の製造方法
JP2009177023A (ja) 多孔質絶縁膜及びその形成方法並びに半導体装置の製造方法
JP2010287653A (ja) 半導体装置およびその製造方法
JP2012074714A (ja) 半導体装置の製造方法
JP5408116B2 (ja) 半導体装置の製造方法
US20080182021A1 (en) Continuous ultra-thin copper film formed using a low thermal budget
KR20130038810A (ko) 저유전율 층간 절연막 및 저유전율 층간 절연막의 증착 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130430

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130911

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130917

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140204