JP2004538637A - Msq系多孔質低k膜材料のプラズマ硬化 - Google Patents

Msq系多孔質低k膜材料のプラズマ硬化 Download PDF

Info

Publication number
JP2004538637A
JP2004538637A JP2003519983A JP2003519983A JP2004538637A JP 2004538637 A JP2004538637 A JP 2004538637A JP 2003519983 A JP2003519983 A JP 2003519983A JP 2003519983 A JP2003519983 A JP 2003519983A JP 2004538637 A JP2004538637 A JP 2004538637A
Authority
JP
Japan
Prior art keywords
plasma
porous membrane
membrane material
cured
modulus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2003519983A
Other languages
English (en)
Inventor
キングユアン ハン、
カーロ ウォードフリード、
オーランド エスコーシア、
ラルフ アルバノ、
アイヴァン エル. ザ サード ベリー、
ジェフ ジャング、
イアン ボール、
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Chemat Technology Inc
Axcelis Technologies Inc
Original Assignee
Chemat Technology Inc
Axcelis Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Chemat Technology Inc, Axcelis Technologies Inc filed Critical Chemat Technology Inc
Publication of JP2004538637A publication Critical patent/JP2004538637A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/113Silicon oxides; Hydrates thereof
    • C01B33/12Silica; Hydrates thereof, e.g. lepidoic silicic acid
    • C01B33/126Preparation of silica of undetermined type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02137Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising alkyl silsesquioxane, e.g. MSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass

Abstract

弾性率の向上した低誘電率膜材料。少なくとも2つのSi−CH3基を含む樹脂から製造される多孔質メチルシルセスキオキサン系誘電体膜材料を用意すること、およびこの多孔質膜材料をプラズマ硬化させて、膜を多孔質シリカに変換することを含む、このような膜材料を製造する方法。多孔質膜材料をプラズマ硬化させることによって、弾性率およびガス放出特性の向上した膜が生成する。弾性率の向上は、一般に約100%以上であり、より一般的には約200%以上である。この膜を、約15〜約120秒間約350℃以下の温度でプラズマ硬化させる。プラズマ硬化多孔質膜材料は、任意選択でアニールすることができる。プラズマ硬化膜をアニールすることによって、プラズマ硬化多孔質膜材料よりも向上した弾性率を維持しながら、膜の誘電率を低下させることができる。アニーリング温度は、一般に約450℃以下である。アニールドプラズマ硬化膜は、誘電率が約1.1〜約2.4であり、弾性率が向上している。

Description

【技術分野】
【0001】
本発明は、一般に、集積回路などの電子および半導体デバイスに使用する多孔質誘電体膜材料に関する。より詳細には、本発明は、弾性率が改善されており、低誘電率を有するプラズマ硬化多孔質メチルシルセスキオキサン(MSQ)系誘電体膜材料、およびそのような膜を製造する方法に関する。
【背景技術】
【0002】
半導体産業がより高性能でより高機能な新世代の集積回路(IC)を導入するにつれ、これらのICを構成する素子の密度が増加し、寸法、サイズおよび個々の部品または素子間の空隙が減少する。従来、このような小型化は、フォトリソグラフィによってその構造を画成することができるかどうかによってのみ制限されていたが、デバイス形状がわずか0.25ミクロン(μm)以下という小さな寸法になると、金属素子の導電率、素子間で用いる絶縁材料の誘電率などの新たな制限要因が生まれた。たとえば、任意の2つの導電経路が隣接する場合、導体間の距離が減少するにつれ、得られるキャパシタンス(絶縁材料の誘電率(k)を導電経路間距離で割った関数)は増加する。キャパシタンスが増加すると、導体間の容量結合が増加し、消費電力が増加し、抵抗−容量(RC)時定数が増加する。したがって、半導体ICの性能および機能における絶え間ない改良は、最も一般的に使用される材料であるシリコン酸化物の誘電率よりも低い誘電率(k)を有し、したがって低キャパシタンスの誘電体膜を形成する材料を開発できるかどうかにかかっている。これらのデバイスの寸法が小さくなるにつれて、キャパシタンスを相当小さくした、いわゆる「超低k」型(たとえば、k<2.5)が必要となる。
【0003】
低k絶縁膜を提供する初期の手法は、シリコン酸化物材料(k約3.9)にk値を低下させる他の成分をドープすることであった。たとえば、シリコン酸化物をフッ素でドープすると、一般にk値が低下するが、それはわずか約3.5〜3.9に過ぎない。これらのドープ膜を形成する方法では、非ドープシリコン酸化膜を形成するために使用されるのと同一または類似の方法を使用するのがしばしば有利である。したがって、フッ素ドープ膜を一般的な工程に組み込むことは、通常、容易に実施される。しかし、このようなフッ素ドープ膜はkがわずかしか低下しないので、より低い誘電率をもたらす他の解決策が必要である。最後に、このようなフッ素含有膜は、水分に対する安定性に問題がある。
【0004】
いくつかの有機ポリマー系は、低k誘電膜を提供する別の解決策候補である。一般に、有機ポリマーは、2.5以上のkが可能である誘電膜を形成することができる。一般に、このような誘電膜を形成するには、まず、適切なプレポリマーの溶液を基板に塗布する。次いで、プレポリマーが所望の程度に架橋し、重合して固体膜が形成されるまで基板を加熱する。有機材料は液体として塗布されるので、ある程度平坦化された表面がもたらされ、多くの場合さらに平坦化する必要はない。しかし、このような有機ポリマー膜によって、たとえば化学的気相成長法により形成されたシリコン酸化膜よりも低い誘電率と高度な平坦化がもたらされるものの、他の膜特性は、このようなシリコン酸化膜の方が優れている。たとえば、有機材料は、一般に約450℃を超えると熱安定性に限界がある。有機材料はタングステン(W)、アルミニウム(Al)などの一般的な金属に対する接着力が弱いことが多い。また、このような有機膜の機械的強度は、シリコン酸化物の機械的強度よりもはるかに低い。最後に、このような有機膜は、一般に、「低k」型(たとえば、k=2.7〜3.0)のk値しかもたらさない。
【0005】
電気デバイスの薄膜誘電体コーティングは、当分野で既知である。たとえば、Haluska他の米国特許第4,749,631号および同4,756,977号は、それぞれシリコンアルコキシドまたは水素シルセスキオキサンの溶液を基板に塗布し、次いで塗布された基板を温度200〜1000℃に加熱することによって生成されるシリカベースのコーティングを開示している。これらのコーティングの誘電率は、特定の電子デバイス(electronic device)および回路用としては高すぎることが多い。
【0006】
Haluska他の米国特許第4,847,162号および同4,842,888号は、それぞれ水素シルセスキオキサン樹脂およびケイ酸エステルをアンモニアの存在下で温度200〜1000℃に加熱することによって、窒化シリカコーティングを形成することを教示している。これらの特許は、生成するコーティングが約1〜2重量%の窒素をその中に取り込むように無水アンモニアを使用することを教示している。
【0007】
Glasser等、Journal of Non−Crystalline Solids、64(1984)209〜221頁は、アンモニアの存在下でテトラエトキシシランを加熱することによってセラミックコーティングを形成することを教示している。この論文は、無水アンモニアを使用すること、および得られるシリカコーティングを窒化することを教示している。
【0008】
Jadaの米国特許第4,636,440号は、水性第四級水酸化アンモニウムおよび/またはアルカノールアミン化合物に基板を曝すことを含むゾル−ゲルコート基板の乾燥時間を短縮する方法を開示している。Jadaによれば、コーティングを乾燥してから加熱する必要がある。これは、特に、加水分解または部分的に加水分解されたシリコンアルコキシドのみに限定される。
【0009】
Chandraの米国特許第5,262,201号およびBaney他の同5,116,637号は、塩基性触媒を使用して、すべて水素シルセスキオキサンを含む、さまざまなプレセラミック材料をセラミックコーティングに転化するのに必要な温度を低下させることを教示している。これらの特許は、溶媒を除去してからコーティングを塩基性触媒に曝すことを教示している。
【0010】
Camilletti他の米国特許第5,547,703号は、ウェットアンモニア、ドライアンモニアおよび酸素の下で連続して水素シルセスキオキサン樹脂を加熱することを含む、低誘電率のSi−O含有コーティングを基板上に形成する方法を教示している。得られたコーティングは、1MHzでわずか2.42の誘電率しか有していない。この特許は、溶媒を除去してからコーティングをセラミックに転化することを教示している。
【0011】
Balance他の米国特許第5,523,163号は、水素シルセスキオキサン樹脂を加熱して、Si−O含有セラミックコーティングに転化し、次いで水素ガスを含有するアニーリング雰囲気にこのコーティングを曝すことを含む、Si−O含有コーティングを基板上に形成する方法を教示している。得られるコーティングは、わずか2.773の誘電率しか有していない。この特許は、溶媒を除去してからコーティングをセラミックに転化することを教示している。
【0012】
Syktich他の米国特許第5,618,878号は、厚いセラミックコーティングを形成するために有用な、飽和アルキル炭化水素に溶解した水素シルセスキオキサン樹脂を含有するコーティング組成物を開示している。開示されたアルキル炭化水素はドデカンまでである。この特許は、コーティングされた基板を塩基性触媒に曝してから溶媒を除去することを教示していない。
【0013】
METHOD OF FORMING COATINGSという名称のChung他の米国特許第6,231,989号は、誘電率の低い多孔質ネットワークコーティングを製造する方法を開示している。この方法は、付着後コーティング中に溶媒の少なくとも5体積%が残るように、少なくとも2つのSi−H基を含有する樹脂と溶媒を含む溶液を用いて、基板にコーティングを付着させることを含む。次いで、塩基性触媒および水を含む環境にこのコーティングを曝す。最後に、コーティングから溶媒を蒸発させて、多孔質ネットワークを形成する。必要ならば、コーティングを加熱して硬化させ、セラミックを形成することができる。この方法により作製される膜は、誘電率が1.5〜2.4の範囲であり、弾性率が約2〜3GPaである。
【0014】
平面を有するシリコン酸化物層を提供するためのある手法において、スピンオンガラス(SOG)組成物が、ポリオルガノシルセスキオキサンを利用して調製された。たとえば、Fukuyama他の米国特許第4,670,299号(Fukuyama’299)を参照されたい。Fukuyama他の’299号特許のSOG組成物は、シリル化ポリオルガノシルセスキオキサンである。これらの組成物は、有機ポリマーに関して先に記述したのと同様に塗布され、次いで加熱されて固体重合膜を形成する。このタイプの膜、すなわち、ポリオルガノシルセスキオキサン(POSQ)の形の有機ポリシリカ膜の利点は、(1)誘電率の低い(k=2.6〜3.0)膜が提供されること、および(2)シリコン酸化物型材料のより高い機械的強度が維持されることである。
【0015】
しかし、ポリオルガノシルセスキオキサンなどの有機ポリシリカから形成される膜の諸利点とさらに低い誘電率(k<2.5)を併せ備える最終的な誘電体膜が得られれば有利である。この成果を得るのに最も可能性の高い方法は、POSQを誘電率のより低い別の物質とブレンドすることである。最も低い誘電率を有する物質は空気(k=1.0)である。したがって、より低い誘電率を達成するために、POSQ材料に多孔性(porosity)を導入することができる。
【0016】
有機低kポリマー、有機ポリシリカ、低kポリマーなどの低誘電材料中に多孔性(porosity)をもたらすためのいくつかの方法が探求された。このような方法の1つは、熱安定性が高く、Tgが高い低kポリマーおよびこれよりも容易に熱分解する第2の(有機)ポリマーで構成されるブロックコポリマーの合成である。この方法は、材料を熱硬化すると独立気泡の多孔質構造が形成されるという利点を有するとされている。Iledrick等(1993)Polymer 34:4717およびHendrick等(1995)Polymer 36:4855を参照されたい。しかし、この方法は、合成および処理段階の制御が困難なこと、およびポリマー材料の熱安定性に限界があることが妨げとなっている。別の方法は、ポロゲン性(porogenic)(すなわち、多孔性誘導)有機側鎖ポリマー(side−polymer)を高熱安定性、高Tg低kポリマー上にグラフト重合して、熱処理後多孔質材料を形成するものである。Hawker他の米国特許第6,107,357号および同5,767,014号を参照されたい。しかし、この方法では、多孔性誘導方法に合成および処理の複雑さも持ち込まれる。
【0017】
しかし、多孔質膜は本質的に機械的強度が低い。膜が弱いと、チップ製造プロセス中にウェーハ表面を平坦にするために用いる化学的機械研磨(CMP)法が失敗に終わる。多孔質膜の機械的諸特性は、膜の多孔度の関数である。当然、多孔性が高いほど誘電率は低くなるが機械的諸特性はより劣る。したがって、低い誘電率と良好な機械的諸特性を併せ備える多孔質膜が求められている。
【発明の開示】
【発明が解決しようとする課題】
【0018】
したがって、本発明の一目的は、弾性率が向上し、誘電率が低く、熱安定性が向上し、ガス放出特性が向上し、集積特性の優れた誘電体膜材料を製造することである。
【課題を解決するための手段】
【0019】
本発明は、誘電率が低く弾性率が向上した多孔質誘電体膜材料を提供することによってこの要求に応えるものである。この膜材料製造方法は、第1の誘電率および第1の弾性率を有する多孔質MSQ系誘電体膜材料を提供するものであり、多孔質膜材料は、少なくとも2つのSi−CH3基を含む樹脂から製造される。この膜をプラズマ硬化させてSi−CH3結合量を減らし、第1の誘電率と同等である第2の誘電率を有し、第1の弾性率よりも高い第2の弾性率を有するSiOx含有プラズマ硬化多孔質膜材料を製造する。ここで、xは1〜2である。弾性率の増加は、一般に約100%以上であり、より一般的には約200%以上である。
【0020】
プラズマ硬化多孔質膜材料は、場合によってはアニールして、第3の誘電率および第3の弾性率を有するアニールド(annealed)プラズマ硬化多孔質膜材料とすることができる。プラズマ硬化多孔質膜材料の熱アニーリングによって、膜の誘電率は維持されるか減少するものの、アニール前の弾性率に比べて弾性率の増加は維持される。アニールドプラズマ硬化多孔質膜材料は、約1.1〜約3.5の誘電率を有する。アニーリング温度は、通常、約450℃以下であり、アニーリング時間は通常約60分以下である。
【0021】
本発明は、プラズマ硬化多孔質MSQ系膜が、膜を熱硬化する必要なしに膜の弾性率を増加させるという発見に基づいている。プラズマ硬化によって、膜の低密度構造を失うことなく残留Si−CH3結合量が減少する。
【0022】
プラズマ硬化は、多量の極性種を膜中に発生させることができ、これが望ましくない用途もある。本発明は、プラズマ硬化多孔質MSQ系誘電膜を熱アニーリングすることによって誘電率が低く弾性率が向上した膜材料が製造されるという発見にも基づいている。
【発明を実施するための最良の形態】
【0023】
本発明の方法は、電子デバイスまたは電子回路上にコーティングを付着させるのに特に適用可能であり、このコーティングは、層間の誘電層、トランジスタ様デバイスを製造するためのドープ誘電層、コンデンサおよびコンデンサ様デバイスを製造するためのシリコン含有顔料充填バインダーシステム、多層デバイス、3Dデバイス、シリコンオンインシュレータデバイス、超格子デバイスなどを製造することができる。ただし、本発明によってコートすべき基板およびデバイスの選択は、本発明において使用する温度および圧力における基板の熱安定性および化学的安定性に対する必要性によってのみ制限される。このため、本発明のコーティングは、たとえば、ポリイミド、エポキシ、ポリテトラフルオロエチレンおよびそれらのコポリマー、ポリカーボネート、アクリルおよびポリエステル、セラミック、革、織物、金属などを含めたプラスチックなどの基板上で使用することができる。
【0024】
本発明で使用する「セラミック」という表現には、アモルファスシリカなどのセラミック、ならびに炭素および/または水素を完全に含まないわけではないがその他の点ではセラミックの性格をもつアモルファスシリカ様材料などのセラミック様材料が含まれる。「電子デバイス」または「電子回路」という表現には、シリカ系デバイス、ガリウムヒ素系デバイス、シリコンカーバイド系デバイス、フォーカルプレーンアレイ、光電子デバイス、光電池および光デバイスが含まれるが、それだけには限定されない。
【0025】
多孔質MSQ系誘電体膜が、本発明の出発材料として必要である。シリコン、酸素および炭素を含むこの薄膜コーティングは、多孔質有機置換ポリシリカおよび有機ポロゲン(porogen)から形成することができる。本発明で使用するための、スピンオン処理によって製造された一般的な超低k多孔質誘電MSQ系材料は、Chemat Technologies,Inc.(Northridge、CA)およびJSR Corporation(Tokyo、Japan)から入手可能である。このような多孔質誘電体膜を製造する一方法を以下に記述する。
【0026】
多孔質MSQ系誘電体膜材料を製造する方法は、多孔質有機置換ポリシリカの薄膜コーティングを基板上に付着させることから始まる。多孔質ポリシリカの薄膜コーティングは、ブレンド溶液から多孔質有機置換ポリシリカ薄膜をスピンコート(spinning)して製造される。ブレンド溶液は、適切な溶媒(すなわち、アルコール)中に以下の成分、すなわち、(1)少なくとも2つのSi−CH3基を含み熱的に安定な低誘電率有機無機ハイブリッドホストポリマー、(2)ポロゲン(すなわち、多孔性誘導材料)として働く熱分解可能な有機ポリマー、あるいは(3)他の添加剤(たとえば、界面活性剤)を含む。
【0027】
熱的に安定な低誘電率ホストポリマーは、(RSiOxn型の有機無機ハイブリッドポリマー(すなわち、有機ポリシリカ、特に、ポリオルガノシルセスキオキサン(POSQ))である。式中、Rはたとえば、メチル、エチル、フェニル基などの有機置換基であり、xは1〜2である。
【0028】
ポロゲンすなわち多孔性誘導材料は、熱分解可能な有機ポリマーであり、熱硬化すると、ホストポリマーの架橋温度を超える温度で小さなガス状の非反応性種に完全に分解する。次いで、これらの小さなガス状非反応性分解種がホスト材料から拡散して、ホストポリマー中に空孔が残る(すなわち、多孔性)。ホストポリマー(たとえば、MSQなど)の架橋温度は、通常、100〜200℃程度であり、したがって有機ポロゲンの分解温度は、この温度よりも十分高い必要がある(たとえば、250〜350℃)。
【0029】
分解温度が300℃以上である適切な有機ポロゲンは、輪郭のはっきりした細孔をホスト材料中に残すのに十分な大きさ(たとえば、>10Å)でなければならないが、細孔径が低k材料膜の幅全体のかなりの割合を占めるほどの大きさ(たとえば、<100Å)であってはならない。また、ホスト材料中に形成される細孔は、規則的で再現性のある幾何学的形状(たとえば、直径および体積がすべて同じ球状細孔)であることが好ましい。一般に、有機デンドリマーポリマーまたは超分枝(hyperbranched)有機ポリマーが、こうした特異的諸性質、線状ポリマーを大幅に上回る再現性を有し、ポロゲンとして最良の候補である。線状ポリマーはより大きな分子量およびサイズ分布を有し得るが、材料中に規則的な(すなわち、球状の)再現性ある細孔および細孔径を生成するためにはまだまだ不十分である。
【0030】
これらの薄膜を熱硬化して、ポロゲンを除去することができる。その結果、多孔質超低k材料の膜が得られる。他の方法を含む別の実施形態を使用して多孔質ポリシリカを製造することもできる。
【0031】
このような誘電率の低い多孔質MSQ系誘電材料は、ある種の電子および半導体デバイスを製造するのには望ましいが、弾性率のより高い膜が得られれば有利である。
【0032】
多孔質MSQ系誘電体膜材料の弾性率を上げるために、これをプラズマ硬化にかける。プラズマ硬化は、高周波(RF)、誘導結合、RF容量結合、螺旋共振器、マイクロ波ダウンストリームおよびマイクロ波電子サイクロトロン共鳴(ECR)プラズマによって実施することができる。プラズマ硬化によって、誘電体の細孔、構造、密度および電気的諸特性を維持しながら、多孔質MSQ系誘電体膜材料の機械的諸特性が改善され、材料の硬度が増加する。
【0033】
一般的なプラズマ硬化法においては、急速昇温(temperature ramp−up)ステップでウェーハを迅速に所望温度に加熱しプラズマ硬化させる。
【0034】
プラズマ硬化の正確な諸条件は、使用するプラズマのタイプによって決まる。200mmウェーハの一般的なマイクロ波プラズマ硬化条件の例を以下に示す。
【0035】
マイクロ波プラズマ出力:500W〜3000W
ウェーハ温度: 80℃〜350℃
プロセス圧力: 1.0Torr(0.13kPa)〜4.0Torr(0.53kPa)
プラズマ硬化時間: <120秒
プラズマガス: H2/N2/CF4/O2
22流量: >0〜4000sccm
2流量: >0〜4000sccm
CF4流量: >0〜400sccm
プラズマ硬化多孔質膜材料の弾性率は、誘電率が約2.0のときの弾性率が約1.0〜約3.5GPaである炉(熱)硬化多孔質膜材料よりも増加する。この弾性率の増加は、一般に約100%以上、より一般的には約200%以上である。一般に、プラズマ硬化多孔質膜材料の弾性率は約3GPa以上であり、より一般的には約3GPa〜約10GPaである。
【0036】
本発明のプラズマ硬化多孔質MSQ系誘電体膜材料は、化学的安定性および寸法安定性が向上している。化学安定性が向上しているということは、本発明者らによれば、膜が、洗浄溶液、化学研磨溶液などの薬品、ならびにフォトレジストアッシングおよびドライエッチングプロセス中のプラズマによる損傷に対して、より耐性があることを意味する。
【0037】
また、プラズマ硬化によって、多孔質膜からのポリシリカオリゴマーおよび他の物質のガス放出が著しく低減し、またはなくなる。しかし、プラズマ硬化によって、膜中に著しい量の極性種が生成し得る。
【0038】
プラズマ硬化膜材料は、必要なら、任意選択で任意タイプの熱暴露(thermal exposure)によりアニールして誘電率を低下させることができる。たとえば、極性種が除去されるまで、たとえば400℃で30分間、従来の乾燥器中にプラズマ硬化膜を置くことができる。利用可能な別の方法には、誘電率を低下させるためにプラズマ硬化膜材料を急速熱処理(RTP)チャンバ中でアニールすることが含まれる。一般的な温度で十分な時間プラズマ硬化膜をアニールし、約100℃に冷却する。
【0039】
RTP法の一般的な操作条件を以下に示す。
【0040】
昇温速度: 15℃/秒
ウェーハ温度: 350〜450℃
アニーリング時間:<180秒
プロセス圧力: 大気圧
アニールドプラズマ硬化膜材料の誘電率は、プラズマ硬化MSQ系誘電膜に比べて低下させることができる。アニールドプラズマ硬化膜の誘電率は、一般に約1.1〜約3.5であり、より一般的には約1.8〜約2.4である。
【0041】
本発明のさらに別の実施形態では、多孔質MSQ系誘電体膜材料をプラズマ硬化させて厚さ約0.3〜約0.7ミクロン(μm)とし、ダマシンプロセスで使用する。あるいは、膜をプラズマ硬化させて厚さ約0.7〜約1.25μmとし、二重ダマシンプロセス(double damascene process)に使用することができる。あるいは、多孔質MSQ系膜をプラズマ硬化させてパターン化したウェーハ上で厚さ約1.3〜約1.5μmとし、層間誘電体またはパッシベーション層として使用することができる。
【0042】
さらに別の実施形態では、多層相互接続構造を有するマイクロ電子デバイスを提供するための1つまたは複数のプラズマ硬化またはアニールドプラズマ硬化多孔質MSQ系誘電体膜層が形成される。
【0043】
本発明をより容易に理解できるようにするには、以下の実施例を参照されたい。これらの実施例は本発明を説明するためのものであって、その範囲を限定するためのものではない。
【実施例1】
【0044】
一般的なMSQ系誘電体膜材料に対するさまざまなプラズマ条件および得られた諸特性を以下の表1に示す。
【0045】
【表1】
Figure 2004538637
本発明を説明するために特定の代表的な実施形態および詳細を示したが、添付の特許請求の範囲に定義する本発明の範囲から逸脱することなく、本明細書に開示する組成および方法をさまざまに変更できることは当業者には明らかなはずである。

Claims (28)

  1. 少なくとも2つのSi−CH3基を含む樹脂から製造される、第1の誘電率および第1の弾性率を有する多孔質メチルシルセスキオキサン系誘電体膜材料を用意すること、および
    前記多孔質膜材料をプラズマ硬化させて、Si−CH3結合量を減少させ、前記第1の誘電率と同等である第2の誘電率および前記第1の弾性率よりも高い第2の弾性率を有するSiOx(式中、xは1〜2である)含有プラズマ硬化多孔質膜材料を製造することを含む、プラズマ硬化材料を製造する方法。
  2. 前記多孔質膜材料を約500W〜約3000Wの範囲のプラズマ出力でプラズマ硬化させる、請求項1に記載の方法。
  3. 前記多孔質膜材料を約350℃未満の温度でプラズマ硬化させる、請求項1に記載の方法。
  4. 前記多孔質膜材料を約150℃〜約350℃の範囲の温度でプラズマ硬化させる、請求項1に記載の方法。
  5. 前記多孔質膜材料を約270℃〜約300℃の範囲の温度でプラズマ硬化させる、請求項1に記載の方法。
  6. 前記多孔質膜材料を約1(0.1kPa)〜約4Torr(0.5kPa)の範囲のプロセス圧力でプラズマ硬化させる、請求項1に記載の方法。
  7. 前記多孔質膜材料を約15〜約120秒の範囲の間プラズマ硬化させる、請求項1に記載の方法。
  8. プラズマガスを用いて前記多孔質膜材料をプラズマ硬化させる、請求項1に記載の方法。
  9. 前記プラズマガスを、H2プラズマガス、N2プラズマガス、CF4プラズマガスまたはO2プラズマガスまたはそれらの組み合わせから選択する、請求項8に記載の方法。
  10. 前記多孔質膜材料の前記第1の弾性率と前記プラズマ硬化多孔質膜材料の前記第2の弾性率との間の弾性率の増加が約100%以上である、請求項1に記載の方法。
  11. 前記多孔質膜材料の前記第1の弾性率と前記プラズマ硬化多孔質膜材料の前記第2の弾性率との間の弾性率の増加が約200%以上である、請求項1に記載の方法。
  12. 前記プラズマ硬化多孔質膜材料の前記第2の弾性率が約3GPa以上である、請求項1に記載の方法。
  13. 前記プラズマ硬化多孔質膜材料の前記第2の弾性率が約3GPa〜約10GPaの間である、請求項1に記載の方法。
  14. 前記プラズマ硬化多孔質膜材料のガス放出レベルが有意に(significantly)減少またはゼロになる、請求項1に記載の方法。
  15. 前記プラズマ硬化多孔質膜材料をアニールして、前記第2の誘電率と同等またはそれよりも小さい第3の誘電率および前記第2の弾性率と同等である第3の弾性率を有するアニールドプラズマ硬化多孔質膜材料を用意することをさらに含む、請求項1に記載の方法。
  16. 前記プラズマ硬化多孔質膜材料を約450℃以下の温度でアニールする、請求項15に記載の方法。
  17. 前記プラズマ硬化多孔質膜材料を約350℃〜約450℃の範囲の温度でアニールする、請求項15に記載の方法。
  18. 前記プラズマ硬化多孔質膜材料を約60分以下の間にわたりアニールする、請求項15に記載の方法。
  19. 前記アニールドプラズマ硬化多孔質膜材料の前記第3の誘電率が約1.1〜約3.5の範囲にある、請求項15に記載の方法。
  20. 前記アニールドプラズマ硬化多孔質膜材料の前記第3の誘電率が約1.8〜約2.4の範囲にある、請求項15に記載の方法。
  21. 請求項1に記載の方法によって調製されるプラズマ硬化多孔質膜材料。
  22. 請求項15に記載の方法によって調製されるアニールドプラズマ硬化多孔質膜材料。
  23. 請求項1に記載の方法によって調製されるプラズマ硬化多孔質膜材料を含む電子デバイス。
  24. 請求項15に記載の方法によって調製されるアニールドプラズマ硬化多孔質膜材料を含む電子デバイス。
  25. 請求項1に記載の方法によって調製されるプラズマ硬化多孔質膜材料を有する基板。
  26. 請求項15に記載の方法によって調製されるアニールドプラズマ硬化多孔質膜材料を有する基板。
  27. 約1.1〜約3.5の誘電率および非プラズマ硬化多孔質SiOx含有膜材料よりも約100〜約200%の間大きい弾性率を有する多孔質SiOx含有プラズマ硬化膜材料。
  28. 約1.8〜約2.4の誘電率および非プラズマ硬化多孔質SiOx含有膜材料よりも約100〜約200%の間大きい弾性率を有する多孔質SiOx含有プラズマ硬化膜材料。
JP2003519983A 2001-07-16 2002-07-15 Msq系多孔質低k膜材料のプラズマ硬化 Withdrawn JP2004538637A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/906,276 US6759098B2 (en) 2000-03-20 2001-07-16 Plasma curing of MSQ-based porous low-k film materials
PCT/US2002/022213 WO2003015150A1 (en) 2001-07-16 2002-07-15 Plasma curing of msq-based porous low-k film materials

Publications (1)

Publication Number Publication Date
JP2004538637A true JP2004538637A (ja) 2004-12-24

Family

ID=25422184

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003519983A Withdrawn JP2004538637A (ja) 2001-07-16 2002-07-15 Msq系多孔質低k膜材料のプラズマ硬化

Country Status (5)

Country Link
US (1) US6759098B2 (ja)
EP (1) EP1410431A1 (ja)
JP (1) JP2004538637A (ja)
CN (1) CN1537325A (ja)
WO (1) WO2003015150A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7541296B2 (en) 2005-02-09 2009-06-02 Fujitsu Microelectronics Limited Method for forming insulating film, method for forming multilayer structure and method for manufacturing semiconductor device

Families Citing this family (382)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6913796B2 (en) * 2000-03-20 2005-07-05 Axcelis Technologies, Inc. Plasma curing process for porous low-k materials
JP5042427B2 (ja) * 2000-05-08 2012-10-03 電気化学工業株式会社 低比誘電率SiOx膜の製造方法
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US20030155657A1 (en) * 2002-02-14 2003-08-21 Nec Electronics Corporation Manufacturing method of semiconductor device
WO2003079736A1 (en) * 2002-03-18 2003-09-25 Isis Innovation Limited Phosphorescent dendrimers for use in light-emitting devices
KR20030094432A (ko) * 2002-06-04 2003-12-12 삼성전자주식회사 다공성 절연막의 박막 특성을 개선시키는 방법
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US20040130027A1 (en) * 2003-01-07 2004-07-08 International Business Machines Corporation Improved formation of porous interconnection layers
TWI240959B (en) 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
JP2004296476A (ja) * 2003-03-25 2004-10-21 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
US7208389B1 (en) * 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US20050260420A1 (en) * 2003-04-01 2005-11-24 Collins Martha J Low dielectric materials and methods for making same
EP1537183B1 (en) * 2003-04-09 2014-05-14 LG Chem, Ltd. Coating composition for insulating film production, preparation method of insulation film by using the same, insulation film for semi-conductor device prepared therefrom, and semi-conductor device comprising the same
US6753269B1 (en) * 2003-05-08 2004-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for low k dielectric deposition
JP4358563B2 (ja) * 2003-07-02 2009-11-04 東京エレクトロン株式会社 半導体装置の低誘電率絶縁膜形成方法
US20050048795A1 (en) * 2003-08-27 2005-03-03 Chung-Chi Ko Method for ultra low-K dielectric deposition
US20050087517A1 (en) * 2003-10-09 2005-04-28 Andrew Ott Adhesion between carbon doped oxide and etch stop layers
JP2005133060A (ja) * 2003-10-29 2005-05-26 Rohm & Haas Electronic Materials Llc 多孔性材料
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
DE10360933A1 (de) * 2003-12-23 2005-07-28 Infineon Technologies Ag Verfahren zum Herstellen einer Kapazität in einer Schicht aus Low-k-Material
JP2005203429A (ja) * 2004-01-13 2005-07-28 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US20060125102A1 (en) * 2004-12-15 2006-06-15 Zhen-Cheng Wu Back end of line integration scheme
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US20070299239A1 (en) * 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US7763869B2 (en) * 2007-03-23 2010-07-27 Asm Japan K.K. UV light irradiating apparatus with liquid filter
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US7501292B2 (en) * 2007-07-19 2009-03-10 Asm Japan K.K. Method for managing UV irradiation for curing semiconductor substrate
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US20090093135A1 (en) * 2007-10-04 2009-04-09 Asm Japan K.K. Semiconductor manufacturing apparatus and method for curing material with uv light
US20090093134A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K Semiconductor manufacturing apparatus and method for curing materials with uv light
US20090098741A1 (en) * 2007-10-15 2009-04-16 Asm Japan K.K. Method for forming ultra-thin boron-containing nitride films and related apparatus
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8466411B2 (en) 2011-03-03 2013-06-18 Asm Japan K.K. Calibration method of UV sensor for UV curing
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR20170014484A (ko) 2015-07-30 2017-02-08 서울바이오시스 주식회사 자외선 광원 모듈
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
CN111363183B (zh) * 2020-02-25 2022-09-06 深圳赛兰仕科创有限公司 复合膜及其制备方法
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3615272A (en) 1968-11-04 1971-10-26 Dow Corning Condensed soluble hydrogensilsesquioxane resin
JPS59178749A (ja) 1983-03-30 1984-10-11 Fujitsu Ltd 配線構造体
JPS6086017A (ja) * 1983-10-17 1985-05-15 Fujitsu Ltd ポリハイドロジエンシルセスキオキサンの製法
US4670299A (en) 1984-11-01 1987-06-02 Fujitsu Limited Preparation of lower alkyl polysilsesquioxane and formation of insulating layer of silylated polymer on electronic circuit board
US4636440A (en) 1985-10-28 1987-01-13 Manville Corporation Novel process for coating substrates with glass-like films and coated substrates
JPS63107122A (ja) 1986-10-24 1988-05-12 Fujitsu Ltd 凹凸基板の平坦化方法
US4822697A (en) 1986-12-03 1989-04-18 Dow Corning Corporation Platinum and rhodium catalysis of low temperature formation multilayer ceramics
US4756977A (en) 1986-12-03 1988-07-12 Dow Corning Corporation Multilayer ceramics from hydrogen silsesquioxane
US4749631B1 (en) 1986-12-04 1993-03-23 Multilayer ceramics from silicate esters
US5008320A (en) 1986-12-04 1991-04-16 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
US4808653A (en) 1986-12-04 1989-02-28 Dow Corning Corporation Coating composition containing hydrogen silsesquioxane resin and other metal oxide precursors
US4847162A (en) 1987-12-28 1989-07-11 Dow Corning Corporation Multilayer ceramics coatings from the ceramification of hydrogen silsequioxane resin in the presence of ammonia
US4842888A (en) 1988-04-07 1989-06-27 Dow Corning Corporation Ceramic coatings from the pyrolysis in ammonia of mixtures of silicate esters and other metal oxide precursors
DE3834088A1 (de) 1988-10-07 1990-04-12 Basf Ag Verfahren zum herstellen von homopolymerisaten des ethens sowie copolymerisaten des ethens mit hoeheren (alpha)-monoolefinen mittels eines ziegler-katalysatorsystems
US4999397A (en) 1989-07-28 1991-03-12 Dow Corning Corporation Metastable silane hydrolyzates and process for their preparation
US5010159A (en) 1989-09-01 1991-04-23 Dow Corning Corporation Process for the synthesis of soluble, condensed hydridosilicon resins containing low levels of silanol
US5116637A (en) 1990-06-04 1992-05-26 Dow Corning Corporation Amine catalysts for the low temperature conversion of silica precursors to silica
US5262201A (en) 1990-06-04 1993-11-16 Dow Corning Corporation Low temperature process for converting silica precursor coatings to ceramic silica coatings by exposure to ammonium hydroxide or an environment to which water vapor and ammonia vapor have been added
US5063267A (en) 1990-11-28 1991-11-05 Dow Corning Corporation Hydrogen silsesquioxane resin fractions and their use as coating materials
JP2795002B2 (ja) 1991-09-19 1998-09-10 日本電気株式会社 HgCdTe薄膜の製造方法
JP3153367B2 (ja) 1992-11-24 2001-04-09 ダウ・コ−ニング・コ−ポレ−ション ポリハイドロジェンシルセスキオキサンの分子量分別方法
US5441765A (en) 1993-09-22 1995-08-15 Dow Corning Corporation Method of forming Si-O containing coatings
US5547703A (en) 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US5618878A (en) 1995-04-07 1997-04-08 Dow Corning Corporation Hydrogen silsesquioxane resin coating composition
JPH11512474A (ja) * 1995-09-12 1999-10-26 ゲレスト インコーポレーテツド ベータ−置換オルガノシルセスキオキサンおよびその使用法
US5961851A (en) 1996-04-02 1999-10-05 Fusion Systems Corporation Microwave plasma discharge device
KR100478060B1 (ko) * 1996-08-08 2005-03-23 니토 덴코 가부시키가이샤 이방 도전성 필름 및 그 제조 방법
US5935646A (en) * 1996-08-23 1999-08-10 Gas Research Institute Molecular sieving silica membrane fabrication process
US5767014A (en) 1996-10-28 1998-06-16 International Business Machines Corporation Integrated circuit and process for its manufacture
US6080526A (en) * 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
JPH118450A (ja) * 1997-06-16 1999-01-12 Denki Kagaku Kogyo Kk 金属ベース回路基板
US6284050B1 (en) * 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
US6231989B1 (en) 1998-11-20 2001-05-15 Dow Corning Corporation Method of forming coatings
US6156671A (en) 1999-03-10 2000-12-05 United Microelectronics Corp. Method for improving characteristic of dielectric material
KR100292409B1 (ko) * 1999-05-24 2001-06-01 윤종용 실리콘-메틸 결합을 함유하는 절연층을 포함하는 다층 구조의 절연막 및 그 형성방법
US6156743A (en) * 1999-10-18 2000-12-05 Whitcomb; John E. Method of decreasing fatigue
US6107357A (en) 1999-11-16 2000-08-22 International Business Machines Corporatrion Dielectric compositions and method for their manufacture
US6143360A (en) 1999-12-13 2000-11-07 Dow Corning Corporation Method for making nanoporous silicone resins from alkylydridosiloxane resins
JP2001223269A (ja) * 2000-02-10 2001-08-17 Nec Corp 半導体装置およびその製造方法
US6576300B1 (en) * 2000-03-20 2003-06-10 Dow Corning Corporation High modulus, low dielectric constant coatings
US6558755B2 (en) * 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US6692844B2 (en) * 2000-08-29 2004-02-17 The Boeing Company Glaze for ceramic superplastic forming (SPF) dies
JP2002203852A (ja) * 2001-01-05 2002-07-19 Mitsubishi Electric Corp 絶縁膜の形成方法及び絶縁膜
JP3926566B2 (ja) * 2001-01-16 2007-06-06 株式会社タバタ ダイビング用ジャケット
US20030087534A1 (en) * 2001-09-10 2003-05-08 Rensselaer Polytechnic Institute Surface modification for barrier to ionic penetration
JP2003092287A (ja) * 2001-09-19 2003-03-28 Nec Corp アッシング方法
JP2003303808A (ja) * 2002-04-08 2003-10-24 Nec Electronics Corp 半導体装置の製造方法
US6706637B2 (en) * 2002-05-09 2004-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene aperture formation method absent intermediate etch stop layer

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7541296B2 (en) 2005-02-09 2009-06-02 Fujitsu Microelectronics Limited Method for forming insulating film, method for forming multilayer structure and method for manufacturing semiconductor device

Also Published As

Publication number Publication date
EP1410431A1 (en) 2004-04-21
WO2003015150A1 (en) 2003-02-20
US20020102413A1 (en) 2002-08-01
CN1537325A (zh) 2004-10-13
US6759098B2 (en) 2004-07-06

Similar Documents

Publication Publication Date Title
US6759098B2 (en) Plasma curing of MSQ-based porous low-k film materials
JP4689026B2 (ja) 極限低誘電率膜のためのキャッピング層
KR100658393B1 (ko) 전자 빔 노광에 의해 변성되어서 저 유전율 및 저 수분 함유량을 가지는 나노포러스 실리카 유전체 막
US6756085B2 (en) Ultraviolet curing processes for advanced low-k materials
US6875687B1 (en) Capping layer for extreme low dielectric constant films
JP4374567B2 (ja) 多孔性低誘電率材料のための紫外線硬化処理
JP4125637B2 (ja) 低誘電率材料及びその製造方法
JP3568537B2 (ja) マイクロエレクトロニクス構造体用電子ビーム加工膜
US6559071B2 (en) Process for producing dielectric thin films
JP2008520100A (ja) 多孔性低k誘電体フィルムの紫外線に補助された細孔シーリング
JP2008544484A (ja) プリメタルおよび/またはシャロートレンチアイソレーションに用いられるスピン−オン誘電体材料のための紫外線硬化処理方法
JP2005503672A (ja) 多孔質低誘電率材料のプラズマ硬化法
JP2004511896A (ja) 誘電フィルム及び材料における疎水性を回復する方法
US20020132496A1 (en) Ultra low-k dielectric materials
US6809041B2 (en) Low dielectric constant films derived by sol-gel processing of a hyperbranched polycarbosilane
WO2000013221A1 (en) Process for optimizing mechanical strength of nanoporous silica
Ray Low dielectric constant materials integration challenges
KR101064336B1 (ko) 실릴화제를 이용한 저-k 유전물질로의 손상 보수
Yamada et al. Characterization of Low-Dielectric-Constant Methylsiloxane Spin-on-Glass Films
KR101833801B1 (ko) 실리카계 막 형성용 조성물, 실리카계 막, 및 전자 디바이스
Singh Low and High Dielectric Constant Materials: Materials Science, Processing, and Reliability Issues: Proceedings of the Fourth International Symposium: And, Thin Film Materials for Advanced Packaging Technologies: Proceedings of the Second International Symposium
KR20220123084A (ko) 폴리카보실라잔을 사용하여 저-k 유전체 규소-함유 필름을 형성하기 위한 경화성 제형
Chen et al. Study on etching profile of nanoporous silica
KR20210082265A (ko) 1-메틸-1-이소-프로폭시-실라사이클로알칸 및 이로부터 제조된 고밀도 오가노실리카 필름

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050609

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20060915