JP2008520100A - 多孔性低k誘電体フィルムの紫外線に補助された細孔シーリング - Google Patents

多孔性低k誘電体フィルムの紫外線に補助された細孔シーリング Download PDF

Info

Publication number
JP2008520100A
JP2008520100A JP2007541278A JP2007541278A JP2008520100A JP 2008520100 A JP2008520100 A JP 2008520100A JP 2007541278 A JP2007541278 A JP 2007541278A JP 2007541278 A JP2007541278 A JP 2007541278A JP 2008520100 A JP2008520100 A JP 2008520100A
Authority
JP
Japan
Prior art keywords
dielectric material
porous low
ultraviolet radiation
exposing
nanometers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007541278A
Other languages
English (en)
Inventor
カルロ ウオルドフリード
オーランド エスコルシア
アイヴァン ベリー
Original Assignee
アクセリス テクノロジーズ インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アクセリス テクノロジーズ インコーポレーテッド filed Critical アクセリス テクノロジーズ インコーポレーテッド
Publication of JP2008520100A publication Critical patent/JP2008520100A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/469Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/4763Deposition of non-insulating, e.g. conductive -, resistive -, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)

Abstract

【課題】多孔性低k誘電体フィルムの紫外線に補助された細孔シーリングを提供する。
【解決手段】多孔性低k誘電体フィルムをシールする方法であって、炭化、酸化及び/又は高密度化の手段により、多孔性誘電体の表面をシールするために有効な強度、時間、波長及び雰囲気中において、多孔性低k誘電体フィルムの多孔性表面を紫外線(UV)照射に暴露することから一般的になる方法。表面の多孔性低k材料の表面は、紫外線暴露後に該表面に実質的に開孔が存在しないよう約20ナノメーター未満又は約20ナノメーターに等しい深さでシールされる。
【選択図】図1

Description

本発明の開示は一般的に半導体装置の製造に関し、そしてより特別には、半導体装置において用いられる多孔性低k誘電体材料に対する紫外線に補助された細孔シーリングに関するものである。
半導体及び他のマイクロ電子デバイスは寸法がどんどん小さくなるので、デバイス要素に対する要求は増加し続けている。例えば、相互接続線間の容量性クロストークの防止は、より小さいデバイスにおいて著しく重要になる。容量性クロストークは通常、導体間の距離と、導体間に配置された材料の誘電率(k)の双方に依存する。低い誘電率を有する新しい絶縁体を使用して導体を相互に電気的に絶縁することについて非常な注意が払われており、シリカ(SiO2 )は、比較的良好な電気的及び機械的特性のため、そのようなデバイスで伝統的に使用されているけれども、デバイス尺度がより小さい寸法に向かうとき、約4のSiO2 における値を下回る誘電率が要求される。これらの新規低k(即ち、4未満の誘電率)材料は、例えば層間絶縁膜(ILD)として使用されるのが望ましい。
低誘電率を達成するために、低誘電率を有する材料を使用するか、及び/又は、材料中に多孔性を取り入れることができ、後者は、空気の誘電率が名目上1であるので、効率的に誘電率を低下させる。多孔性は、種々の手段によって低k材料に導入されてきた。スピンオン低k材料の場合、k値の低下は、高沸点溶剤を使用することにより、鋳型を使用することにより、又はポロゲン(porogen)ベース法により達成することができる。しかしながら、半導体デバイスの製造における多孔性低k材料の集積は、通常、困難であることが判明している。
例えば、多孔性低k誘電体材料の生来の開放的な性質のために、その後の処理(即ち、多孔性低k誘電体材料の形成後)に用いられるプロセスガス及び化学薬品は多孔性ネットワーク中に広がり得、該ネットワーク中でそれらは捕捉され、それらは損傷を起こし並びに誘電率を変更し得る。更に、表面と直接連通している細孔は、その上に被着及び/又は形成される次の層、例えばバリヤー層内に、ピンホールを生じさせ得る。
従って、半導体デバイスに対する集積のため多孔性低k材料についての改良された方法を提供することが求められている。少なくとも先行技術で注目された問題のため、付加的な層を被着する前に、及び/又は更なる処理の前に、多孔性低k誘電体をシールすることが望ましい。多孔性低k誘電体の表面をシールすることは、プロセスガス及び化学薬品の浸透(及び捕捉)を防止するのに有利である。更に、シーリングは、その上に付加的な層をコーティング/被着するための連続的な表面層を提供する。従って、次の層におけるピンホール形成を実質的に防止することができる。
本明細書中に開示されているのは、多孔性低k材料の紫外線に補助された細孔シーリングのための方法である。一実施形態において、基板上に配置された多孔性低k誘電体材料をシールするための方法は、多孔性低k誘電体材料の表面を約20ナノメーター未満又は約20ナノメーターに等しい深さでシールするために有効な所定時間、強度及び波長において、多孔性低k誘電体材料の表面を紫外線照射パターンに暴露することからなる(ここで、該表面に実質的に開孔が存在しない。)。
別の実施形態において、電気的相互接続構造を形成する方法は、基板上に配置された多孔性低k誘電体材料をパターン形成すること;多孔性低k誘電体材料の表面を約20ナノメーター未満又は約20ナノメーターに等しい深さでシールするために有効な所定時間、強度及び波長において、多孔性低k誘電体材料の表面を紫外線照射パターンに暴露すること(ここで、該表面に実質的に開孔が存在しない);及びパターン化された多孔性低k誘電体フィルム上にバリヤー層及び/又は導電層を被着することからなる。
別の実施形態において、基板上に配置された多孔性低k誘電体材料をシールする方法は、多孔性低k誘電体材料の表面を約20ナノメーター未満又は約20ナノメーターに等しい深さでシールするために有効な所定時間、強度及び波長において該表面を紫外線照射パターンに暴露することにより多孔性低k誘電体材料の表面を酸化することからなる。
更に別の実施形態において、基板上に配置された多孔性低k誘電体材料をシールする方法は、多孔性低k誘電体材料の表面を約20ナノメーター未満又は約20ナノメーターに等しい深さでシールするために有効な所定時間、強度及び波長において該表面を紫外線照射パターンに暴露することにより多孔性低k誘電体材料の表面を酸化することからなる。
また別の実施形態において、基板上に配置された多孔性低k誘電体材料をシールする方法は、多孔性低k誘電体材料の表面を約20ナノメーター未満又は約20ナノメーターに等しい深さでシールするために有効な所定時間、強度及び波長において該表面を紫外線照射パターンに暴露することにより多孔性低k誘電体材料の表面を高密度化することからなる。
別の実施形態において、基板上に配置された多孔性低k誘電体材料の細孔をシールする方法は、前記基板を紫外線照射に暴露して、細孔をシールする二次材料のための結合部位が適用されることを可能にするように表面結合を変更し、該材料がその後細孔をシールすることからなる。
別の実施形態において、基板上に配置された多孔性低k誘電体材料の細孔をシールする方法は、酸化性又は還元性雰囲気の存在下で前記基板を紫外線照射に暴露して、二次材料のための結合部位が適用されることを可能にし、その後、細孔をシールすることからなる。
更に別の実施形態において、基板上に配置された多孔性低k誘電体材料の細孔をシールする多段階法は、酸化性又は還元性雰囲気の存在下で又は不存在下で前記誘電体材料を紫外線照射に暴露して表面結合を変更し、その後、前記紫外線プロセスにより形成された結合に対して選択的に反応するシーリング材料を被着し、その後、該材料が細孔をシールすることからなる。
更に別の実施形態において、基板上に配置された多孔性低k誘電体材料の細孔をシールするための多段階法は、前記誘電体材料をシーラント材料又はシーラント前駆物質に暴露し、その後、酸化性又は還元性雰囲気の存在下で又は不存在下で前記基板を紫外線照射に暴露して前記シーラントを前記基板と反応させ及び/又は前記シーリング材料の結合構造を変更し、その後、該材料が細孔をシールすることからなる。
更に別の実施形態において、基板上に配置された多孔性低k誘電体材料の細孔をシールする方法は、酸化性又は還元性雰囲気の存在下で又は不存在下で前記基板を紫外線照射に暴露しつつ前記誘電体材料をシーラント材料に暴露し、前記紫外線を前記シーラントと、前記基板と及び/又はそれら双方と反応せしめ、そのことがその後、細孔をシールするこ
とからなる。
上に記載された特徴及び他の特徴を、下記の図及び詳細な記述により例示する。
さて、図面について言及すると、これらは実施態様を例示するものであり、そして同じ要素は同じ数で表わされている。
図1は、本発明の開示に基づく紫外線処理の前後の絶縁破壊電圧を、多孔性低k誘電体層を含む回路のピッチに応じて、グラフにより説明している。
図2は、その上に配置された多孔性低誘電体材料を持つ幾つかの基板を、異なる環境において、本発明の開示に基づく紫外線照射に暴露した場合における、弗化水素酸湿式エッチングプロセスに晒した後の多孔性誘電体材料の損失を時間に応じて説明している。
本発明の開示は、一般的に、多孔性低k誘電体フィルムをシールする方法に関するものである。本方法は、一般的に、炭化、酸化、フィルム緻密化、被着物質(該物質が次に細孔をシールする)の化学反応を可能にする表面の反応性部位の生成により、後に紫外線照射を用いて反応させた場合に細孔をシールする物質の被着により、及び/又は、同時に紫外線照射を用いて反応させた場合に細孔をシールする物質の被着により、多孔性誘電体表面をシールするために有効な強度、時間、波長及び雰囲気下で、多孔性低k誘電体フィルムの多孔性表面を紫外線(UV)照射に暴露することからなる。紫外線で補助されたシーリングプロセスは、集積回路製造プロセスにおいて多孔性低k誘電体材料を集積する手段を都合良く提供する。所望により、紫外線に暴露した後、幾つかの用途及び製造プロセスにおいて望まれ得るときは、種々の被着された層をアニールするために炉アニーリング及び同様な工程を使用することができる。本明細書中で使用されるとき、用語“多孔性低k誘電体材料”は、一般的に、多孔性マトリックスを含む材料を指し、ここで細孔径は、約2ナノメートル(nm)未満、得られる誘電率(k)は約3.0未満である。
高度な、電気的な相互接続構造を形成する方法は、一般的に、基板上に多孔性低k誘電体材料を形成し、次いで、低k誘電体材料の表面をシールするか、又は、適用されるシーリング材料のための結合部位を生成するのに有効な、時間、波長、強度及び雰囲気にて前記表面を紫外線照射パターンに暴露することからなる。一実施形態において、紫外線照射は、深さ20ナノメートルまで、より好ましくは深さ約10ナノメートル、そして更に好ましくは平均細孔径に等しい深さで、多孔性低k誘電体材料の暴露された表面をシールするのに有効である。前記誘電体材料のシーリングは20ナノメートルを越えることはできるけれども、シーリングの浸透は低k材料のバルク誘電挙動に大きく影響するので、高度な半導体を製造するためには一般的に好ましくない。少なくとも前記深さまで多孔性低k誘電体をシールすることは、更なる処理における前記誘電体材料への後の損傷を実質的に防止する。従って、後の処理の間に用いられるプロセスガス及び化学薬品は、多孔性低k誘電体材料の多孔性構造に浸透することはできない。更に、多孔性低k誘電体層をシールすることにより、次の層、例えばバリアー又は拡散層であって、その下の多孔性材料が実質的にピンホールを有しない表面、即ちシールされた表面を含むため、実質的にピンホールを有しない層の、被着及び/又はコーティングがなされ得る。集積回路の製造において、拡散又はバリヤー層は、前記層を、誘電体中間層と、例えば銅金属相互接続のような、その後に被着された導電材料との間の境界を形成するために使用することができるので、重要であり得る。
多孔性低k誘電体フィルムを形成するために使用され得る、当業者に一般的に用いられるプロセスの幾つかの例は、化学蒸着(CVD)、プラズマ強化化学蒸着(PECVD)
、高密度PECVD、フォトンアシストCVD、プラズマ−フォトンアシストCVD、極低温CVD、化学補助蒸着、ホット−フィラメントCVD、液体ポリマー前駆物質のCVD、超臨界液体からの被着、又はトランスポート(transport )重合(“TP”)を含む。フィルムを形成するために使用することができる他の方法は、スピンコーティング法、ディップコーティング法、ラングミュア- ブロジェット セルフ−アセンブリ法又はミスティングデポジション法を含む。
本明細書中で使用されるとき、用語“多孔性低k誘電体材料”は、一般的に、マトリックス及びポロゲン(porogen)を含む前記材料を指し、ポロゲン除去後の誘電体材料は多孔性構造を有する。用語“ポロゲン材料”は、一般的に、その除去後に低k誘電体材料内に細孔を発生又は形成する、当該分野において知られている消失性の有機ベース材料を指す。ポロゲン材料はマトリックス又はマトリックス前駆物質内にドメイン(又は分離した領域)を形成し、その除去後、マトリックス又はマトリックス前駆物質内に細孔形成する。好ましくは、ドメインは、最終的に望まれる細孔径よりも大きくないようにすべきである。本明細書の開示において、適するポロゲン材料は制限されるものではなく、そして、熱及び/又は光照射に暴露されて分解して揮発性フラグメント又はラジカル(該フラグメント又はラジカルは例えば、不活性ガス流下でマトリックス材料又はマトリックス前駆物質から除去され得る。)を形成する材料を含むことができる。このようにして、前記照射に暴露することにより、細孔が、マトリックス内で及びマトリックス全体に渡って、通常、底面から頂面まで広がって、形成される。従って、得られる表面は、多数のピンホールを含むことができる。
当該技術において、一般的に、熱的に不安定であり、熱的に除去可能であり、光化学的に不安定であり、光化学的に除去可能である等のような、特徴を有するとされるポロゲン材料は、多孔性低k誘電体を形成するために一般的に適している。この種の材料は、“架橋可能なマトリックス前駆物質及びポロゲンを含む組成物並びにそれらから造られる多孔性マトリックス”という表題で、米国特許第6,653,358号明細書に一般的に記載されており、その内容は、参考としてその全部が本明細書中に取り込まれている。例示的なポロゲン材料は、一般的に、炭化水素材料、不安定な有機化合物群、溶剤、分解性ポリマー、界面活性剤、デンドリマー、高分岐ポリマー、ポリオキシアルキレン化合物、又はそれらの組み合わせを含むが、しかし、それらに制限されることはない。
適するマトリックス及びマトリックス前駆物質は、一般的に、シリコーン含有ポリマー、又はそのようなポリマーの前駆物質、例えば、シルセスキオキサン例えばアルキルシルセスキオキサン(好ましくは低級アルキルシルセスキオキサン、例えば、メチルシルセスキオキサン)、アリール(例えば、フェニル)又はアルキル/アリールシルセスキオキサン、及びシルセスキオキサンのコポリマー(例えば、ポリイミドとシルセスキオキサンとのコポリマー);アダマンチンベースの熱硬化性組成物;架橋されたポリフェニレン;ポリアリルエーテル;ポリスチレン;架橋されたポリアリーレン;ポリメチルメタクリレート;芳香族ポリカーボネート、芳香族ポリイミド等を含むが、しかし、それらに制限されることはない。
例えば、適するシルセスキオキサンは、(RSiO1.5 n 型(式中、Rは有機置換基を表わす)のポリマー状シリケート材料である。2種又はそれより多くの異なる珪素含有化合物の組み合わせを使用することができる。多孔性誘電体材料に対する他の適する珪素含有化合物はまた通常、SiCOH誘電体ともいわれている、一般的に、珪素原子、炭素原子、酸素原子及び水素原子材料を含む。例示的な珪素含有化合物は、(i)上述のシルセスキオキサン、(ii)アルコキシシラン、好ましくは部分的に縮合したアルコキシシラン(例えば、約500ないし20000のMn を有するテトラエトキシシランの制御された加水分解により部分的に縮合される)、(iii)組成RSiO3 及びR2 SiO2
(前記両式中、Rは有機置換基を表わす)を有する有機的に変性されたシリケート、及び(iv)オルトシリケート、好ましくは、組成Si(OR)4 を有する部分的に縮合されたオルトシリケートを含む。
また更に、珪素ベースの誘電体前駆物質は、例えば、テトラメチルシクロテトラシロキサン、ヘキサメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサンを含む。
他の種類のマトリックス前駆物質は、熱硬化性ベンゾシクロブテン(BCBs)又はそれらのb−ステージ製品を含む。例えば、1,3−ビス(2−ビシクロ[4.2.0]オクタ−1,3,5−トリエン−3−イルエチニル)−1,1,3,3−テトラメチルジシロキサン(DVS−ビスBCBと言われている)は適しており、そのb−ステージ樹脂はシクロテン(CYCLOTENE)(登録商標)樹脂[ダウケミカル社(Dow Chemical Company)製]として商業的に入手可能である。
別の種類のマトリックス材料はポリアリーレンを含む。本明細書中で使用されるポリアリーレンは、反復アリーレン単位から造られた骨格を有する化合物及び、骨格内に他の結合単位、例えばポリアリーレンエーテル中の酸素原子、と一緒になったアリーレン単位を有する化合物を含む。市販品を入手可能なポリアリーレン組成物の例は、ダウケミカル社から市販品を入手可能なシルク(SiLK)(登録商標)誘電体、アライド シグナル インコーポレーテッド(Allied Signal Inc.)から市販品を入手可能なフレア(Flare)(登録商標)誘電体、及びエアプロダクツ/シュマッハ(AirProducts/Shumacher)から市販品を入手可能なポリアリーレンエーテルであるベロックス(Velox)(登録商標)が含まれる。ポリアリーレンマトリックス前駆物質に属するものの一つは熱硬化性混合物又は、ポリシクロペンタジエノンとポリアセチレンとのb−ステージ生成物である。組成物において使用され得る熱硬化性組成物又は架橋性ポリアリーレンの例は、芳香族環において互いにオルト位がエチニル基で置換された芳香族化合物のようなモノマー;芳香族アセチレン化合物と組み合わされたシクロペンタジエノン官能性化合物;及びポリアリーレンエーテルを含む。より好ましくは、熱硬化性組成物は、上述のモノマーの一部重合された反応生成物(即ち、b−ステージオリゴマー)を含む。
マトリックス前駆物質が熱硬化性組成物又は、ポリシクロペンタジエノンとポリアセチレンとのb−ステージ生成物を含む場合には、前記前駆物質は一般的に、硬化プロセスの間の比較的初期に分岐が生じるように特徴付けられる。硬化プロセスにおける初期の分岐されたマトリックスの生成は、該マトリックスの弾性率低下を最小化し、そしてまた、硬化プロセスの間に起こり得る細孔崩壊を最小化することができる。
多孔性誘電体材料の製造のために適するマトリックス前駆物質の別の例は、熱硬化性ペルフルオロエチレンモノマー(3又はそれより大きい官能価を有する)又はそのb−ステージ生成物、例えば、1,1,1−トリス(4−トリフルオロビニロキシフェニル)エタンである。熱硬化性ペルフルオロエチレンモノマーはまた有利には、2の官能性を有するペルフルオロエチレンモノマーと共重合され得る。別の適するポリアリーレンマトリックス前駆物質は、熱硬化性ビス−o−ジアセチレン又はそのb−ステージ生成物である。
一般的に、多孔性誘電体材料における細孔濃度は、マトリックスの誘電率を低下させるのに十分に高いが、しかし、機械的強度を維持するため、望ましいマイクロ電子デバイス(例えば、集積回路、マルチチップモジュール、又は平面パネルディスプレイデバイス)の製造の際に要求されるプロセス段階に、マトリックスを耐えさせるのには十分に低い。細孔密度は、一般的に、マトリックスの誘電率を一つの実施態様においては3.0未満、
他の実施態様においては2.5未満、そして更に他の実施態様においては2.0未満に低下させるのに十分である。幾つかの実施態様において、細孔濃度は、多孔性マトリックスの全容積に基づいて、少なくとも5容量%、他の実施態様においては少なくとも10容量%、そして更に他の実施態様においては少なくとも20容量%、そして一般的に70容量%を越えず、そしてまた他の実施態様においては60容量%を越えない。
マトリックス内の細孔の平均直径は一般的に約20ナノメーター(nm)未満、幾つかの場合には2ナノメーター未満、更に他の実施態様においては約1ナノメーターを越えない。
集積回路の製造の間、ポロゲン材料を含む低k誘電体材料が適する基板上に被着され、そして、前記ポロゲンを除去して多孔性低k誘電体構造を形成するために、適するエネルギー源に暴露される。適する基板は、珪素、絶縁体上の珪素、珪素ゲルマニウム、二酸化珪素、ガラス、窒化珪素、セラミック、アルミニム、銅、砒化ガリウム、プラスチック例えばポリカーボネート、回路基板例えばFR−4及びポリイミド、ハイブリッド回路基板例えば窒化アルミニム−アルミナ等を含むが、しかしこれらに限定されるものではない。このような基板は、その上に被着された薄いフィルムを更に含み得、このようなフィルムは金属窒化物、金属炭化物、金属珪化物、金属酸化物及びそれらの混合物を含むが、しかしこれらに限定されるものではない。多層集積回路デバイスにおいて、絶縁され、平滑化された回路の下層は基板として機能することもできる。しかしながら、基板及びデバイスの選択は、基板の熱的及び化学的安定性に対する要求によってのみ制限される。
紫外線に補助されたシーリングプロセスは、紫外線放射器具を用いることができ、該器具は、一実施態様において、とりわけ、例えば約200nm未満の波長において最小のスペクトル吸収にて紫外線をプロセスチャンバーに取り入れるために、最初に、窒素、ヘリウム又はアルゴンを用いてパージすることができる。多孔性誘電体物質をプロセスチャンバー内に配置し、その後、該チャンバーを、異なる用途に対して用いられ得るN2 、H2 、Ar、He、Ne、H2 O蒸気、NH3 、COz 、O2 、Cx y 、Cx y 、Cx z y (これらの式中、xは1ないし6の整数を表わし、yは4ないし14の整数を表わし、そしてzは1ないし14の整数を表わす。)及びこれらの混合物のような望ましいプロセスガス又はガス混合物を用いて、別途パージする。例えば、紫外線暴露の間、炭化、及び/又は酸化、及び/又は架橋によるフィルム高密度化及び/又はSi−OHのような化学反応性部位の形成を選択的に促進するために、特定のプロセスガスを選択することができる。この観点において、紫外線シーリングは、酸素の不存在下で、又は酸化ガスの存在下で、又は還元性ガスの存在下で、又はとりわけ炭化を促進するガスの存在下で、又は架橋を促進するガスの存在下で、そして同様な変法の下に、起こり得る。
紫外線に補助されたシーリングプロセスは、紫外線放射器具を用いることができ、該器具は、一実施態様において、とりわけ、例えば約200nm未満の波長において最小のスペクトル吸収にて紫外線をプロセスチャンバーに取り入れるために、最初に、窒素、ヘリウム又はアルゴンを用いてパージすることができる。多孔性誘電体物質をプロセスチャンバー内に配置し、その後、該チャンバーを、紫外線及び望ましいシーラント物質、例えばヘキサメチルジシラン(HMDS)、トリメチルジシラン(TMDS)、ジエチルアミノトリシラン(DEATS)、トリメチルクロロシラン(TCMDS)等及びそれらの混合物に対して、別途暴露する。シーラント物質は、紫外線暴露の前、間又は後に、導入され得る。この観点において、紫外線シーリングは、酸素の不存在下で、又は酸化ガスの存在下で、又は還元性ガスの存在下で、又はとりわけ炭化を促進するガスの存在下で、又は架橋を促進するガスの存在下で、そして同様な変法の下に、起こり得る。
紫外線源は、駆動されたマイクロ波、アーク放射、誘電体バリアー放射、又は発生され
た電子衝撃であってよい。更に、異なるスペクトル分布を持つ紫外線発生管が、用途に応じて選択され得る。
紫外線暴露の間のウェファー温度は、所望により赤外線源、光学光源、熱源、又はそれ自体の光源により、室温ないし425℃の範囲で制御され得る。プロセス圧力は、大気圧未満の圧力、大気圧を越える圧力、又は大気圧と等しい圧力であってよい。典型的には、紫外線でシールされた誘電体材料は、約450秒を越えない時間、そしてより特別には約5秒ないし約300秒、紫外線処理される。また紫外線処理は、約室内(周囲)温度ないし約450℃、大気圧未満の、大気圧を越える、又は大気圧と等しいプロセス圧力、約0.1ミリワット/平方センチメートル(mW/cm2 )ないし約2000mW/cm2 の紫外線出力、及び150nmないし400nmの紫外線波長スペクトル、にて行うことができる。所望により、表面密度化の浸透範囲を約20nm未満の深さに最小化するため、周囲温度未満の温度を用いることができる。
シーリング範囲は、標準分析技術を使用することにより測定することができる。例えば、透過電子顕微鏡をFTIR分析と同様に用いることができる。また、低k誘電体表面の性質は変化するので、水接触角における変化を、シーリング範囲を決定するために測定することができる。更にまた、湿式エッチング速度及び/又はプラズマエッチング速度における変化を、シーリング効率及び浸透の指標を提供するために、観察することができる。このようにして、シーリングの処理量並びに深さを、特定の用途のために最適化することができる。
有利には、紫外線硬化プロセスは、シールされた多孔性誘電体材料のバルク誘電係数には殆ど影響を与えないけれども、絶縁破壊電圧の挙動及び湿式エッチ抵抗を改良することが見出されている。更に、FTIR分析は、珪素をベースとする誘電体フィルムにおいて、フィルムシラノール係数に対する僅かな影響が観察されたことを示した。
開示がより容易に理解されうるように下記実施例において言及がなされているが、下記実施例は、本発明を説明することを意図しているが、しかし、その範囲を限定するものではない。
実施例1
本実施例において、ピッチに応じて絶縁破壊電圧が、本発明の開示に従ってパターン化された多孔性誘電体材料の紫外線シーリングの前及び後で測定された。両方の基板がアニールされた。線幅は175ミクロンであった。図1に示されるように、濃密配列について、絶縁破壊分野における十分な改良が観察された。
実施例2
本実施例において、その上に配置された多孔性誘電体材料を有する基板が、希弗化水素酸湿式エッチングプロセスに様々な時間暴露された。基板は、本発明の開示に基づいて、不活性雰囲気において(パージ1)、還元性雰囲気において(パージ2)又は酸化性雰囲気において(パージ3)、紫外線に暴露された。対照が、紫外線に対する如何なる暴露もなしに、湿式エッチプロセスに暴露された。結果が図2に示されており、この結果は、紫外線に暴露された場合の湿式エッチ抵抗における向上並びに、紫外線暴露が起こった環境への依存性を明らかに示している。
例示的な実施態様に関連して本開示が記載されているけれども、本開示の範囲から逸脱することなく、種々の変化が行われ得、そしてその要素について均等物で置換され得ることが、当業者により理解されるであろう。加えて、その本質的な範囲から逸脱することな
く、本開示の教示について特定の状況又は材料に適合するために、多くの変更が行われ得る。従って、本開示は、本開示を行うために示された最良の形態として開示された特定の実施態様に限定されないが、しかし、本本開示は、添付された請求項の範囲内に含まれる全ての実施態様を含むことが意図されている。
図1は、本発明の開示に基づく紫外線処理の前後の絶縁破壊電圧を、多孔性低k誘電体層を含む回路のピッチに応じて、グラフ的に説明している図である。 図2は、その上に配置された多孔性低誘電体材料を持つ幾つかの基板を、異なる環境において、本発明の開示に基づく紫外線照射に暴露した場合における、弗化水素酸湿式エッチングプロセスに晒した後の多孔性誘電体材料の損失を時間に応じて説明している図である。

Claims (29)

  1. 基板上に配置された多孔性低k誘電体材料をシールする方法であって、
    前記多孔性低k誘電体材料の表面を約20ナノメーター未満又は約20ナノメーターに等しい深さでシールするために有効な所定時間、強度及び波長において、前記多孔性低k誘電体材料の表面を紫外線照射パターンに暴露することからなり、ここで、該表面に実質的に開孔が存在しない方法。
  2. 前記紫外線照射パターンが400ナノメーター未満の広帯域波長を含む、請求項1記載の方法。
  3. 前記紫外線照射パターンが約100ナノメーターないし400ナノメーターの広帯域波長を含む、請求項1記載の方法。
  4. 前記多孔性低k誘電体材料の表面を所定時間、強度及び波長において前記紫外線照射パターンに暴露することが反応性パージ環境内において行われる、請求項1記載の方法。
  5. 前記多孔性低k誘電体材料の表面を前記紫外線照射パターンに暴露することが、N2 、H2 、Ar、He、Ne、H2 O蒸気、NH3 、CO、CO2 、O2 、O3 、Cx y 、Cx y 、Cx z y (これらの式中、xは1ないし6の整数を表わし、yは4ないし14の整数を表わし、そしてzは1ないし14の整数を表わす。)及びこれらの混合物の雰囲気中において行われる、請求項1記載の方法。
  6. 前記多孔性低k誘電体材料の表面を前記紫外線照射パターンに暴露することが、約10ナノメーター未満又は約10ナノメーターに等しい深さで前記多孔性低k誘電体材料の表面をシールするために有効な所定時間、強度及び波長において行われる、請求項1記載の方法。
  7. 前記多孔性低k誘電体材料の表面を前記紫外線照射パターンに暴露することが、平均細孔直径にほぼ等しい深さで前記多孔性低k誘電体材料の表面をシールするために有効な所定時間、強度及び波長において行われる、請求項1記載の方法。
  8. 電気的相互接続構造を形成する方法であって、
    基板上に多孔性低k誘電体材料をパターン形成すること、
    多孔性低k誘電体フィルムを、多孔性低k誘電体材料の表面を約20ナノメーター未満又は約20ナノメーターに等しい深さでシールするために有効な所定時間、強度及び波長において紫外線照射パターンに暴露すること(ここで、該表面に実質的に開孔が存在しない。)、及び
    バリヤー層及び/又は導電層を、パターン形成された多孔性低k誘電体材料上に被着すること(ここで、該バリヤー層は実質的にピンホールを含まない。)、
    からなる方法。
  9. 前記導電層を被着する前に、前記多孔性低k誘電体材料上に前記バリヤー層を被着することを更に含む、請求項8記載の方法。
  10. 前記紫外線照射が400ナノメーター未満の広帯域波長を含む、請求項8記載の方法。
  11. 前記紫外線照射が、N2 、H2 、Ar、He、Ne、H2 O蒸気、NH3 、CO、CO2 、O2 、O3 、Cx y 、Cx y 、Cx z y (これらの式中、xは1ないし6の整数を表わし、yは4ないし14の整数を表わし、そしてzは1ないし14の整数を表わ
    す。)及びこれらの混合物の雰囲気中において行われる、請求項8記載の方法。
  12. 基板上に配置された多孔性低k誘電体材料をシールする方法であって、
    酸素を含む雰囲気中で、前記多孔性低k誘電体材料の表面を約20ナノメーター未満又は約20ナノメーターに等しい深さでシールするために有効な所定時間、強度及び波長において紫外線照射パターンに前記表面を暴露することにより、前記多孔性低k誘電体材料の表面を酸化することからなる方法。
  13. 前記紫外線照射が400ナノメーター未満の広帯域波長を含む、請求項12記載の方法。
  14. 前記多孔性低k誘電体材料を紫外線照射に暴露した後に、該多孔性低k誘電体材料をアニーリングすることを更に含む請求項12記載の方法。
  15. 基板上に配置された多孔性低k誘電体材料をシールする方法であって、
    前記多孔性低k誘電体材料の表面を約20ナノメーター未満又は約20ナノメーターに等しい深さでシールするために有効な所定時間、強度及び波長において紫外線照射パターンに前記表面を暴露することにより、前記多孔性低k誘電体材料の表面を炭化することからなる方法。
  16. 前記紫外線照射が400ナノメーター未満の広帯域波長を含む、請求項15記載の方法。
  17. 前記多孔性低k誘電体材料を紫外線照射に暴露した後に、該多孔性低k誘電体材料をアニーリングすることを更に含む請求項15記載の方法。
  18. 基板上に配置された多孔性低k誘電体材料をシールする方法であって、
    前記多孔性低k誘電体材料の表面を約20ナノメーター未満又は約20ナノメーターに等しい深さでシールするために有効な所定時間、強度及び波長において紫外線照射パターンに前記表面を暴露することにより、前記多孔性低k誘電体材料の表面を高密度化することからなる方法。
  19. 前記紫外線照射が400ナノメーター未満の広帯域波長を含む、請求項19記載の方法。
  20. 前記多孔性低k誘電体材料を紫外線照射に暴露した後に、該多孔性低k誘電体材料をアニーリングすることを更に含む請求項19記載の方法。
  21. 基板上に配置された多孔性低k誘電体材料をシールする方法であって、
    前記多孔性低k誘電体材料の表面に表面結合を生成させ且つ反応性部位を形成するために有効な所定時間、強度及び波長において紫外線照射パターンに前記表面を暴露すること、及び
    表面をシールするために前記反応性部位を物質と反応させること
    からなり、ここで、前記表面を前記物質と反応させた後の表面に実質的に開孔が存在しない方法。
  22. 前記多孔性低k誘電体材料の表面を所定時間、強度及び波長において紫外線照射パターンに暴露することが、該暴露の間反応性ガスを導入することを更に含む、請求項21記載の方法。
  23. 前記反応性ガスが、N2 、H2 、H2 O蒸気、NH3 、CO、CO2 、O2 、O3 、Cx y 、Cx y 、Cx z y (これらの式中、xは1ないし6の整数を表わし、yは4ないし14の整数を表わし、そしてzは1ないし14の整数を表わす。)及びこれらの混合物からなる、請求項22記載の方法。
  24. 基板上に配置された多孔性低k誘電体材料をシールする方法であって、
    前記多孔性低k誘電体材料の表面に表面結合を生成させ且つ反応性部位を形成するために有効な所定時間、強度及び波長において紫外線照射パターンに前記表面を暴露すること、及び
    前記表面をシールするためにシーリング材料を反応させること(ここで、実質的に開孔が存在しない。)
    からなる方法。
  25. 前記多孔性低k誘電体材料の表面を所定時間、強度及び波長において紫外線照射パターンに暴露することが、該暴露の間反応性ガスを導入することを更に含む、請求項24記載の方法。
  26. 前記反応性ガスが、N2 、H2 、H2 O蒸気、NH3 、CO、CO2 、O2 、O3 、Cx y 、Cx y 、Cx z y (これらの式中、xは1ないし6の整数を表わし、yは4ないし14の整数を表わし、そしてzは1ないし14の整数を表わす。)及びこれらの混合物からなる、請求項25記載の方法。
  27. 基板上に配置された多孔性低k誘電体材料をシールする方法であって、
    前記多孔性低k誘電体材料の表面をシール材前躯体並びに、該表面に表面結合を生成させ且つ反応性部位を形成するために有効な所定時間、強度及び波長において紫外線照射パターンに暴露すること、及び
    前記表面をシールするために、前記シール材前躯体を前記反応性部位及び前記表面結合と反応させること(ここで、前記表面は実質的に開孔が存在しない。)
    からなる方法。
  28. シーラント材料又はシーラント前躯体との反応を補助するために、紫外線暴露の間反応性ガスが使用される、請求項27記載の方法。
  29. 前記反応性ガスが、N2 、H2 、H2 O蒸気、NH3 、CO、CO2 、O2 、O3 、Cx y 、Cx y 、Cx z y (これらの式中、xは1ないし6の整数を表わし、yは4ないし14の整数を表わし、そしてzは1ないし14の整数を表わす。)及びこれらの混合物からなる、請求項28記載の方法。
JP2007541278A 2004-11-12 2005-11-08 多孔性低k誘電体フィルムの紫外線に補助された細孔シーリング Pending JP2008520100A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/987,276 US7678682B2 (en) 2004-11-12 2004-11-12 Ultraviolet assisted pore sealing of porous low k dielectric films
PCT/US2005/040463 WO2006055346A2 (en) 2004-11-12 2005-11-08 Ultraviolet assisted pore sealing of porous low k dielectric films

Publications (1)

Publication Number Publication Date
JP2008520100A true JP2008520100A (ja) 2008-06-12

Family

ID=36386941

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007541278A Pending JP2008520100A (ja) 2004-11-12 2005-11-08 多孔性低k誘電体フィルムの紫外線に補助された細孔シーリング

Country Status (7)

Country Link
US (2) US7678682B2 (ja)
EP (1) EP1812962A2 (ja)
JP (1) JP2008520100A (ja)
KR (1) KR101177591B1 (ja)
CN (1) CN100530564C (ja)
TW (1) TWI425569B (ja)
WO (1) WO2006055346A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9306052B2 (en) 2014-04-14 2016-04-05 Fujitsu Limited Compound semiconductor device and method of manufacturing the same

Families Citing this family (364)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7241704B1 (en) * 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7208389B1 (en) * 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
EP2049710A4 (en) * 2005-11-18 2012-07-04 Replisaurus Group Sas REFERENCE ELECTRODE AND PROCESS FOR PRODUCING THE SAME
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US7670924B2 (en) * 2007-01-29 2010-03-02 Applied Materials, Inc. Air gap integration scheme
US20090161285A1 (en) * 2007-12-20 2009-06-25 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method of forming
US20090305515A1 (en) * 2008-06-06 2009-12-10 Dustin Ho Method and apparatus for uv curing with water vapor
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
TW201100578A (en) * 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110159202A1 (en) * 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
CN102347206B (zh) * 2010-07-29 2014-01-15 中芯国际集成电路制造(上海)有限公司 用于制作半导体器件的方法
CN102446815B (zh) * 2010-10-14 2016-03-16 中芯国际集成电路制造(上海)有限公司 形成互连沟槽以及通孔的方法及形成互连结构的方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
CN102427055A (zh) * 2011-07-12 2012-04-25 上海华力微电子有限公司 一种采用等离子体处理多孔低k值介质的方法
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TW201403711A (zh) * 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
TWI581331B (zh) * 2012-07-13 2017-05-01 應用材料股份有限公司 降低多孔低k膜的介電常數之方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9058980B1 (en) * 2013-12-05 2015-06-16 Applied Materials, Inc. UV-assisted photochemical vapor deposition for damaged low K films pore sealing
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102341710B1 (ko) * 2014-11-25 2021-12-22 삼성전자주식회사 다공성 절연막의 처리 방법 및 이를 이용한 반도체 소자의 제조 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9947576B2 (en) * 2015-07-13 2018-04-17 Applied Materials, Inc. UV-assisted material injection into porous films
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
CN105552023A (zh) * 2016-02-26 2016-05-04 上海华力微电子有限公司 提高钴阻挡层沉积选择性的方法
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10074559B1 (en) 2017-03-07 2018-09-11 Applied Materials, Inc. Selective poreseal deposition prevention and residue removal using SAM
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11024593B2 (en) * 2018-09-28 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Metal bumps and method forming same
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11837618B1 (en) 2020-08-21 2023-12-05 Samsung Electronics Co., Ltd. Image sensor including a protective layer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08192098A (ja) * 1995-01-20 1996-07-30 Agency Of Ind Science & Technol 多孔質膜の緻密化方法および酸化物膜の製造方法
JP2002009078A (ja) * 2000-05-15 2002-01-11 Asm Microchemistry Oy 交互層蒸着前の保護層
WO2003025994A1 (en) * 2001-09-14 2003-03-27 Axcelis Technologies, Inc. Ultraviolet curing process for porous low-k materials
WO2004049432A2 (en) * 2002-11-22 2004-06-10 Asm America, Inc. Sealing porous structures
JP2004274052A (ja) * 2003-03-04 2004-09-30 Air Products & Chemicals Inc Uv照射による高密度及び多孔質有機ケイ酸塩材料の機械的強化
JP2004535065A (ja) * 2001-07-02 2004-11-18 ダウ・コーニング・コーポレイション 多孔質材料上のSiC:H蒸着によって改良された金属バリア挙動
JP2008511135A (ja) * 2004-08-20 2008-04-10 インターナショナル・ビジネス・マシーンズ・コーポレーション SiCOH膜のDUVレーザ・アニーリング及び安定化

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ATE323132T1 (de) * 1998-11-24 2006-04-15 Dow Global Technologies Inc Eine zusammensetzung enthaltend einen vernetzbaren matrixpercursor und eine porenstruktur bildendes material und eine daraus hergestellte poröse matrix
JP4979154B2 (ja) * 2000-06-07 2012-07-18 ルネサスエレクトロニクス株式会社 半導体装置
US6984581B2 (en) * 2000-12-21 2006-01-10 Intel Corporation Structural reinforcement of highly porous low k dielectric films by ILD posts
US6809026B2 (en) * 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6500770B1 (en) * 2002-04-22 2002-12-31 Taiwan Semiconductor Manufacturing Company, Ltd Method for forming a multi-layer protective coating over porous low-k material
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6943121B2 (en) * 2002-11-21 2005-09-13 Intel Corporation Selectively converted inter-layer dielectric
US7081673B2 (en) * 2003-04-17 2006-07-25 International Business Machines Corporation Multilayered cap barrier in microelectronic interconnect structures
US7238604B2 (en) * 2003-04-24 2007-07-03 Intel Corporation Forming thin hard mask over air gap or porous dielectric
US7125793B2 (en) * 2003-12-23 2006-10-24 Intel Corporation Method for forming an opening for an interconnect structure in a dielectric layer having a photosensitive material
US7005371B2 (en) * 2004-04-29 2006-02-28 International Business Machines Corporation Method of forming suspended transmission line structures in back end of line processing
US7629272B2 (en) * 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08192098A (ja) * 1995-01-20 1996-07-30 Agency Of Ind Science & Technol 多孔質膜の緻密化方法および酸化物膜の製造方法
JP2002009078A (ja) * 2000-05-15 2002-01-11 Asm Microchemistry Oy 交互層蒸着前の保護層
JP2004535065A (ja) * 2001-07-02 2004-11-18 ダウ・コーニング・コーポレイション 多孔質材料上のSiC:H蒸着によって改良された金属バリア挙動
WO2003025994A1 (en) * 2001-09-14 2003-03-27 Axcelis Technologies, Inc. Ultraviolet curing process for porous low-k materials
WO2004049432A2 (en) * 2002-11-22 2004-06-10 Asm America, Inc. Sealing porous structures
JP2004274052A (ja) * 2003-03-04 2004-09-30 Air Products & Chemicals Inc Uv照射による高密度及び多孔質有機ケイ酸塩材料の機械的強化
JP2008511135A (ja) * 2004-08-20 2008-04-10 インターナショナル・ビジネス・マシーンズ・コーポレーション SiCOH膜のDUVレーザ・アニーリング及び安定化

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JPN5007020198; WHELAN C M: ELECTROCHEMICAL AND SOLID-STATE LETTERS V7 N2, 20031203, P.F8-F10 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9306052B2 (en) 2014-04-14 2016-04-05 Fujitsu Limited Compound semiconductor device and method of manufacturing the same

Also Published As

Publication number Publication date
KR20070086070A (ko) 2007-08-27
WO2006055346A2 (en) 2006-05-26
US7678682B2 (en) 2010-03-16
EP1812962A2 (en) 2007-08-01
TW200620467A (en) 2006-06-16
US20070134935A1 (en) 2007-06-14
TWI425569B (zh) 2014-02-01
KR101177591B1 (ko) 2012-08-27
US7704872B2 (en) 2010-04-27
CN100530564C (zh) 2009-08-19
WO2006055346A3 (en) 2007-03-22
CN101099232A (zh) 2008-01-02
US20060105566A1 (en) 2006-05-18

Similar Documents

Publication Publication Date Title
US7704872B2 (en) Ultraviolet assisted pore sealing of porous low k dielectric films
US7629272B2 (en) Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
US7098149B2 (en) Mechanical enhancement of dense and porous organosilicate materials by UV exposure
KR100637093B1 (ko) Uv 노출에 의한 조밀성 및 다공성 오르가노실리케이트물질의 기계적 증강 방법
US7485582B2 (en) Hardmask for improved reliability of silicon based dielectrics
US6559071B2 (en) Process for producing dielectric thin films
JP5102618B2 (ja) SiCOH誘電体膜を形成する方法
JP5065054B2 (ja) 制御された二軸応力を有する超低誘電率膜および該作製方法
JP5567588B2 (ja) 酸素含有前駆体を用いる誘電体バリアの堆積
WO2002045145A2 (en) Uv-free curing of organic dielectrica
JP2008527757A5 (ja)
CN102770580A (zh) 藉由等离子体增强化学气相沉积使用含有具有机官能基的硅的杂化前驱物所形成的超低介电材料
KR100609305B1 (ko) 다공성 저 유전율 필름을 형성하기 위한 비-열적 방법
US20100301495A1 (en) Semiconductor device and method for manufacturing same
JP2009289996A (ja) 半導体装置の製造方法および半導体装置
JP5019178B2 (ja) 多孔性の低kの誘電体を形成するために、紫外線を利用してポロゲンを除去及び/又はキュアするプロセス
JP4408816B2 (ja) 半導体装置の製造方法
JP2004296476A (ja) 半導体装置の製造方法
WO2006135369A1 (en) Ultraviolet assisted propgen removal and/or curing processes for forming porous low k dielectrics
Chiu et al. Low-k materials for microelectronics interconnects

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081015

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090707

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110706

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111006

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111014

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111107

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111114

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111206

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111213

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111227

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120215

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120514

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120521

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120604

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120611

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120713

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120723

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120815

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130522