KR20070086070A - 다공성 저 k 유전 필름의 자외선 지원 기공 밀봉 - Google Patents

다공성 저 k 유전 필름의 자외선 지원 기공 밀봉 Download PDF

Info

Publication number
KR20070086070A
KR20070086070A KR1020077013204A KR20077013204A KR20070086070A KR 20070086070 A KR20070086070 A KR 20070086070A KR 1020077013204 A KR1020077013204 A KR 1020077013204A KR 20077013204 A KR20077013204 A KR 20077013204A KR 20070086070 A KR20070086070 A KR 20070086070A
Authority
KR
South Korea
Prior art keywords
porous low
dielectric material
sealing
substrate
ultraviolet radiation
Prior art date
Application number
KR1020077013204A
Other languages
English (en)
Other versions
KR101177591B1 (ko
Inventor
카를로 왈드프라이드
올란도 에스코르시아
이반 베리
Original Assignee
액셀리스 테크놀로지스, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 액셀리스 테크놀로지스, 인크. filed Critical 액셀리스 테크놀로지스, 인크.
Publication of KR20070086070A publication Critical patent/KR20070086070A/ko
Application granted granted Critical
Publication of KR101177591B1 publication Critical patent/KR101177591B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/469Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/4763Deposition of non-insulating, e.g. conductive -, resistive -, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Abstract

다공성 저 k 유전 필름을 밀봉하는 공정은 탄화, 산화 및/또는 필름 조밀화에 의해서 다공성 유전 표면을 밀봉하는데 효과적인 강도, 시간, 파장 길이 및 공기에서 자외선(UV) 복사에 다공성 저 k 유전 필름의 다공성 표면을 노출하는 것을 일반적으로 포함한다. 다공성 저 k 유전 물질의 표면은 약 20나노미터보다 작거나 동일한 깊이로 밀봉되고, 상기 표면은 UV 노출 이후에 실질적으로 기공이 없다.
다공성 저 k 유전 물질, 자외선 복사, 에칭, 어닐링

Description

다공성 저 k 유전 필름의 자외선 지원 기공 밀봉{ULTRAVIOLET ASSISTED PORE SEALING OF POROUS LOW K DIELECTRIC FILMS}
본 발명은 일반적으로 반도체 장치의 제조에 관한 것이고, 특히, 반도체 장치에서 사용되는 다공성 저 K 유전 물질에 대한 자외선 지원 구멍 밀봉 공정에 관한 것이다.
반도체 및 다른 마이크로일렉트릭 장치가 점진적으로 크기가 감소함에 따라, 장치상에 위치되는 소자에 대한 요구 사항이 계속 증가한다. 예를 들어, 상호 연결된 전선 간 용량성 혼선 예방은 소형 장치와 함께 상당히 중요하게 된다. 용량성 혼선은 일반적으로 컨덕터들 사이의 거리 및 컨덕터들 사이에 위치된, 유전 상수(k)를 갖는 두 개의 물질에 대한 기능이다. 고려할 수 있는 주의점은 저 유전 상수를 갖는 새로운 절연체를 사용하여 서로로부터 컨덕터를 전기적으로 절연시키는 것에 중점을 두는데, 이는 실리카(SiO2)가 그의 상대적으로 양호한 전기적이고 물리적인 특성으로 인해, 이런 장치에서 전부터 사용되어왔지만, 장치가 더 작아지고, 약 4보다 작은 SiO2의 값인 유전 상수를 필요로 하기 때문이다. 이런 새로운 저 k 물질(즉, 유전 상수가 4보다 작음)은 예컨대, 층간 유전체(ILD)로써 사용하기를 희 망한다.
저 유전 상수를 획득하기 위해서, 저 유전 상수를 가지고/가지거나 물질에 다공성을 도입하는 물질을 사용할 수 있는데, 이는 공기의 유전 상수가 일반적으로 1이기 때문에 유전 상수를 효과적으로 낮춘다. 다공성은 여러 수단을 통해 저 k 물질에 도입되어왔다. 스핀-온(spin-on) 저 k 유전체의 경우에, k 값을 낮추는 것은 끓는점이 높은 용매를 사용하거나, 주형을 사용하거나 포로겐(porogen) 기반에 의해 성취될 수 있다. 그러나 반도체 장치의 제조시 다공성 저 k 물질의 통합은 일반적으로 어렵다.
예를 들어, 다공성 저 k 유전 물질의 공개된 특성으로 인해, 그 후의 프로세싱(즉, 다공성 저 k 유전 물질의 형성(formation) 이후)에서 사용되는 공정 가스 및 화학물질이 다공성 네트워크로 확산될 수 있는데, 여기서 그들은 손상을 가져올 뿐만 아니라 유전 상수를 바꾸도록 트립(trip)된다. 게다가, 표면과 직접 연결된 기공은 핀홀(pinhole)이 예컨대 장벽층과 같은 곳 위에서 증착되고/되거나 형성되는 다음 층 상에서 형성되도록 한다.
따라서, 반도체 장비 내에서 통합을 위해 다공성 저 k 유전 물질에 대한 개선된 방법을 기술적으로 제공할 필요가 있다. 적어도 종래 기술에서 주의되는 문제점으로 인해서, 부가적은 층들을 증착하기 전 및/또는 부가적인 프로세싱 전에 다공성 저 k 유전 물질을 밀봉하기를 희망할 것이다. 다공성 저 k 유전물질의 표면을 밀봉하는 것은 공정 가스 및 화학 물질의 침투(및 트랩핑)를 막는데 유리할 것이다. 게다가, 밀봉은 부가적인 층을 표면층 위에 코팅/증착하기 위해 연속적인 표면 층을 제공할 것이다. 따라서, 그 다음의 층에서 핀홀 형성은 실제로 예방될 수 있다.
다공성 저 k 유전 물질의 자외선 지원 기공 밀봉 공정이 여기서 개시된다. 한 실시예에서, 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정은 약 20나노미터보다 작거나 같은 깊이로 다공성 저 k 물질의 표면을 밀봉하는데 효과적인 시간 기간, 강도 및 파장 길이의 자외선 복사 패턴에 다공성 저 k 유전 물질의 표면을 노출시키는 단계를 포함하고, 여기서 상기 표면은 실질적으로 기공이 없다.
다른 실시예에서, 전기 내부접속 구조를 형성하는 공정이 기판상에 배치된 다공성 저 k 유전 물질을 패터닝하는 단계; 약 20나노미터보다 작거나 동일한 깊이로 다공성 저 k 물질의 표면을 밀봉하는데 효과적인 시간 기간, 강도 및 파장 길이의 자외선 복사에 다공성 저 k 유전 필름을 노출시키는 단계를 표현하는데, 상기 표면은 실질적으로 기공이 없으며; 패턴된 다공성 저 k 유전 물질 상에 장벽층 및/또는 전도층을 증착시키는 단계를 포함한다.
다른 실시예에서, 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정이 공기 중에서 약 20나노미터보다 작거나 동일한 깊이로 다공성 저 k 물질의 표면을 밀봉하도록 산소를 포함하는데 효과적인 시간 기간, 강도 및 파장 길이의 자외선 복사 패턴에 표면을 노출시킴으로써 다공성 저 k 유전 물질의 표면을 산화시키는 것을 포함한다.
또 다른 실시예에서, 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정은 약 20나노미터보다 작거나 동일한 깊이로 다공성 저 k 유전 물질의 표면을 밀봉하는데 효과적인 시간 기간, 강도 및 파장 길이의 자외선 복사 패턴에 표면을 노출시킴으로써 다공성 저 k 유전 물질의 표면을 탄화시키는 것을 포함한다.
또 다른 실시예에서, 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정은 약 20나노미터보다 작거나 동일한 깊이로 다공성 저 k 물질의 표면을 밀봉하는데 효과적인 시간 기간, 강도 및 파장 길이의 자외선 복사 패턴에 표면을 노출시킴으로써 다공성 저 k 유전 물질의 표면을 조밀하게 하는 것을 포함한다.
다른 실시예에서, 기판상에 배치된 유전 물질의 기공을 밀봉하는 공정은 그 후의 물질에 대한 본딩 지점(bonding site)이 기공을 밀봉할 때 적용되도록 표면 본드(surface bond)를 변경하기 위해서 자외선 복사에 기판을 노출시키는 것을 포함한다.
다른 실시예에서, 기판상에 배치된 유전 물질의 기공을 밀봉하는 공정은 그 후의 물질에 대한 본딩 지점이 기공을 밀봉할 때 적용되도록 표면 본드를 변경하기 위해서 공기를 산화시키거나 감소시키기 전에 자외선 복사에 기판을 노출시키는 것을 포함한다.
또 다른 실시예에서, 기판상에 배치된 유전 물질의 기공을 밀봉하는 공정은 기공을 밀봉하는 UV 공정에 의해 형성되는 본드에 선택적으로 반응하는 밀봉 물질의 증착에 이어 표면 본드를 변경하기 위해서 공기를 산화시키거나 감소시키거나/시키지 않고 자외선 복사에 유전 물질을 노출시키는 것을 포함한다.
또 다른 실시예에서, 기판상에 배치된 유전 물질의 기공을 밀봉하는 다단계 공정은 밀봉체 물질 또는 밀봉체 전구체에 유전 물질을 노출하는 것 및 밀봉체를 기판과 반응시키고/시키거나 기공을 밀봉하는 밀봉 물질의 본딩 구조를 변경하기 위해서 공기를 산화시키거나 감소시키고/시키지않고 기판을 자외선 복사에 노출시키는 것을 포함한다.
또 다른 실시예에서, 기판상에 배치된 유전 물질의 기공을 밀폐하는 공정은 유전 물질을 밀봉체 물질에 노출시키는 반면, 공기를 산화시키거나 감소시키고/시키기 않고 기판을 자외선 복사에 노출시키는 것을 포함하는데, 여기서 자외선 복사는 밀봉체, 기판 및/또는 둘 다와 반응하고, 이는 기공을 밀봉한다.
상술된 특징 및 다른 특징은 다음의 도면 및 상세한 설명으로 예시된다.
이제 예시적인 실시예인 도면을 참조하는데, 여기서 동일한 요소는 동일한 번호가 매겨진다.
도1은 본 발명에 따라 UV 처리 전 및 후의 다공성 저 k 유전층을 포함하는 회로에 대한 피치(pitch)의 함수로써 항복 전압(breakdown voltage)의 그래프; 및
도2는 시간의 함수로써 플루오르화 수소 습식 에칭 공정에 노출된 후에 다공성 유전 물질의 상대적인 손실을 도시하는 도면.
본원은 일반적으로 다공성 저 k 유전 물질을 밀봉하는 공정에 관한 것이다. 공정은 실질적으로 자외선 복사에 반응할 때 기공을 밀봉하는 물질의 증착 및/또는 동시적으로 자외선 복사와 반응할 때 기밀을 밀봉하는 물질의 증착에 의해서, 기공 을 밀봉하는 증착된 물질의 화학적 반응을 가능하게 하는 표면 반응 지점의 생성, 필름 조밀화, 산화, 탄화의 방법으로 다공성 유전 표면을 밀봉하는데 효과적인 강도, 시간, 파장 길이 및 공기에서 자외선(UV) 복사에 다공성 저 k 유전 필름의 다공성 표면을 노출하는 것을 일반적으로 포함한다. UV 지원 밀봉 공정은 집적 회로 제조 공정 내에서 다공성 저 k 유전 물질을 통합하는 수단을 포함하는 것이 유리하다. 선택적으로, 자외선 복사에 노출된 후에, 퍼나스 어닐링(furnace annealing) 및 이와 같은 공정들은 여러 애플리케이션 및 제조 공정 동안 필요로 될 수 있기 때문에 여러 증착 층을 어닐링하는데 사용될 수 있다. 여기서 사용되는 바와 같이, "다공성 저 k 유전 물질"이라는 어휘는 일반적으로 다공성 매트릭스를 포함하는 물질에 관한 것이고, 여기서 약 3.0보다 작은 유전 상수(k)를 갖는 기공 지름은 약 2 나노미터(nm)보다 작다.
진보적인 전기 내부접속 구조를 형성하는 공정은 기판상에 다공성 저 k 유전 물질을 형성하는 것 및 그 후에 낮은 저 k 유전 물질의 표면을 밀봉하거나 적용되는 밀봉 물질에 본딩 지점을 생성하는데 효과적인 시간, 파장 길이, 강도 및 공기의 자외선 복사 패턴에 표면을 노출시키는 것을 일반적으로 포함한다. 한 실시예에서, 자외선 복사는 20나노미터의 깊이, 바람직하게는 약 10나노미터의 깊이, 더 바람직하게는 평균 기공 지름과 동일한 깊이로 다공성 저 k 유전 물질의 노출 표면을 밀봉하는데 효과적이다. 유전 물질을 밀봉하는 것이 20나노미터를 초과할 수 있을지라도, 밀봉 침투력이 저 k 물질의 벌크 유전 비해비어(behavior)에 영향을 미치기 때문에 진보적인 반도체 제조 동안에 덜 바람직한 것이 일반적이다. 적어도 이 런 깊이로 다공성 저 k 유전 물질을 밀봉하는 것이 실질적으로 부가적인 프로세싱에서 유전 물질에 계속적인 손상을 방지한다고 보여진다. 이와 같이, 그 다음의 프로세싱 동안에 사용되는 공정 가스 및 화학물질들은 다공성 저 k 유전 물질의 다공성 표면에 침투할 수 없다. 게다가, 다공성 저 k 유전층을 밀봉함으로써, 다음 층, 예컨대, 장벽층 또는 확산층의 증착 및/또는 코팅은 행해져, 밑에 있는 다공성 유전 물질이 실질적으로 핀홀이 없기 때문에, 즉 밀봉되었기 때문에, 실질적으로 핀홀이 없다. 집적 회로의 제조에서, 확산층 또는 장벽층이 중요할 수 있는데, 이런 층들이 중간층 유전물질 및 예컨대, 구리 내부접속과 같은 그 다음에 증착된 전도성 물질 사이의 경계를 형성하는데 사용되기 때문이다.
다공성 저 k 유전 필름을 형성하는데 사용될 수 있는 종래 기술에 의해 일반적으로 사용되는 공정들의 일부 예들은 화학 기상 증착(CVD), 플라즈마 강화 화학 기상 증착(PECVD), 고밀도 PECVD, 포톤 지원 CVD, 플라즈마-포톤 지원 CVD, 극저온 CVD, 화학 지원 기상 증착, 고온-필라멘트 CVD, 액체 중합체 전구체로 된 CVD, 임계 초과 유체로부터 증착 또는 트랜스포트 중합("TP")이다. 필름을 형성하는데 사용될 수 있는 다른 공정들은 스핀 코팅(spin coating), 딥 코팅(dip coating), Langmuir-blodgett 자기-어셈블리, 또는 미스팅 증착(misting deposion) 방법이다.
여기서 사용되는 바와 같이, "다공성 저 k 유전 물질"이라는 어휘는 매트릭스 및 포로겐을 포함하는 이런 물질에 관한 것이 일반적이고, 여기서 포로겐의 제거 후 유전 물질은 다공성 구조를 갖는다. "포로겐 물질"이라는 어휘는 포로겐의 제거 후에 저 k 유전 필름 내에 기공을 발생시키거나 형성하는, 종래 기술에서 공 지된 희생적인 유기체 기반 물질(sacrificial organic based materials)이라고 일반적으로 칭해진다. 포로겐 물질은 매트릭스 또는 매트릭스 전구체에서 도메인(또는 분리된 영역)을 형성하는데, 이는 제거시 매트릭스 또는 매트릭스 전구체에 기공을 형성한다. 바람직하게는, 도메인이 최종적으로 희망하는 기공 크기보다 크지 않아야만 한다. 본원에서, 적합한 포로겐 물질은 제한을 위해서가 아니라 휘발성 일부분 또는 근원을 형성하기 위해서 열 및/또는 광 복사(photo radiation)에 노출시 강등하는 물질을 포함할 수 있는데, 이는 예컨대, 불활성 기체의 흐름 하에 매트릭스 물질 또는 매트릭스 전구체 물질로부터 제거될 수 있다. 이런 방법으로, 복사에 노출시, 기공들은 매트릭스 내에 그리고 매트릭스 도처에 형성되고, 일반적으로 하부 표면으로부터 하부 표면으로 늘어난다. 이와 같이, 결과적인 표면은 다수의 핀홀을 포함할 수 있다.
열적으로 불안정하고, 열적으로 제거할 수 있고, 광화학적으로 불안정하고, 광화학적으로 제거할 수 있는 등 기술에서 일반적으로 특징지어지는 포로겐 물질은 일반적으로 다공성 저 k 유전 물질을 형성하기에 적합하다. 이런 정류의 물질은 U.S. 특허 제 6,653,358호의 "A Composition Containing a Cross-linkable Matrix Precursor and a Porogen and a Porous Matrix Prepared Therefrom"이라는 제목의 문서에서 일반적으로 개시되고, 이 문서의 내용은 참조의 방법으로 본원에 전적으로 통합된다. 예시적인 포로겐 물질들은 일반적으로 탄화 수소 물질, 불안정한 유기 그룹, 용매, 분해성 중합체, 계면 활성제, 덴드리머, 가지형 중합체, 폴리옥시알킬렌 화합물, 또는 이들의 결합물이지만, 이에 국한되지는 않는다.
적합한 매트릭스 및 매트릭스 전구체는 일반적으로 실리콘-함유 중합체, 또는 이런 중합체에 대한 전구체, 예컨대, 알킬기와 같은 실세스퀴옥산(바람직하게는 메틸실세스퀴옥산과 같은 저급 알킬기, 아릴기(예컨대, 페닐기) 또는 알킬/아릴 실세스퀴옥산, 및 실세스퀴옥산의 공중합체(예컨대, 폴리이미드 및 실세스퀴옥산의 공중합체)); 아다만틴 기반 열경화성 화합물; 가교화 폴리페닐렌; 폴리아릴 에테르; 폴리스티렌; 가교화 폴리아릴렌; 폴리메틸메타크릴레이트; 방향족 폴리카보네이트; 방향족 폴리이미드; 및 등을 포함하지만 이에 국한되지는 않는다.
예를 들어 적합한 실세스퀴옥산은 (RSiO1.5)n 유형의 중합 실리케이트 물질이고, R은 유기 치환기이다. 두 개 이상의 다른 실리콘 함유 화합물의 결합물이 사용될 수 있다. 다공성 유전 물질에 대한 다른 적합한 실리콘 함유 화합물은 일반적으로 실리콘, 탄소, 산소 및 수소 이온을 포함하는 물질을 포함하는데, 또한 흔히 SiCOH 유전체라 칭해진다. 예시적인 실리콘 함유 결합물은 (i) 상술된 실세스퀴옥산, (ii) 알콕시 실란, 바람직하게는 부분적으로 응축된 알콕시시란(예컨대, 약 500 내지 20,000의 Mn을 갖는 테트라에톡시실란의 가수분해를 제어함으로써 부분적으로 응축됨), (iii) R이 유기 치환기인 RSiO3 및 R2SiO2 화합물을 갖는 유기적으로 수정된 실리케이트, 및 (iv)오소실리케이트, 바람직하게는 Si(OR)4 합성물을 갖는 부분적으로 응축된 오소실리케이트를 포함한다.
또한, 실리콘 기반 유전체 전구체는 예컨대, 테트라메틸시클로테트라실록산, 헥사메틸시클로테트라실록산 및 옥타메틸시클로테트라실록산을 포함할 수 있다.
매트릭스 전구체의 다른 클래스는 열경화성 벤토시클로부틸렌(BCS) 또는 그의 비-스테이징된(b-staged) 산물을 포함한다. 예를 들어, 1,3-비스(2-비클로[4.2.0]옥타-1,3,5-트리안-3-일에티닐)-1,1,3,3-테트라메틸디실록산(DVS-비스BCB라 칭해짐)이 적합하고, 이것의 비-스테이징된 기질(resin)은 상업적으로 CYCLLOTENE®resin(Dow Chemical Company)라 사용될 수 있다.
매트릭스 물질의 다른 클래스는 폴리아릴렌을 포함한다. 여기서 사용되는 폴리아릴렌은 반복되는 아릴렌 유닛으로 만들어진 백본을 갖는 화합물 및 예컨대, 폴리아릴렌 에테르에서 산소와 같은, 백본 내의 다른 링킹 유닛과 함께 아릴렌 유닛을 갖는 결합물을 포함한다. 상업적으로 사용할 수 있는 폴리아릴렌 합성물의 예들은 The Dow Chemical Company에서 상업적으로 사용할 수 있는 SiLK®유전체 및 Allied Signal, Inc.에서 상업적으로 사용할 수 있는 Flare®유전체, 및 폴리(AirProducts/Shumacher에서 상업적으로 사용할 수 있는 아릴렌 에테르)인 Velox®을 포함한다. 폴리 아릴렌 매트릭스 전구체들 중 한 클래스는 열경화성 혼합물 또는 폴리시클로펜타디에논 및 폴리아세틸렌으로 된 비-스테이징된 산물이다. 열경화성 합성물 또는 화합물에서 사용될 수 있는 가교화 풀리아릴렌은 방향족 환에서 다른 것에 대해 오르토(ortho) 위치에 있는 에티닐 그룹으로 치환되는 방향족 화합물과 같은 단량체; 방향족 아세틸렌 화합물과 함께 결합된 시클로펜타디에논 기능 화합물; 및 폴리아릴렌 에테르를 포함한다. 특히, 열경화성 합성물은 상술된 단량체의 부분적으로 중합된 반응 산물(즉, 비-스테이징된 저중합체)을 포함한다.
매트릭스 전구체는 열경화성 혼합물 또는 폴리시클로펜타디에논 및 폴리아세 틸렌으로 된 비-스테이징된 산물을 포함하고, 전구체는 일반적으로 브랜칭(branching)이 경화 동안에 상대적으로 일찍 발생하도록 특징지어진다. 경화 공정에서 일찍 브랜치된 매트릭스의 형성은 매트릭스의 계수 강하를 최소화시킬 수 있고, 또한 경화 공정 동안에 가능한 기공 붕괴를 최소화하는 것을 도울 수 있다.
다공성 유전 물질에 대한 준비에 적합한 매트릭스 전구체의 다른 예는 (3 이상의 기능을 갖는) 열경화성 페르플루오르에틸렌 단량체 또는 그의 비-스테이징된 산물, 예컨대, 1,1,1-트리(4-트리플루오르비닐옥시페틸)에탄이다. 열경화성 페르플루오르에틸렌 단량체가 또한 두 개의 기능을 갖는 페르플루오르에틸렌 단량체와 알맞게 공중합체 된다. 다른 적합한 폴리아릴렌 매트릭스 전구체는 열경화성 비스-오-디아세틸렌 또는 그의 비-스테이징된 산물이다.
일반적으로 다공성 유전 물질의 기공의 집합물은 매트릭스의 유전 상수를 낮추기에 충분히 많지만, 매트릭스가 기계적인 형태(예를 들어, 집적 회로, 다칩 모듈, 또는 편평한 패널 디스플레이 장치)를 유지하기 위해서 소정의 마이크로 전자 공학 장치의 제조에서 필요로 되는 공정 단계를 견디기에는 충분히 적다. 기공의 밀도는 일반적으로 매트릭스 유전 상수를 3.0보다 낮추기에 충분하고, 다른 실시예에서는 2.5보다 낮추기에 충분하며, 또 다른 실시예에서는 2.0보다 낮추기에 충분하다. 일부 실시예에서, 기공의 집합물은 적어도 4 볼륨 퍼센트일 수 있고, 다른 실시예에서는 적어도 10 볼륨 퍼센트, 또 다른 실시예에서는 적어도 20 볼륨 퍼센트일 수 있으며, 일반적으로 70 볼륨 퍼센트보다 크지 않으며, 다른 실시예에서는 다공성 매트릭스 총 볼륨에 기초하여 60 볼륨 퍼센트보다 크지 않다.
매트릭스 내의 기공에 대한 평균 지름은 일반적으로 약 20 나노미터(nm)보다 작고; 다른 실시예에서는 2nm보다 작으며; 또 다른 실시예에서는 약 1보다 크지 않다.
집적 회로 제조 동안에, 포로겐 물질을 함유하는 저 k 유전 물질은 적합한 기판상에 배치되고, 적합한 에너지원에 노출되어 포로겐을 제거하고 다공성 저 k 유전체 구조를 형성한다. 적합한 기판은 실리콘, 실리콘-온-인슐레이터(silicon-on-insulator), 실리콘 게르마늄, 실리콘 이산화물, 유리, 실리콘 질화물, 세라믹, 알루미늄, 구리, 갈륨 비화물, 폴리카르보나이트와 같은 플라스틱, FR-4 및 폴리이미드와 같은 회로 기판, 알루미늄 나이트라이드-알루미나와 같은 하이브리드 회로 기판, 등을 포함하지만, 이에 국한되지는 않는다. 이런 기판들은 또한 그 위에 증착된 박막 필름을 포함할 수 있는데, 이런 필름은 금속 나이트라이드, 금속 카바이드, 금속 규화물, 금속 산화물 및 그의 혼합물을 포함하지만, 이에 국한되지는 않는다. 다층 집적 회로 장치에서, 절연되고, 평면화된 회로 전선의 밑에 있는 층은 또한, 기판의 기능을 할 수 있다. 그러나 기판 및 장치의 선택은 단지 기판의 열적 안정성 및 화학적 안정성에 대한 필요에 의해 제한된다.
UV 지원 밀봉 공정은 UV 라디에이터 도구를 사용할 수 있는데, 이는 한 실시예에서 질소, 헬륨 또는 아르곤으로 일차적으로 정화될 수 있어서 UV 복사가 특히 예컨대, 약 20nm보다 작은 파장 길이에 대해서 최소 스펙트럼 흡수로 공정 챔버에 진입하도록 한다. 다공성 유전 물질은 공정 챔버 내에 위치되고, 그 후에 소정의 공정 가스 또는 가스 혼합물(예컨대, N2, H2, Ar, He, Ne, H2O 증기, NH3, COz, O2, CxHy, CxFy, CxHzFy 및 그의 혼합물)로 각각 정화되는데, 여기서 x는 1 내지 6 사이의 정수이고, y는 4 내지 14 사이의 정수이며, z는 1 내지 14 사이의 정수인데, 이들은 여러 애플리케이션에서 사용될 수 있다. 특정한 공정 가스는 UV 노출 동안에, 예컨대, Si-OH와 같은 화학적 반응 지점의 가교화 및/또는 형성에 의해 선택적으로 탄화 및/또는 산화, 및/또는 필름 조밀화를 활성화시키도록 선택될 수 있다. 이에 관하여, 산소가 없이 또는 산화 가스와 함께 또는 가스가 감소하면서, 또는 특히 탄화를 활성화시키는 가스와 함께, 또는 가교화를 활성화시키는 가스와 함께 및 이와 같은 변화와 함께 UV 밀봉이 발생할 수 있다.
UV 지원 밀봉 공정은 UV 라디에이터 도구를 사용할 수 있는데, 이는 한 실시예에서 질소, 헬륨 또는 아르곤으로 일차적으로 정화될 수 있어서 UV 복사가 특히 예컨대, 약 20nm보다 작은 파장 길이에 대해서 최소 스펙트럼 흡수로 공정 챔버에 진입하도록 한다. 다공성 유전 물질은 공정 챔버 내에 위치되고, 그 후에 자외선 복사, 및 헥사메틸디실란(HMDS), 트리메틸리실란(TMDS), 디에틸아미노트리실란(DEATS), 트리메틸클로로실란(TCMS) 등 및 그의 혼합물과 같은 소정의 밀봉제 물질에 분리되어 노출된다. 밀봉제는 자외선 광 노출 전에, 동안에 또는 후에 도입될 수 있다. 이에 관하여, 산소가 없이 또는 산화 가스와 함께 또는 가스가 감소하면서, 또는 특히 탄화를 활성화시키는 가스와 함께, 또는 가교화를 활성화시키는 가스와 함께 및 이와 같은 변화와 함께 UV 밀봉이 발생할 수 있다.
UV 광원은 마이크로파장으로 구동될 수 있고, 아크 방전, 유전 장벽 방전, 또는 전자 충돌로 발생된다. 게다가, 여러 스펙트럼으로 분리되는 UV 발생 전구가 애플리케이션에 따라 선택될 수 있다.
UV 노출 동안에 웨이퍼 온도는 선택적으로 적외선 광원, 가시광선 광원, 뜨운 표면 또는 그 자신의 광원에 의해서 실온으로부터 425℃로 범위가 제어될 수 있다. 공정 압력은 대기압보다 적거나, 크거나, 동일할 수 있다. 전형적으로, UV 밀봉된 다공성 유전 물질은 더 이상 UV 처리되지 않거나 약 450초 동안 처리되고, 특히, 약 5초 내지 30초 동안 처리된다. 또한, UV 처리는 약 실온으로부터 약 450℃의 온도로 수행될 수 있고, 공정 압력은 대기압보다 작거나, 크거나, 동일하며, UV 전력은 1 제곱센티미터당 약 0.1 밀리와트 내지 약 2,000 밀리와트(mW/cm2)이며, UV 파장 길이 스펙트럼은 약 150 nm 내지 약 400nm이다. 선택적으로 서브 주변 온도는 약 20nm보다 작은 깊이로 표면 치밀화 침투 범위를 최소화하도록 사용될 수 있다.
밀봉의 범위는 표준 분석 기술을 사용함으로써 측정될 수 있다. 예를 들어, FTIR 분석뿐만 아니라 변환 전자 현미경이 사용될 수 있다. 또한, 저 k 유전체의 표면 특성이 변하기 때문에, 물에 접촉하는 각의 변화가 밀봉의 범위를 결정하도록 측정될 수 있다. 또한, 습식 에칭 레이트 및/또는 플라즈마 에칭 레이트의 변화가 밀봉 효과 및 침투에 대한 표시를 제공하도록 모니터될 수 있다. 이런 방법으로, 밀봉의 작업처리량뿐만 아니라 깊이가 특정한 애플리케이션에서 최적화될 수 있다.
유리하게는, UV 경화 공정이 항복 전압 비해비어 및 에칭 저항을 개선시키는 반면, 밀봉된 다공성 유전 물질의 벌크 유전 상수에 가장 적게 영향을 미치는 것이 보여진다. 게다가, FTIR 해석은 필름 실라놀 내용물 상에서 중요하지 않은 영향이 실리콘 기반 유전 필름에 대해 발견된다는 것을 보여준다.
본원이 더 쉽게 이해되기 위해서, 다음의 예들을 참조하는데, 이는 본 발명을 설명하기 위한 것이지 그의 범위를 제한하는 것이 아니다.
예시
예1. 이런 예에서, 피치의 함수로써의 항복 전압이 본원에 따라 패턴된 다공성 유전 물질을 UV 밀봉하기 전후에 측정된다. 두 개의 기판이 어닐링된다. 전선 폭은 175 마이크론이다. 도1에서 도시되는 바와 같이, 고밀도 어레이에 대해서 절연파괴 전계(breakdown field)가 상당히 개선되었다는 것이 발견된다.
예2. 이런 예에서, 기판상에 다공성 유전 물질이 배치된 기판은 다른 시간 기간 동안에 희석 불화수소 습식 에칭 공정에 노출된다. 기판들은 비활성 환경(정화-1), 감소하는 환경(정화-2) 또는 산화 환경(정화-3)에서 본원에 따라 UV 복사에 노출된다. UV에 조금도 노출되지 않고 습식 에칭 공정에 노출되도록 제어된다. 결과들은 도2에서 도시되는데, 여기서 UV 복사뿐만 아니라 UV 노출이 발생되는 환경에 의존 상태에 노출시 습식 에칭 저항이 증가하는 것을 명확하게 도시한다.
본원이 예시적인 실시예를 참조하여 개시되지만, 당업자는 다양한 변화가 행해지고 등가물이 본원의 범위를 벗어나지 않고 그의 요소에 적합할 수 있다는 것을 인식할 것이다. 게다가, 여러 수정이 본원의 핵심적인 범위를 벗어나지 않고 본원의 이론에 특정한 상태 또는 물질을 적응시키도록 행해질 수 있다. 그러므로 본원 을 수행하는데 고려되는 최상의 방법으로써 개시되는 특정한 실시예에 본원이 국한되는 것이 아니라, 첨부된 청구항의 범위 내에 존재하는 모든 실시예를 포함할 것이라고 의도된다.

Claims (29)

  1. 기판상에 위치된 다공성 저 k 유전 물질을 밀봉하는 공정에 있어서,
    거의 20나노미터보다 작거나 동일한 깊이로 다공성 저 k 물질의 표면을 밀봉하는데 효과적인 시간 기간, 강도 및 파장 길이의 자외선 복사 패턴에 다공성 저 k 유전 물질의 표면을 노출시키는 단계를 포함하는데, 상기 표면은 실질적으로 미세기공이 없는 것을 특징으로 하는 기판상에 위치된 다공성 저 k 유전 물질을 밀봉하는 공정.
  2. 제 1항에 있어서,
    상기 자외선 복사 패턴이 400나노미터보다 작은 광대역 파장 길이를 갖는 것을 특징으로 하는 기판상에 위치된 다공성 저 k 유전 물질을 밀봉하는 공정.
  3. 제 1항에 있어서,
    상기 자외선 복사 패턴이 약 100 내지 400나노미터 사이의 광대역 파장을 갖는 것을 특징으로 하는 기판상에 위치된 다공성 저 k 유전 물질을 밀봉하는 공정.
  4. 제 1항에 있어서,
    시간 기간, 강도 및 파장 길이의 상기 자외선 복사 패턴에 상기 다공성 저 k 유전 물질의 표면을 노출시키는 단계는 반응성 청정 환경에서 행해지는 것을 특징 으로 하는 기판상에 위치된 다공성 저 k 유전 물질을 밀봉하는 공정.
  5. 제 1항에 있어서,
    상기 자외선 복사 패턴에 상기 다공성 저 k 유전 물질의 표면을 시키는 단계는 N2, H2, Ar, He, Ne, H20 증기, NH3, CO, CO2, O2, O3, CxHy, CxFy, CxHzFy 및 이들의 혼합물로 된 공기에서 행해지고, x는 1 내지 6 사이의 정수이고, y는 4 내지 14 사이의 정수이며, z는 1 내지 14 사이의 정수인 것을 특징으로 하는 기판상에 위치된 다공성 저 k 유전 물질을 밀봉하는 공정.
  6. 제 1항에 있어서,
    상기 자외선 복사 패턴에 상기 다공성 저 k 유전 물질을 노출시키는 단계는 약 10나노미터보다 작거나 동일한 깊이로 상기 다공성 저 k 물질의 표면을 밀봉하는데 효과적인 시간 기간, 강도 및 파장 길이로 행해지는 것을 특징으로 하는 기판상에 위치된 다공성 저 k 유전 물질을 밀봉하는 공정.
  7. 제 1항에 있어서,
    상기 자외선 복사 패턴에 상기 다공성 저 k 유전 물질을 노출시키는 단계가 평균 기공 지름과 거의 동일한 깊이로 상기 다공성 저 k 물질의 표면을 밀봉하는데 효과적인 시간 기간, 강도 및 파장 길이로 행해지는 것을 특징으로 하는 기판상에 위치된 다공성 저 k 유전 물질을 밀봉하는 공정.
  8. 전기 내부접속 구조를 형성하는 공정에 있어서,
    기판상에 배치된 다공성 저 k 유전 물질을 패터닝하는 단계;
    약 20나노미터보다 작거나 동일한 깊이로 상기 다공성 저 k 물질의 표면을 밀봉하는데 효과적인 시간 기간, 강도 및 파장 길이의 자외선 복사에 상기 다공성 저 k 유전 필름을 노출시키는 단계; 및
    상기 패턴된 다공성 저 k 유전 물질 상에 장벽층 및/또는 전도층을 증착시키는 단계를 포함하는데, 상기 표면은 실질적으로 미세 기공이 없는 것을 특징으로 하는 전기 내부접속 구조를 형성하는 공정.
  9. 제 8항에 있어서,
    상기 전도층을 증착하기 전에, 상기 다공성 저 k 유전 물질 상에 상기 장벽층을 증착하는 단계를 더 포함하는 것을 특징으로 하는 전기 내부접속 구조를 형성하는 공정.
  10. 제 8항에 있어서,
    상기 자외선 복사가 400나노미터보다 작은 광대역 파장 길이를 갖는 것을 특징으로 하는 전기 내부접속 구조를 형성하는 공정.
  11. 제 8항에 있어서,
    상기 자외선 노출이 상기 자외선 복사 패턴에 상기 다공성 저 k 유전 물질의 표면을 노출시키는 단계는 N2, H2, Ar, He, Ne, H20 증기, NH3, CO, CO2, O2, O3, CxHy, CxFy, CxHzFy 및 이들의 혼합물로 된 공기에서 행해지고, x는 1 내지 6 사이의 정수이고, y는 4 내지 14 사이의 정수이며, z는 1 내지 14 사이의 정수인 것을 특징으로 하는 전기 내부접속 구조를 형성하는 공정.
  12. 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정에 있어서,
    산소를 포함하는 공기에서 약 20나노미터보다 작거나 동일한 깊이로 상기 다공성 저 k 물질의 표면을 밀봉하기에 효과적인 시간 기간, 강도 및 파장 길이의 자외선 복사 패턴에 상기 표면을 노출시킴으로써 상기 다공성 저 k 유전 물질의 표면을 산화시키는 단계를 포함하는 것을 특징으로 하는 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정.
  13. 제 12항에 있어서,
    상기 자외선 복사 패턴이 400나노미터보다 작은 광대역 파장 길이를 갖는 것을 특징으로 하는 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정.
  14. 제 12항에 있어서,
    상기 자외선 복사에 상기 다공성 저 k 유전 물질을 노출시키는 단계 이후에 상기 다공성 저 k 유전 물질을 어닐링하는 단계를 더 포함하는 것을 특징으로 하는 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정.
  15. 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정에 있어서,
    약 20나노미터보다 작거나 동일한 깊이로 상기 다공성 저 k 물질의 표면을 밀봉하는데 효과적인 시간 기간, 강도 및 파장 길이의 자외선 복사 패턴에 상기 표면을 노출시킴으로써 상기 다공성 저 k 유전 물질의 표면을 탄화시키는 단계를 포함하는 것을 특징으로 하는 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정.
  16. 제 15항에 있어서,
    상기 자외선 복사가 400나노미터보다 작은 광대역 파장 길이를 갖는 것을 특징으로 하는 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정.
  17. 제 15항에 있어서,
    상기 자외선 복사에 상기 다공성 저 k 유전 물질을 노출시킨 후에 상기 다공성 저 k 유전 물질을 어닐링하는 단계를 더 포함하는 것을 특징으로 하는 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정.
  18. 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정에 있어서,
    약 20나노미터보다 작거나 동일한 깊이로 상기 다공성 저 k 물질의 표면을 밀봉하는데 효과적인 시간 기간, 강도 및 파장 길이의 자외선 복사 패턴에 상기 표면을 노출시킴으로써 상기 다공성 저 k 유전 물질의 표면을 조밀하게 하는 단계를 포함하는 것을 특징으로 하는 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정.
  19. 제 18항에 있어서,
    상기 자외선 복사가 400나노미터보다 작은 광대역 파장 길이를 갖는 것을 특징으로 하는 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정.
  20. 제 18항에 있어서,
    상기 자외선 복사에 상기 다공성 저 k 유전 물질을 노출시킨 후에 상기 다공성 저 k 유전 물질을 어닐링하는 단계를 더 포함하는 것을 특징으로 하는 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정.
  21. 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정에 있어서,
    표면 본드를 발생시키고 상기 표면상에 반응 지점을 형성하는데 효과적인 시간 기간, 강도 및 파장 길이의 자외선 복사 패턴에 상기 다공성 저 k 유전 물질의 표면을 노출시키는 단계; 및
    상기 표면을 밀봉하기 위한 물질과 반응 지점을 반응시키는 단계를 포함하는데, 상기 표면과 상기 물질을 반응시킨 후에, 상기 표면이 실질적으로 미세 기공이 없는 것을 특징으로 하는 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정.
  22. 제 21항에 있어서,
    상기 시간 기간, 세기 및 파장 길이의 자외선 복사 패턴에 상기 다공성 저 k 유전 물질의 표면을 노출시키는 단계가 상기 노출 동안에 반응성 기체를 도입하는 단계를 더 포함하는 것을 특징으로 하는 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정.
  23. 제 22항에 있어서,
    상기 반응 가스가 상기 자외선 복사 패턴에 상기 다공성 저 k 유전 물질의 표면을 노출시키는 단계는 N2, H2, Ar, He, Ne, H20 증기, NH3, CO, CO2, O2, O3, CxHy, CxFy, CxHzFy 및 이들의 혼합물로 구성되고, x는 1 내지 6 사이의 정수이고, y는 4 내지 14 사이의 정수이며, z는 1 내지 14 사이의 정수인 것을 특징으로 하는 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정.
  24. 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정에 있어서,
    표면 본드를 생성하고 상기 표면상에 반응 지점을 형성하는데 효과적인 시간 기간, 강도 및 파장 길이의 자외선 복사 패턴 및 밀봉제에 상기 다공성 저 k 유전 물질의 표면을 노출시키는 단계; 및
    상기 표면을 밀봉하기 위한 밀봉 물질을 반응시키는 단계를 포함하는데, 상기 표면은 실질적으로 미세 기공이 없는 것을 특징으로 하는 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정.
  25. 제 24항에 있어서,
    시간 기간, 강도 및 파장 길이의 상기 자외선 복사 패턴에 상기 다공성 저 k 유전 물질을 노출시키는 단계가 상기 노출 동안에 반응 가스를 도입하는 단계를 더 포함하는 것을 특징으로 하는 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정.
  26. 제 25항에 있어서,
    상기 반응 가스가 N2, H2, Ar, He, Ne, H20 증기, NH3, CO, CO2, O2, O3, CxHy, CxFy, CxHzFy 및 이들의 혼합물로 구성되고, x는 1 내지 6 사이의 정수이고, y는 4 내지 14 사이의 정수이며, z는 1 내지 14 사이의 정수인 것을 특징으로 하는 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정.
  27. 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정에 있어서,
    표면 본드를 생성하고 상기 표면상에 반응 사이트를 형성하는데 효과적인 시간 기간, 강도 및 파장 길이의 자외선 복사 및 밀폐제 전구체에 상기 다공성 저 k 유전 물질의 표면을 노출시키는 단계; 및
    상기 표면을 밀봉하기 위해서 상기 반응 지점 및 표면 본드와 상기 밀폐제 전구체를 반응시키는 단계를 포함하는데, 상기 표면은 실질적으로 미세 기공이 없는 것을 특징으로 하는 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정.
  28. 제 27항에 있어서,
    반응 가스가 UV 노출 동안에 밀폐제 또는 밀폐제 전구체와의 반응을 돕는데 사용되는 것을 특징으로 하는 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정.
  29. 제 28항에 있어서,
    상기 반응 가스가 N2, H2, Ar, He, Ne, H20 증기, NH3, CO, CO2, O2, O3, CxHy, CxFy, CxHzFy 및 이들의 혼합물로 구성되고, x는 1 내지 6 사이의 정수이고, y는 4 내지 14 사이의 정수이며, z는 1 내지 14 사이의 정수인 것을 특징으로 하는 기판상에 배치된 다공성 저 k 유전 물질을 밀봉하는 공정.
KR1020077013204A 2004-11-12 2005-11-08 다공성 저 k 유전 필름의 자외선 지원 기공 밀봉 KR101177591B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/987,276 US7678682B2 (en) 2004-11-12 2004-11-12 Ultraviolet assisted pore sealing of porous low k dielectric films
US10/987,276 2004-11-12
PCT/US2005/040463 WO2006055346A2 (en) 2004-11-12 2005-11-08 Ultraviolet assisted pore sealing of porous low k dielectric films

Publications (2)

Publication Number Publication Date
KR20070086070A true KR20070086070A (ko) 2007-08-27
KR101177591B1 KR101177591B1 (ko) 2012-08-27

Family

ID=36386941

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077013204A KR101177591B1 (ko) 2004-11-12 2005-11-08 다공성 저 k 유전 필름의 자외선 지원 기공 밀봉

Country Status (7)

Country Link
US (2) US7678682B2 (ko)
EP (1) EP1812962A2 (ko)
JP (1) JP2008520100A (ko)
KR (1) KR101177591B1 (ko)
CN (1) CN100530564C (ko)
TW (1) TWI425569B (ko)
WO (1) WO2006055346A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150035505A (ko) * 2012-07-02 2015-04-06 어플라이드 머티어리얼스, 인코포레이티드 기상 화학적 노출에 의한 낮은-k 유전체 손상 리페어
KR20150035509A (ko) * 2012-07-13 2015-04-06 어플라이드 머티어리얼스, 인코포레이티드 다공성 저-k 막의 유전 상수를 감소시키기 위한 방법
KR20180021214A (ko) * 2015-07-13 2018-02-28 어플라이드 머티어리얼스, 인코포레이티드 다공성 필름들 내로의 uv-보조 재료 주입

Families Citing this family (343)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7241704B1 (en) * 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7208389B1 (en) * 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
KR101486587B1 (ko) * 2005-11-18 2015-01-26 레플리서러스 그룹 에스에이에스 마스터 전극 및 마스터 전극 형성 방법
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
WO2008094792A1 (en) * 2007-01-29 2008-08-07 Applied Materials, Inc. Novel air gap integration scheme
EP2232693A2 (en) * 2007-12-20 2010-09-29 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method of forming it
US20090305515A1 (en) * 2008-06-06 2009-12-10 Dustin Ho Method and apparatus for uv curing with water vapor
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
TW201100578A (en) * 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110159202A1 (en) * 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
CN102347206B (zh) * 2010-07-29 2014-01-15 中芯国际集成电路制造(上海)有限公司 用于制作半导体器件的方法
CN102446815B (zh) * 2010-10-14 2016-03-16 中芯国际集成电路制造(上海)有限公司 形成互连沟槽以及通孔的方法及形成互连结构的方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
CN102427055A (zh) * 2011-07-12 2012-04-25 上海华力微电子有限公司 一种采用等离子体处理多孔低k值介质的方法
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9058980B1 (en) * 2013-12-05 2015-06-16 Applied Materials, Inc. UV-assisted photochemical vapor deposition for damaged low K films pore sealing
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6237429B2 (ja) 2014-04-14 2017-11-29 富士通株式会社 化合物半導体装置及びその製造方法
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102341710B1 (ko) * 2014-11-25 2021-12-22 삼성전자주식회사 다공성 절연막의 처리 방법 및 이를 이용한 반도체 소자의 제조 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
CN105552023A (zh) * 2016-02-26 2016-05-04 上海华力微电子有限公司 提高钴阻挡层沉积选择性的方法
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10074559B1 (en) 2017-03-07 2018-09-11 Applied Materials, Inc. Selective poreseal deposition prevention and residue removal using SAM
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11024593B2 (en) * 2018-09-28 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Metal bumps and method forming same
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11837618B1 (en) 2020-08-21 2023-12-05 Samsung Electronics Co., Ltd. Image sensor including a protective layer
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2826632B2 (ja) * 1995-01-20 1998-11-18 工業技術院長 多孔質膜の緻密化方法および酸化物膜の製造方法
JP2002530505A (ja) * 1998-11-24 2002-09-17 ザ ダウ ケミカル カンパニー 架橋性マトリックス前駆体および気孔発生体を含有する組成物、並びにそれから製造された多孔質マトリックス
US6759325B2 (en) * 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
JP4979154B2 (ja) * 2000-06-07 2012-07-18 ルネサスエレクトロニクス株式会社 半導体装置
US6984581B2 (en) * 2000-12-21 2006-01-10 Intel Corporation Structural reinforcement of highly porous low k dielectric films by ILD posts
CN1596466A (zh) * 2001-07-02 2005-03-16 陶氏康宁公司 通过在多孔材料上的sic∶h沉积提高金属阻挡性能
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6809026B2 (en) * 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6500770B1 (en) * 2002-04-22 2002-12-31 Taiwan Semiconductor Manufacturing Company, Ltd Method for forming a multi-layer protective coating over porous low-k material
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6943121B2 (en) * 2002-11-21 2005-09-13 Intel Corporation Selectively converted inter-layer dielectric
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7081673B2 (en) * 2003-04-17 2006-07-25 International Business Machines Corporation Multilayered cap barrier in microelectronic interconnect structures
US7238604B2 (en) * 2003-04-24 2007-07-03 Intel Corporation Forming thin hard mask over air gap or porous dielectric
US7125793B2 (en) * 2003-12-23 2006-10-24 Intel Corporation Method for forming an opening for an interconnect structure in a dielectric layer having a photosensitive material
US7005371B2 (en) * 2004-04-29 2006-02-28 International Business Machines Corporation Method of forming suspended transmission line structures in back end of line processing
US7629272B2 (en) * 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
US7223670B2 (en) * 2004-08-20 2007-05-29 International Business Machines Corporation DUV laser annealing and stabilization of SiCOH films

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150035505A (ko) * 2012-07-02 2015-04-06 어플라이드 머티어리얼스, 인코포레이티드 기상 화학적 노출에 의한 낮은-k 유전체 손상 리페어
KR20150035509A (ko) * 2012-07-13 2015-04-06 어플라이드 머티어리얼스, 인코포레이티드 다공성 저-k 막의 유전 상수를 감소시키기 위한 방법
KR20180021214A (ko) * 2015-07-13 2018-02-28 어플라이드 머티어리얼스, 인코포레이티드 다공성 필름들 내로의 uv-보조 재료 주입

Also Published As

Publication number Publication date
CN100530564C (zh) 2009-08-19
US7704872B2 (en) 2010-04-27
US7678682B2 (en) 2010-03-16
KR101177591B1 (ko) 2012-08-27
US20070134935A1 (en) 2007-06-14
CN101099232A (zh) 2008-01-02
US20060105566A1 (en) 2006-05-18
EP1812962A2 (en) 2007-08-01
JP2008520100A (ja) 2008-06-12
WO2006055346A2 (en) 2006-05-26
WO2006055346A3 (en) 2007-03-22
TWI425569B (zh) 2014-02-01
TW200620467A (en) 2006-06-16

Similar Documents

Publication Publication Date Title
KR101177591B1 (ko) 다공성 저 k 유전 필름의 자외선 지원 기공 밀봉
KR100637093B1 (ko) Uv 노출에 의한 조밀성 및 다공성 오르가노실리케이트물질의 기계적 증강 방법
US20060024976A1 (en) Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
KR100372216B1 (ko) O.5 및 0.5 미크론 이하의 ulsi 회로용 인터레벨 유전체소자로서의수소실세큐옥산계유동가능한산화물
JP4913782B2 (ja) Uv照射による高密度及び多孔質有機ケイ酸塩材料の機械的強化
US6214748B1 (en) Semiconductor device and method for the fabrication thereof
EP0826791B1 (en) Method of forming interlayer insulating film
EP0551306B1 (en) Multi-level interconnection cmos devices with sog
US6559071B2 (en) Process for producing dielectric thin films
CN101006559B (zh) SiCOH膜的DUV激光退火及稳定性
US20020123240A1 (en) Electronic device manufacture
JP5324734B2 (ja) 誘電体材料とその製造方法
US20080057717A1 (en) Semiconductor device manufacturing method
WO2002067314A2 (en) High temperature short time curing of low dielectric constant materials using rapid thermal processing techniques
KR100609305B1 (ko) 다공성 저 유전율 필름을 형성하기 위한 비-열적 방법
US20070232046A1 (en) Damascene interconnection having porous low K layer with improved mechanical properties
US20100301495A1 (en) Semiconductor device and method for manufacturing same
JP2009289996A (ja) 半導体装置の製造方法および半導体装置
JP2004296476A (ja) 半導体装置の製造方法
JP2009152402A (ja) 多孔性の低kの誘電体を形成するために、紫外線を利用してポロゲンを除去及び/又はキュアするプロセス
US6165905A (en) Methods for making reliable via structures having hydrophobic inner wall surfaces
JPH10335324A (ja) 半導体装置及びその製造方法
WO2006135369A1 (en) Ultraviolet assisted propgen removal and/or curing processes for forming porous low k dielectrics

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180628

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190624

Year of fee payment: 8