JP2004274052A - Uv照射による高密度及び多孔質有機ケイ酸塩材料の機械的強化 - Google Patents
Uv照射による高密度及び多孔質有機ケイ酸塩材料の機械的強化 Download PDFInfo
- Publication number
- JP2004274052A JP2004274052A JP2004059560A JP2004059560A JP2004274052A JP 2004274052 A JP2004274052 A JP 2004274052A JP 2004059560 A JP2004059560 A JP 2004059560A JP 2004059560 A JP2004059560 A JP 2004059560A JP 2004274052 A JP2004274052 A JP 2004274052A
- Authority
- JP
- Japan
- Prior art keywords
- film
- organosilicate
- deposition
- hardness
- porous
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Withdrawn
Links
- 239000000463 material Substances 0.000 title claims abstract description 137
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 title claims abstract description 20
- 238000009281 ultraviolet germicidal irradiation Methods 0.000 title description 62
- 238000000151 deposition Methods 0.000 claims abstract description 110
- 239000002243 precursor Substances 0.000 claims abstract description 99
- 238000000034 method Methods 0.000 claims abstract description 96
- 239000000758 substrate Substances 0.000 claims abstract description 39
- 239000012298 atmosphere Substances 0.000 claims abstract description 32
- 238000005229 chemical vapour deposition Methods 0.000 claims abstract description 30
- 238000006243 chemical reaction Methods 0.000 claims abstract description 8
- 239000011148 porous material Substances 0.000 claims description 102
- 230000008021 deposition Effects 0.000 claims description 95
- 239000000203 mixture Substances 0.000 claims description 35
- 239000000126 substance Substances 0.000 claims description 33
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 claims description 31
- 238000012545 processing Methods 0.000 claims description 28
- 239000000376 reactant Substances 0.000 claims description 27
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 26
- 229910052739 hydrogen Inorganic materials 0.000 claims description 20
- -1 siloxanes Chemical class 0.000 claims description 18
- 150000001282 organosilanes Chemical class 0.000 claims description 17
- 239000001257 hydrogen Substances 0.000 claims description 16
- 229910052757 nitrogen Inorganic materials 0.000 claims description 15
- 230000001590 oxidative effect Effects 0.000 claims description 15
- 229910052734 helium Inorganic materials 0.000 claims description 14
- 125000005375 organosiloxane group Chemical group 0.000 claims description 14
- 150000001875 compounds Chemical class 0.000 claims description 13
- 239000007789 gas Substances 0.000 claims description 13
- 239000002131 composite material Substances 0.000 claims description 11
- WZJUBBHODHNQPW-UHFFFAOYSA-N 2,4,6,8-tetramethyl-1,3,5,7,2$l^{3},4$l^{3},6$l^{3},8$l^{3}-tetraoxatetrasilocane Chemical group C[Si]1O[Si](C)O[Si](C)O[Si](C)O1 WZJUBBHODHNQPW-UHFFFAOYSA-N 0.000 claims description 9
- 238000002835 absorbance Methods 0.000 claims description 8
- 229910052786 argon Inorganic materials 0.000 claims description 8
- JJQZDUKDJDQPMQ-UHFFFAOYSA-N dimethoxy(dimethyl)silane Chemical compound CO[Si](C)(C)OC JJQZDUKDJDQPMQ-UHFFFAOYSA-N 0.000 claims description 8
- 239000001307 helium Substances 0.000 claims description 8
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 8
- 230000001678 irradiating effect Effects 0.000 claims description 8
- 229910052743 krypton Inorganic materials 0.000 claims description 8
- HMMGMWAXVFQUOA-UHFFFAOYSA-N octamethylcyclotetrasiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 HMMGMWAXVFQUOA-UHFFFAOYSA-N 0.000 claims description 8
- QQQSFSZALRVCSZ-UHFFFAOYSA-N triethoxysilane Chemical compound CCO[SiH](OCC)OCC QQQSFSZALRVCSZ-UHFFFAOYSA-N 0.000 claims description 8
- 229910052724 xenon Inorganic materials 0.000 claims description 8
- 238000010438 heat treatment Methods 0.000 claims description 7
- 229910052754 neon Inorganic materials 0.000 claims description 7
- CRPUJAZIXJMDBK-UHFFFAOYSA-N camphene Chemical compound C1CC2C(=C)C(C)(C)C1C2 CRPUJAZIXJMDBK-UHFFFAOYSA-N 0.000 claims description 6
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 claims description 5
- 238000005137 deposition process Methods 0.000 claims description 5
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 claims description 5
- VCJPCEVERINRSG-UHFFFAOYSA-N 1,2,4-trimethylcyclohexane Chemical group CC1CCC(C)C(C)C1 VCJPCEVERINRSG-UHFFFAOYSA-N 0.000 claims description 4
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 4
- KAKZBPTYRLMSJV-UHFFFAOYSA-N Butadiene Chemical compound C=CC=C KAKZBPTYRLMSJV-UHFFFAOYSA-N 0.000 claims description 4
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 claims description 4
- ORILYTVJVMAKLC-UHFFFAOYSA-N adamantane Chemical compound C1C(C2)CC3CC1CC2C3 ORILYTVJVMAKLC-UHFFFAOYSA-N 0.000 claims description 4
- XCPQUQHBVVXMRQ-UHFFFAOYSA-N alpha-Fenchene Natural products C1CC2C(=C)CC1C2(C)C XCPQUQHBVVXMRQ-UHFFFAOYSA-N 0.000 claims description 4
- NNBZCPXTIHJBJL-UHFFFAOYSA-N decalin Chemical compound C1CCCC2CCCCC21 NNBZCPXTIHJBJL-UHFFFAOYSA-N 0.000 claims description 4
- YKFLAYDHMOASIY-UHFFFAOYSA-N γ-terpinene Chemical class CC(C)C1=CCC(C)=CC1 YKFLAYDHMOASIY-UHFFFAOYSA-N 0.000 claims description 4
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 claims description 3
- PXRCIOIWVGAZEP-UHFFFAOYSA-N Primaeres Camphenhydrat Natural products C1CC2C(O)(C)C(C)(C)C1C2 PXRCIOIWVGAZEP-UHFFFAOYSA-N 0.000 claims description 3
- 229910008051 Si-OH Inorganic materials 0.000 claims description 3
- 229910006358 Si—OH Inorganic materials 0.000 claims description 3
- 229930006739 camphene Natural products 0.000 claims description 3
- ZYPYEBYNXWUCEA-UHFFFAOYSA-N camphenilone Natural products C1CC2C(=O)C(C)(C)C1C2 ZYPYEBYNXWUCEA-UHFFFAOYSA-N 0.000 claims description 3
- 150000001993 dienes Chemical class 0.000 claims description 3
- KPUWHANPEXNPJT-UHFFFAOYSA-N disiloxane Chemical class [SiH3]O[SiH3] KPUWHANPEXNPJT-UHFFFAOYSA-N 0.000 claims description 3
- 125000004435 hydrogen atom Chemical class [H]* 0.000 claims description 3
- 150000004756 silanes Chemical class 0.000 claims description 3
- CPUDPFPXCZDNGI-UHFFFAOYSA-N triethoxy(methyl)silane Chemical compound CCO[Si](C)(OCC)OCC CPUDPFPXCZDNGI-UHFFFAOYSA-N 0.000 claims description 3
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 claims description 3
- LOHDXSNFEBGLKH-UHFFFAOYSA-N (4-diethoxysilylcyclohexyl)-diethoxysilane Chemical compound CCO[SiH](OCC)C1CCC([SiH](OCC)OCC)CC1 LOHDXSNFEBGLKH-UHFFFAOYSA-N 0.000 claims description 2
- 229910002092 carbon dioxide Inorganic materials 0.000 claims description 2
- 239000001569 carbon dioxide Substances 0.000 claims description 2
- UMQOSQJMIIITHA-UHFFFAOYSA-N cyclohexylsilane Chemical compound [SiH3]C1CCCCC1 UMQOSQJMIIITHA-UHFFFAOYSA-N 0.000 claims description 2
- GAURFLBIDLSLQU-UHFFFAOYSA-N diethoxy(methyl)silicon Chemical compound CCO[Si](C)OCC GAURFLBIDLSLQU-UHFFFAOYSA-N 0.000 claims description 2
- UCXUKTLCVSGCNR-UHFFFAOYSA-N diethylsilane Chemical compound CC[SiH2]CC UCXUKTLCVSGCNR-UHFFFAOYSA-N 0.000 claims description 2
- YYLGKUPAFFKGRQ-UHFFFAOYSA-N dimethyldiethoxysilane Chemical compound CCO[Si](C)(C)OCC YYLGKUPAFFKGRQ-UHFFFAOYSA-N 0.000 claims description 2
- DRUOQOFQRYFQGB-UHFFFAOYSA-N ethoxy(dimethyl)silicon Chemical compound CCO[Si](C)C DRUOQOFQRYFQGB-UHFFFAOYSA-N 0.000 claims description 2
- KCWYOFZQRFCIIE-UHFFFAOYSA-N ethylsilane Chemical compound CC[SiH3] KCWYOFZQRFCIIE-UHFFFAOYSA-N 0.000 claims description 2
- HTDJPCNNEPUOOQ-UHFFFAOYSA-N hexamethylcyclotrisiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O1 HTDJPCNNEPUOOQ-UHFFFAOYSA-N 0.000 claims description 2
- UQEAIHBTYFGYIE-UHFFFAOYSA-N hexamethyldisiloxane Chemical compound C[Si](C)(C)O[Si](C)(C)C UQEAIHBTYFGYIE-UHFFFAOYSA-N 0.000 claims description 2
- 238000004050 hot filament vapor deposition Methods 0.000 claims description 2
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 claims description 2
- LAQFLZHBVPULPL-UHFFFAOYSA-N methyl(phenyl)silicon Chemical compound C[Si]C1=CC=CC=C1 LAQFLZHBVPULPL-UHFFFAOYSA-N 0.000 claims description 2
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical group [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 claims description 2
- RBCYCMNKVQPXDR-UHFFFAOYSA-N phenoxysilane Chemical compound [SiH3]OC1=CC=CC=C1 RBCYCMNKVQPXDR-UHFFFAOYSA-N 0.000 claims description 2
- PARWUHTVGZSQPD-UHFFFAOYSA-N phenylsilane Chemical compound [SiH3]C1=CC=CC=C1 PARWUHTVGZSQPD-UHFFFAOYSA-N 0.000 claims description 2
- 229910052704 radon Inorganic materials 0.000 claims description 2
- SYUHGPGVQRZVTB-UHFFFAOYSA-N radon atom Chemical compound [Rn] SYUHGPGVQRZVTB-UHFFFAOYSA-N 0.000 claims description 2
- UNWUYTNKSRTDDC-UHFFFAOYSA-N tert-butylsilane Chemical compound CC(C)(C)[SiH3] UNWUYTNKSRTDDC-UHFFFAOYSA-N 0.000 claims description 2
- CZDYPVPMEAXLPK-UHFFFAOYSA-N tetramethylsilane Chemical compound C[Si](C)(C)C CZDYPVPMEAXLPK-UHFFFAOYSA-N 0.000 claims description 2
- 238000002230 thermal chemical vapour deposition Methods 0.000 claims description 2
- OJAJJFGMKAZGRZ-UHFFFAOYSA-N trimethyl(phenoxy)silane Chemical compound C[Si](C)(C)OC1=CC=CC=C1 OJAJJFGMKAZGRZ-UHFFFAOYSA-N 0.000 claims description 2
- JTGAUXSVQKWNHO-UHFFFAOYSA-N ditert-butylsilicon Chemical compound CC(C)(C)[Si]C(C)(C)C JTGAUXSVQKWNHO-UHFFFAOYSA-N 0.000 claims 2
- RYOGZVTWMZNTGL-UDRCNDPASA-N (1z,5z)-1,5-dimethylcycloocta-1,5-diene Chemical compound C\C1=C\CC\C(C)=C/CC1 RYOGZVTWMZNTGL-UDRCNDPASA-N 0.000 claims 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims 1
- 229910002091 carbon monoxide Inorganic materials 0.000 claims 1
- AONDIGWFVXEZGD-UHFFFAOYSA-N diacetyloxy(methyl)silicon Chemical compound CC(=O)O[Si](C)OC(C)=O AONDIGWFVXEZGD-UHFFFAOYSA-N 0.000 claims 1
- ZXPDYFSTVHQQOI-UHFFFAOYSA-N diethoxysilane Chemical compound CCO[SiH2]OCC ZXPDYFSTVHQQOI-UHFFFAOYSA-N 0.000 claims 1
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 claims 1
- 230000001747 exhibiting effect Effects 0.000 claims 1
- JPQBRSQJGWOTGC-UHFFFAOYSA-N methyl(silyloxysilyloxy)silane Chemical compound C[SiH2]O[SiH2]O[SiH3] JPQBRSQJGWOTGC-UHFFFAOYSA-N 0.000 claims 1
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 claims 1
- 229910000077 silane Inorganic materials 0.000 claims 1
- 239000003795 chemical substances by application Substances 0.000 abstract description 18
- 239000003989 dielectric material Substances 0.000 abstract description 15
- 238000004519 manufacturing process Methods 0.000 abstract description 8
- 239000010408 film Substances 0.000 description 391
- NBBQQQJUOYRZCA-UHFFFAOYSA-N diethoxymethylsilane Chemical group CCOC([SiH3])OCC NBBQQQJUOYRZCA-UHFFFAOYSA-N 0.000 description 49
- 239000011521 glass Substances 0.000 description 48
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 47
- 239000012528 membrane Substances 0.000 description 47
- 235000012431 wafers Nutrition 0.000 description 42
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 40
- 229910052710 silicon Inorganic materials 0.000 description 40
- 238000011282 treatment Methods 0.000 description 40
- 239000010703 silicon Substances 0.000 description 38
- 229910052760 oxygen Inorganic materials 0.000 description 36
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 35
- 239000001301 oxygen Substances 0.000 description 34
- 238000000137 annealing Methods 0.000 description 32
- 230000008569 process Effects 0.000 description 27
- 239000000377 silicon dioxide Substances 0.000 description 18
- 239000010410 layer Substances 0.000 description 17
- 230000005855 radiation Effects 0.000 description 17
- 239000012159 carrier gas Substances 0.000 description 16
- 229910052799 carbon Inorganic materials 0.000 description 14
- 229920000642 polymer Polymers 0.000 description 14
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 14
- 230000015572 biosynthetic process Effects 0.000 description 13
- 230000000694 effects Effects 0.000 description 13
- 241000894007 species Species 0.000 description 13
- 238000000862 absorption spectrum Methods 0.000 description 12
- 125000000962 organic group Chemical group 0.000 description 12
- 239000003361 porogen Substances 0.000 description 12
- 239000010949 copper Substances 0.000 description 11
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 10
- 229910018557 Si O Inorganic materials 0.000 description 10
- 238000001723 curing Methods 0.000 description 10
- 238000001004 secondary ion mass spectrometry Methods 0.000 description 10
- YHQGMYUVUMAZJR-UHFFFAOYSA-N α-terpinene Chemical compound CC(C)C1=CC=C(C)CC1 YHQGMYUVUMAZJR-UHFFFAOYSA-N 0.000 description 10
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 9
- 239000007788 liquid Substances 0.000 description 9
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 8
- 239000000654 additive Substances 0.000 description 8
- 150000002430 hydrocarbons Chemical class 0.000 description 8
- 150000002431 hydrogen Chemical class 0.000 description 8
- 125000003118 aryl group Chemical group 0.000 description 7
- 230000008859 change Effects 0.000 description 7
- 238000010894 electron beam technology Methods 0.000 description 7
- 229930195733 hydrocarbon Natural products 0.000 description 7
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 7
- 230000000996 additive effect Effects 0.000 description 6
- 125000003545 alkoxy group Chemical group 0.000 description 6
- 125000000217 alkyl group Chemical group 0.000 description 6
- 229910052802 copper Inorganic materials 0.000 description 6
- 238000004132 cross linking Methods 0.000 description 6
- 230000007423 decrease Effects 0.000 description 6
- 238000005516 engineering process Methods 0.000 description 6
- 239000011229 interlayer Substances 0.000 description 6
- 238000005259 measurement Methods 0.000 description 6
- 229910052751 metal Inorganic materials 0.000 description 6
- 239000002184 metal Substances 0.000 description 6
- 239000012299 nitrogen atmosphere Substances 0.000 description 6
- 238000010926 purge Methods 0.000 description 6
- 229910010271 silicon carbide Inorganic materials 0.000 description 6
- 125000001424 substituent group Chemical group 0.000 description 6
- WSTYNZDAOAEEKG-UHFFFAOYSA-N Mayol Natural products CC1=C(O)C(=O)C=C2C(CCC3(C4CC(C(CC4(CCC33C)C)=O)C)C)(C)C3=CC=C21 WSTYNZDAOAEEKG-UHFFFAOYSA-N 0.000 description 5
- 238000010521 absorption reaction Methods 0.000 description 5
- 229910052731 fluorine Inorganic materials 0.000 description 5
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 5
- 238000012805 post-processing Methods 0.000 description 5
- 238000002360 preparation method Methods 0.000 description 5
- 239000000523 sample Substances 0.000 description 5
- AZUYLZMQTIKGSC-UHFFFAOYSA-N 1-[6-[4-(5-chloro-6-methyl-1H-indazol-4-yl)-5-methyl-3-(1-methylindazol-5-yl)pyrazol-1-yl]-2-azaspiro[3.3]heptan-2-yl]prop-2-en-1-one Chemical compound ClC=1C(=C2C=NNC2=CC=1C)C=1C(=NN(C=1C)C1CC2(CN(C2)C(C=C)=O)C1)C=1C=C2C=NN(C2=CC=1)C AZUYLZMQTIKGSC-UHFFFAOYSA-N 0.000 description 4
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 4
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 4
- 229910004298 SiO 2 Inorganic materials 0.000 description 4
- 230000004888 barrier function Effects 0.000 description 4
- 125000004432 carbon atom Chemical group C* 0.000 description 4
- 239000003054 catalyst Substances 0.000 description 4
- 125000004122 cyclic group Chemical group 0.000 description 4
- 229920006237 degradable polymer Polymers 0.000 description 4
- 238000009792 diffusion process Methods 0.000 description 4
- 239000012530 fluid Substances 0.000 description 4
- 125000001153 fluoro group Chemical group F* 0.000 description 4
- 229960001730 nitrous oxide Drugs 0.000 description 4
- 230000003287 optical effect Effects 0.000 description 4
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 4
- 239000000047 product Substances 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 150000004760 silicates Chemical class 0.000 description 4
- 235000012239 silicon dioxide Nutrition 0.000 description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 4
- 229910052814 silicon oxide Inorganic materials 0.000 description 4
- 239000007787 solid Substances 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 3
- 150000001343 alkyl silanes Chemical class 0.000 description 3
- 238000009739 binding Methods 0.000 description 3
- 229920000359 diblock copolymer Polymers 0.000 description 3
- 239000011737 fluorine Substances 0.000 description 3
- 229940104869 fluorosilicate Drugs 0.000 description 3
- 229910010272 inorganic material Inorganic materials 0.000 description 3
- 239000011147 inorganic material Substances 0.000 description 3
- QSHDDOUJBYECFT-UHFFFAOYSA-N mercury Chemical compound [Hg] QSHDDOUJBYECFT-UHFFFAOYSA-N 0.000 description 3
- 229910052753 mercury Inorganic materials 0.000 description 3
- 239000001272 nitrous oxide Substances 0.000 description 3
- 229910052756 noble gas Inorganic materials 0.000 description 3
- 229920000620 organic polymer Polymers 0.000 description 3
- 229910052698 phosphorus Inorganic materials 0.000 description 3
- 229920003209 poly(hydridosilsesquioxane) Polymers 0.000 description 3
- 239000010453 quartz Substances 0.000 description 3
- 238000001228 spectrum Methods 0.000 description 3
- 239000004094 surface-active agent Substances 0.000 description 3
- GRWFGVWFFZKLTI-IUCAKERBSA-N (-)-α-pinene Chemical class CC1=CC[C@@H]2C(C)(C)[C@H]1C2 GRWFGVWFFZKLTI-IUCAKERBSA-N 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 2
- 229910052582 BN Inorganic materials 0.000 description 2
- PZNSFCLAULLKQX-UHFFFAOYSA-N Boron nitride Chemical compound N#B PZNSFCLAULLKQX-UHFFFAOYSA-N 0.000 description 2
- YNQLUTRBYVCPMQ-UHFFFAOYSA-N Ethylbenzene Chemical compound CCC1=CC=CC=C1 YNQLUTRBYVCPMQ-UHFFFAOYSA-N 0.000 description 2
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 2
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 2
- 229910018540 Si C Inorganic materials 0.000 description 2
- 229910008284 Si—F Inorganic materials 0.000 description 2
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 2
- 238000003848 UV Light-Curing Methods 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 239000006117 anti-reflective coating Substances 0.000 description 2
- 125000004429 atom Chemical group 0.000 description 2
- 229920001400 block copolymer Polymers 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 239000005388 borosilicate glass Substances 0.000 description 2
- 239000012700 ceramic precursor Substances 0.000 description 2
- WOWHHFRSBJGXCM-UHFFFAOYSA-M cetyltrimethylammonium chloride Chemical compound [Cl-].CCCCCCCCCCCCCCCC[N+](C)(C)C WOWHHFRSBJGXCM-UHFFFAOYSA-M 0.000 description 2
- 239000012707 chemical precursor Substances 0.000 description 2
- 239000003153 chemical reaction reagent Substances 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- 229920001577 copolymer Polymers 0.000 description 2
- 229910021419 crystalline silicon Inorganic materials 0.000 description 2
- 239000000412 dendrimer Substances 0.000 description 2
- 229920000736 dendritic polymer Polymers 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 229910001873 dinitrogen Inorganic materials 0.000 description 2
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 2
- 230000008030 elimination Effects 0.000 description 2
- 238000003379 elimination reaction Methods 0.000 description 2
- 150000004820 halides Chemical group 0.000 description 2
- 238000002329 infrared spectrum Methods 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- XMGQYMWWDOXHJM-UHFFFAOYSA-N limonene Chemical compound CC(=C)C1CCC(C)=CC1 XMGQYMWWDOXHJM-UHFFFAOYSA-N 0.000 description 2
- PQXKHYXIUOZZFA-UHFFFAOYSA-M lithium fluoride Chemical compound [Li+].[F-] PQXKHYXIUOZZFA-UHFFFAOYSA-M 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 150000002835 noble gases Chemical class 0.000 description 2
- 239000011368 organic material Substances 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 239000011941 photocatalyst Substances 0.000 description 2
- 229920002120 photoresistant polymer Polymers 0.000 description 2
- 229910052697 platinum Inorganic materials 0.000 description 2
- 238000006116 polymerization reaction Methods 0.000 description 2
- 238000004151 rapid thermal annealing Methods 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 238000005001 rutherford backscattering spectroscopy Methods 0.000 description 2
- 229920006395 saturated elastomer Polymers 0.000 description 2
- 230000003595 spectral effect Effects 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- 238000009864 tensile test Methods 0.000 description 2
- 238000012360 testing method Methods 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 238000002211 ultraviolet spectrum Methods 0.000 description 2
- 239000011800 void material Substances 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- 229910001868 water Inorganic materials 0.000 description 2
- WTARULDDTDQWMU-RKDXNWHRSA-N (+)-β-pinene Chemical class C1[C@H]2C(C)(C)[C@@H]1CCC2=C WTARULDDTDQWMU-RKDXNWHRSA-N 0.000 description 1
- WTARULDDTDQWMU-IUCAKERBSA-N (-)-Nopinene Chemical class C1[C@@H]2C(C)(C)[C@H]1CCC2=C WTARULDDTDQWMU-IUCAKERBSA-N 0.000 description 1
- RRKODOZNUZCUBN-CCAGOZQPSA-N (1z,3z)-cycloocta-1,3-diene Chemical compound C1CC\C=C/C=C\C1 RRKODOZNUZCUBN-CCAGOZQPSA-N 0.000 description 1
- UHXCHUWSQRLZJS-UHFFFAOYSA-N (4-dimethylsilylidenecyclohexa-2,5-dien-1-ylidene)-dimethylsilane Chemical compound C[Si](C)C1=CC=C([Si](C)C)C=C1 UHXCHUWSQRLZJS-UHFFFAOYSA-N 0.000 description 1
- ZXLUFQJSMQSMTR-AATRIKPKSA-N (4e)-2-methylhepta-2,4-diene Chemical compound CC\C=C\C=C(C)C ZXLUFQJSMQSMTR-AATRIKPKSA-N 0.000 description 1
- 125000004209 (C1-C8) alkyl group Chemical group 0.000 description 1
- YQQFFTNDQFUNHB-UHFFFAOYSA-N 1,1-dimethylsiletane Chemical compound C[Si]1(C)CCC1 YQQFFTNDQFUNHB-UHFFFAOYSA-N 0.000 description 1
- AWNXKZVIZARMME-UHFFFAOYSA-N 1-[[5-[2-[(2-chloropyridin-4-yl)amino]pyrimidin-4-yl]-4-(cyclopropylmethyl)pyrimidin-2-yl]amino]-2-methylpropan-2-ol Chemical compound N=1C(NCC(C)(O)C)=NC=C(C=2N=C(NC=3C=C(Cl)N=CC=3)N=CC=2)C=1CC1CC1 AWNXKZVIZARMME-UHFFFAOYSA-N 0.000 description 1
- VDCBSKQQTUPBHE-UHFFFAOYSA-N 2,2-dimethyl-1,3,2-dioxasilinane Chemical compound C[Si]1(C)OCCCO1 VDCBSKQQTUPBHE-UHFFFAOYSA-N 0.000 description 1
- CWUHERHJSPPFHQ-UHFFFAOYSA-N 2,2-dimethyloxasilinane Chemical compound C[Si]1(C)CCCCO1 CWUHERHJSPPFHQ-UHFFFAOYSA-N 0.000 description 1
- BCLFONZIRGMCRV-UHFFFAOYSA-N 2,2-dimethylpropyl(diethoxy)silane Chemical compound CCO[SiH](CC(C)(C)C)OCC BCLFONZIRGMCRV-UHFFFAOYSA-N 0.000 description 1
- JZGPNMKIIPNQMH-UHFFFAOYSA-N 2,2-dimethylpropyl(triethoxy)silane Chemical compound CCO[Si](CC(C)(C)C)(OCC)OCC JZGPNMKIIPNQMH-UHFFFAOYSA-N 0.000 description 1
- GJEZBVHHZQAEDB-UHFFFAOYSA-N 6-oxabicyclo[3.1.0]hexane Chemical compound C1CCC2OC21 GJEZBVHHZQAEDB-UHFFFAOYSA-N 0.000 description 1
- PZASAAIJIFDWSB-CKPDSHCKSA-N 8-[(1S)-1-[8-(trifluoromethyl)-7-[4-(trifluoromethyl)cyclohexyl]oxynaphthalen-2-yl]ethyl]-8-azabicyclo[3.2.1]octane-3-carboxylic acid Chemical compound FC(F)(F)C=1C2=CC([C@@H](N3C4CCC3CC(C4)C(O)=O)C)=CC=C2C=CC=1OC1CCC(C(F)(F)F)CC1 PZASAAIJIFDWSB-CKPDSHCKSA-N 0.000 description 1
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- XDTMQSROBMDMFD-UHFFFAOYSA-N Cyclohexane Chemical compound C1CCCCC1 XDTMQSROBMDMFD-UHFFFAOYSA-N 0.000 description 1
- YZCKVEUIGOORGS-OUBTZVSYSA-N Deuterium Chemical compound [2H] YZCKVEUIGOORGS-OUBTZVSYSA-N 0.000 description 1
- VGGSQFUCUMXWEO-UHFFFAOYSA-N Ethene Chemical compound C=C VGGSQFUCUMXWEO-UHFFFAOYSA-N 0.000 description 1
- 239000005977 Ethylene Substances 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- 238000005033 Fourier transform infrared spectroscopy Methods 0.000 description 1
- 238000001157 Fourier transform infrared spectrum Methods 0.000 description 1
- 241000233855 Orchidaceae Species 0.000 description 1
- 229940123973 Oxygen scavenger Drugs 0.000 description 1
- WTARULDDTDQWMU-UHFFFAOYSA-N Pseudopinene Chemical class C1C2C(C)(C)C1CCC2=C WTARULDDTDQWMU-UHFFFAOYSA-N 0.000 description 1
- 241001671983 Pusa Species 0.000 description 1
- 229910002808 Si–O–Si Inorganic materials 0.000 description 1
- 229910004200 TaSiN Inorganic materials 0.000 description 1
- 229910008482 TiSiN Inorganic materials 0.000 description 1
- 238000002441 X-ray diffraction Methods 0.000 description 1
- 238000004833 X-ray photoelectron spectroscopy Methods 0.000 description 1
- PDPXHRBRYUQCQA-SFOWXEAESA-N [(1s)-1-fluoro-2-(hydroxyamino)-2-oxoethyl]phosphonic acid Chemical compound ONC(=O)[C@@H](F)P(O)(O)=O PDPXHRBRYUQCQA-SFOWXEAESA-N 0.000 description 1
- OPARTXXEFXPWJL-UHFFFAOYSA-N [acetyloxy-bis[(2-methylpropan-2-yl)oxy]silyl] acetate Chemical compound CC(=O)O[Si](OC(C)=O)(OC(C)(C)C)OC(C)(C)C OPARTXXEFXPWJL-UHFFFAOYSA-N 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 150000001345 alkine derivatives Chemical class 0.000 description 1
- 125000002877 alkyl aryl group Chemical group 0.000 description 1
- MVNCAPSFBDBCGF-UHFFFAOYSA-N alpha-pinene Chemical class CC1=CCC23C1CC2C3(C)C MVNCAPSFBDBCGF-UHFFFAOYSA-N 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 238000004458 analytical method Methods 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 125000003710 aryl alkyl group Chemical group 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- 238000005452 bending Methods 0.000 description 1
- RWCCWEUUXYIKHB-UHFFFAOYSA-N benzophenone Chemical compound C=1C=CC=CC=1C(=O)C1=CC=CC=C1 RWCCWEUUXYIKHB-UHFFFAOYSA-N 0.000 description 1
- 239000012965 benzophenone Substances 0.000 description 1
- 229930006722 beta-pinene Chemical class 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 238000007664 blowing Methods 0.000 description 1
- YFSJFUCGCAQAJA-UHFFFAOYSA-N buta-1,3-dienyl(trimethyl)silane Chemical compound C[Si](C)(C)C=CC=C YFSJFUCGCAQAJA-UHFFFAOYSA-N 0.000 description 1
- NCMHKCKGHRPLCM-UHFFFAOYSA-N caesium(1+) Chemical compound [Cs+] NCMHKCKGHRPLCM-UHFFFAOYSA-N 0.000 description 1
- WUKWITHWXAAZEY-UHFFFAOYSA-L calcium difluoride Chemical compound [F-].[F-].[Ca+2] WUKWITHWXAAZEY-UHFFFAOYSA-L 0.000 description 1
- 229910001634 calcium fluoride Inorganic materials 0.000 description 1
- 150000007942 carboxylates Chemical class 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 239000003638 chemical reducing agent Substances 0.000 description 1
- 239000013626 chemical specie Substances 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 125000006165 cyclic alkyl group Chemical group 0.000 description 1
- 125000000753 cycloalkyl group Chemical group 0.000 description 1
- 125000001995 cyclobutyl group Chemical group [H]C1([H])C([H])([H])C([H])(*)C1([H])[H] 0.000 description 1
- 125000000113 cyclohexyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C1([H])[H] 0.000 description 1
- VHTUUTHYXRLKLY-UHFFFAOYSA-N cyclopenta-1,3-dien-1-yl(trimethyl)silane Chemical compound C[Si](C)(C)C1=CC=CC1 VHTUUTHYXRLKLY-UHFFFAOYSA-N 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 229910052805 deuterium Inorganic materials 0.000 description 1
- IAUUFEOQWVMTSG-UHFFFAOYSA-N diethoxymethyl(2,2-dimethylpropyl)silane Chemical compound CCOC(OCC)[SiH2]CC(C)(C)C IAUUFEOQWVMTSG-UHFFFAOYSA-N 0.000 description 1
- LJLOWWWTZWZHAZ-UHFFFAOYSA-N difluoro(dimethoxy)silane Chemical compound CO[Si](F)(F)OC LJLOWWWTZWZHAZ-UHFFFAOYSA-N 0.000 description 1
- XRRDNAZMVAXXQP-UHFFFAOYSA-N difluoro(dimethyl)silane Chemical compound C[Si](C)(F)F XRRDNAZMVAXXQP-UHFFFAOYSA-N 0.000 description 1
- 125000000118 dimethyl group Chemical group [H]C([H])([H])* 0.000 description 1
- UTUAUBOPWUPBCH-UHFFFAOYSA-N dimethylsilylidene(dimethyl)silane Chemical compound C[Si](C)=[Si](C)C UTUAUBOPWUPBCH-UHFFFAOYSA-N 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 150000002118 epoxides Chemical class 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- ANODDRXPELXJAK-UHFFFAOYSA-N ethoxy-[ethoxy(methyl)silyl]oxy-methylsilane Chemical compound CCO[SiH](C)O[SiH](C)OCC ANODDRXPELXJAK-UHFFFAOYSA-N 0.000 description 1
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- 239000012467 final product Substances 0.000 description 1
- CTIKAHQFRQTTAY-UHFFFAOYSA-N fluoro(trimethyl)silane Chemical compound C[Si](C)(C)F CTIKAHQFRQTTAY-UHFFFAOYSA-N 0.000 description 1
- ZHPNWZCWUUJAJC-UHFFFAOYSA-N fluorosilicon Chemical compound [Si]F ZHPNWZCWUUJAJC-UHFFFAOYSA-N 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 239000012634 fragment Substances 0.000 description 1
- 239000005350 fused silica glass Substances 0.000 description 1
- 230000004927 fusion Effects 0.000 description 1
- LCWMKIHBLJLORW-UHFFFAOYSA-N gamma-carene Chemical class C1CC(=C)CC2C(C)(C)C21 LCWMKIHBLJLORW-UHFFFAOYSA-N 0.000 description 1
- 239000008246 gaseous mixture Substances 0.000 description 1
- 229920000578 graft copolymer Polymers 0.000 description 1
- 125000001188 haloalkyl group Chemical group 0.000 description 1
- NEXSMEBSBIABKL-UHFFFAOYSA-N hexamethyldisilane Chemical compound C[Si](C)(C)[Si](C)(C)C NEXSMEBSBIABKL-UHFFFAOYSA-N 0.000 description 1
- 238000002017 high-resolution X-ray diffraction Methods 0.000 description 1
- 150000004678 hydrides Chemical class 0.000 description 1
- 230000002209 hydrophobic effect Effects 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 238000010348 incorporation Methods 0.000 description 1
- QRXWMOHMRWLFEY-UHFFFAOYSA-N isoniazide Chemical compound NNC(=O)C1=CC=NC=C1 QRXWMOHMRWLFEY-UHFFFAOYSA-N 0.000 description 1
- 229940087305 limonene Drugs 0.000 description 1
- 235000001510 limonene Nutrition 0.000 description 1
- ORUIBWPALBXDOA-UHFFFAOYSA-L magnesium fluoride Chemical compound [F-].[F-].[Mg+2] ORUIBWPALBXDOA-UHFFFAOYSA-L 0.000 description 1
- 229910001635 magnesium fluoride Inorganic materials 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- XHYDSQBIDFZOGB-UHFFFAOYSA-N methyl-[methyl(phenyl)silyl]-phenylsilane Chemical compound C=1C=CC=CC=1[SiH](C)[SiH](C)C1=CC=CC=C1 XHYDSQBIDFZOGB-UHFFFAOYSA-N 0.000 description 1
- 230000011987 methylation Effects 0.000 description 1
- 238000007069 methylation reaction Methods 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 229920006030 multiblock copolymer Polymers 0.000 description 1
- 235000013842 nitrous oxide Nutrition 0.000 description 1
- SJYNFBVQFBRSIB-UHFFFAOYSA-N norbornadiene Chemical compound C1=CC2C=CC1C2 SJYNFBVQFBRSIB-UHFFFAOYSA-N 0.000 description 1
- CXQXSVUQTKDNFP-UHFFFAOYSA-N octamethyltrisiloxane Chemical compound C[Si](C)(C)O[Si](C)(C)O[Si](C)(C)C CXQXSVUQTKDNFP-UHFFFAOYSA-N 0.000 description 1
- 150000002894 organic compounds Chemical class 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- 238000004806 packaging method and process Methods 0.000 description 1
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 1
- QFXXGTZVYQQGFZ-UHFFFAOYSA-N phenyl(phenylsilyl)silane Chemical compound C=1C=CC=CC=1[SiH2][SiH2]C1=CC=CC=C1 QFXXGTZVYQQGFZ-UHFFFAOYSA-N 0.000 description 1
- 125000000843 phenylene group Chemical group C1(=C(C=CC=C1)*)* 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 238000000016 photochemical curing Methods 0.000 description 1
- 239000003504 photosensitizing agent Substances 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 239000004033 plastic Substances 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 229920001296 polysiloxane Polymers 0.000 description 1
- 230000001737 promoting effect Effects 0.000 description 1
- 125000004368 propenyl group Chemical group C(=CC)* 0.000 description 1
- GRWFGVWFFZKLTI-UHFFFAOYSA-N rac-alpha-Pinene Chemical class CC1=CCC2C(C)(C)C1C2 GRWFGVWFFZKLTI-UHFFFAOYSA-N 0.000 description 1
- 239000002516 radical scavenger Substances 0.000 description 1
- 239000011541 reaction mixture Substances 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 238000007634 remodeling Methods 0.000 description 1
- 150000003839 salts Chemical class 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 150000003376 silicon Chemical class 0.000 description 1
- 239000002904 solvent Substances 0.000 description 1
- 238000000391 spectroscopic ellipsometry Methods 0.000 description 1
- 230000006641 stabilisation Effects 0.000 description 1
- 238000011105 stabilization Methods 0.000 description 1
- 238000010561 standard procedure Methods 0.000 description 1
- 125000000547 substituted alkyl group Chemical group 0.000 description 1
- 125000003107 substituted aryl group Chemical group 0.000 description 1
- 239000013589 supplement Substances 0.000 description 1
- UFHILTCGAOPTOV-UHFFFAOYSA-N tetrakis(ethenyl)silane Chemical compound C=C[Si](C=C)(C=C)C=C UFHILTCGAOPTOV-UHFFFAOYSA-N 0.000 description 1
- 125000003944 tolyl group Chemical group 0.000 description 1
- 229920000428 triblock copolymer Polymers 0.000 description 1
- XVYIJOWQJOQFBG-UHFFFAOYSA-N triethoxy(fluoro)silane Chemical compound CCO[Si](F)(OCC)OCC XVYIJOWQJOQFBG-UHFFFAOYSA-N 0.000 description 1
- BHOCBLDBJFCBQS-UHFFFAOYSA-N trifluoro(methyl)silane Chemical compound C[Si](F)(F)F BHOCBLDBJFCBQS-UHFFFAOYSA-N 0.000 description 1
- JGWFUSVYECJQDT-UHFFFAOYSA-N trimethyl(2-trimethylsilyloxyethoxy)silane Chemical compound C[Si](C)(C)OCCO[Si](C)(C)C JGWFUSVYECJQDT-UHFFFAOYSA-N 0.000 description 1
- WOBRFSDEZREQAB-UHFFFAOYSA-N trimethyl-(2-trimethylsilyloxycyclobuten-1-yl)oxysilane Chemical compound C[Si](C)(C)OC1=C(O[Si](C)(C)C)CC1 WOBRFSDEZREQAB-UHFFFAOYSA-N 0.000 description 1
- QHUNJMXHQHHWQP-UHFFFAOYSA-N trimethylsilyl acetate Chemical compound CC(=O)O[Si](C)(C)C QHUNJMXHQHHWQP-UHFFFAOYSA-N 0.000 description 1
- CWMFRHBXRUITQE-UHFFFAOYSA-N trimethylsilylacetylene Chemical group C[Si](C)(C)C#C CWMFRHBXRUITQE-UHFFFAOYSA-N 0.000 description 1
- 125000004417 unsaturated alkyl group Chemical group 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
- 230000004580 weight loss Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- E—FIXED CONSTRUCTIONS
- E21—EARTH OR ROCK DRILLING; MINING
- E21B—EARTH OR ROCK DRILLING; OBTAINING OIL, GAS, WATER, SOLUBLE OR MELTABLE MATERIALS OR A SLURRY OF MINERALS FROM WELLS
- E21B4/00—Drives for drilling, used in the borehole
- E21B4/06—Down-hole impacting means, e.g. hammers
- E21B4/14—Fluid operated hammers
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/56—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02203—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02214—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
- H01L21/02216—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02345—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
- H01L21/02348—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
-
- E—FIXED CONSTRUCTIONS
- E21—EARTH OR ROCK DRILLING; MINING
- E21B—EARTH OR ROCK DRILLING; OBTAINING OIL, GAS, WATER, SOLUBLE OR MELTABLE MATERIALS OR A SLURRY OF MINERALS FROM WELLS
- E21B33/00—Sealing or packing boreholes or wells
- E21B33/10—Sealing or packing boreholes or wells in the borehole
- E21B33/13—Methods or devices for cementing, for plugging holes, crevices or the like
- E21B33/138—Plastering the borehole wall; Injecting into the formation
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Mechanical Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Mining & Mineral Resources (AREA)
- Life Sciences & Earth Sciences (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Geology (AREA)
- Materials Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Fluid Mechanics (AREA)
- Environmental & Geological Engineering (AREA)
- Plasma & Fusion (AREA)
- General Life Sciences & Earth Sciences (AREA)
- Geochemistry & Mineralogy (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Inorganic Chemistry (AREA)
- Formation Of Insulating Films (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
【解決手段】 構造形成剤前駆体を含んで成る少なくとも1つの化学反応体を化学気相成長させることによって、基材の少なくとも一部の上に有機ケイ酸塩膜を堆積させ、第1材料硬度及び第1弾性率を有する該有機ケイ酸塩膜を提供すること、並びに
該有機ケイ酸塩膜を非酸化性雰囲気中で紫外線源にさらして、第2材料硬度及び第2弾性率を有する有機ケイ酸塩膜を提供することを含んで成り、該第2材料硬度及び該第2弾性率が、該第1材料硬度及び該第1弾性率よりも少なくとも10%高い、有機ケイ酸塩膜の材料硬度及び弾性率を改善するための方法により、材料硬度及び弾性率を改善した誘電体材料を得る。
【選択図】 なし
Description
[ジエトキシメチルシラン(DEMS)及びトリエトキシシラン(TES)を用いた高密度OSG膜の形成]
500sccmの流量でキャリヤーガスとしてCO2を用いた、構造形成剤前駆体DEMS(773mg/分)及びTES(773mg/分)のプラズマ化学気相成長(PECVD)によって、シリコンウェハ上に有機ケイ酸塩ガラス膜を形成した。堆積は、6torr、プラズマ電力600W、及び上部電極とシリコンウェハ基材の間の間隔400ミリインチ(ミル)で実施した。堆積中のウェハ温度は300℃に維持した。膜の堆積速度は540nm/分であった。
[1,3−ジメチル−1,3−ジエトキシ−ジシロキサン(MEDS)を用いた高密度OSG膜の形成]
構造形成剤前駆体MEDSを700mg/分と、キャリヤーガスとしてのCO2を250sccm流量とのPECVDによって、シリコンウェハ上に有機ケイ酸塩ガラス膜を形成した。堆積は、6torr、プラズマ電力600W、及び間隔350ミルで実施した。堆積中のウェハ温度は250℃に維持した。膜の堆積速度は1330nm/分であった。
[トリメチルシラン(3MS)を用いた高密度OSG膜の形成]
構造形成剤前駆体3MSを540sccmと、酸素流量を90sccmのPECVDによって、シリコンウェハ上に有機ケイ酸塩ガラス膜を形成した。堆積は、4torr、プラズマ電力600W、及び間隔260ミルで実施した。堆積中のウェハ温度は350℃に維持した。膜の堆積速度は815nm/分であった。
[ジメチルジメトキシシラン(DMDMOS)を用いた高密度OSG膜の形成]
ヘリウムのキャリヤーガス200sccmを用いて構造形成剤前駆体DMDMOSを1250mg/分と、添加剤としてO2を15sccmとのPECVDによって、シリコンウェハ上に有機ケイ酸塩ガラス膜を形成した。堆積は、12torr、プラズマ電力300W、及び間隔300ミルで実施した。堆積中のウェハ温度は350℃に維持した。膜の堆積速度は110nm/分であった。
[ジメチルジメトキシシラン(DMDMOS)を用いた高密度OSG膜の形成]
ヘリウムのキャリヤーガス200sccmを用いた構造形成剤前駆体DMDMOS750mg/分のPECVDによって、シリコンウェハ上に有機ケイ酸塩ガラス膜を形成した。堆積は、12torr、プラズマ電力500W、及び間隔300ミルで実施した。堆積中のウェハ温度は350℃に維持した。膜の堆積速度は135nm/分であった。
[高密度DEMS OSG膜に関する堆積温度の効果]
構造形成剤DEMS1500mg/分と、キャリヤーガスとしてヘリウム150sccmと、添加剤としてCO2250sccmとを用いて、PECVDによりシリコンウェハ上に例示的なOSG膜を形成した。堆積は、6torr、プラズマ電力500W、及び間隔300ミルで実施した。堆積中のウェハ温度は150〜425℃まで変化させた。堆積されたままの膜の一部は、375℃、400℃、及び425℃で4時間、窒素雰囲気下で熱アニールした。他の堆積されたままの膜は、真空雰囲気中で15分間UV光にさらした。さらに他の堆積されたままの膜は、375℃又は400℃の何れかでそれぞれ4時間、窒素雰囲気下で熱アニールして、次いで、真空雰囲気中で15分間UV光にさらした。
[さまざまな基材温度での1,3,5,7−テトラメチルシクロテトラシロキサン(TMCTS)を用いた高密度OSG膜の形成]
ヘリウムのキャリヤーガス500sccmを用いた構造形成剤前駆体TMCTS750mg/分のPECVDによって、シリコンウェハ上に有機ケイ酸塩ガラス膜を形成した。堆積は、6torr、プラズマ電力300W、及び間隔320ミルで実施した。堆積中のウェハ温度は350℃又は425℃に維持した。膜の堆積速度は350℃で990nm/分、425℃で710nm/分であった。
[さまざまな基材温度での1−ネオヘキシル−1,3,5,7−テトラメチル−シクロテトラシロキサン(NH−TMCTS)を用いた多孔質OSG膜の形成]
キャリヤーガスとしてCO2を流量200sccmで用いた構造/細孔形成剤前駆体NH−TMCTS500mg/分のPECVDによって、シリコンウェハ上に有機ケイ酸塩ガラス膜を形成した。堆積は、8torr、プラズマ電力300W、及び間隔300ミルで実施した。堆積中のウェハ温度は、280℃(例9a、9b及び9c)又は350℃(例10a、10b及び10c)の何れかに維持した。膜の堆積速度は、280℃で堆積した膜について625nm/分、350℃で堆積した膜について420nm/分であった。
[ネオヘキシル−ジエトキシメチルシラン(NH−DEMS)を用いた多孔質OSG膜の形成]
ヘリウムのキャリヤーガス150sccmを用いた構造/細孔形成剤前駆体NH−DEMS500mg/分のPECVDによって、シリコンウェハ上に有機ケイ酸塩ガラス膜を形成した。堆積は、10torr、プラズマ電力400W、及び間隔300ミルで実施した。堆積中のウェハ温度は250℃に維持した。膜の堆積速度は200nm/分であった。
[ネオヘキシル−ジエトキシメチルシラン(NH−DEMS)を用いた多孔質OSG膜の形成]
ヘリウムのキャリヤーガス150sccmを用いた構造/細孔形成剤前駆体NH−DEMS500mg/分のPECVDによって、シリコンウェハ上に有機ケイ酸塩ガラス膜を形成した。堆積は、8torr、プラズマ電力500W、及び間隔400ミルで実施した。堆積中のウェハ温度は250℃に維持した。膜の堆積速度は240nm/分であった。
[ジエトキシメチルシラン(DEMS)、トリエトキシシラン(TES)及びα−テルピネン(ATRP)を用いた多孔質OSG膜の形成]
構造形成剤前駆体DEMS及びTESの50/50混合物210mg/分、細孔形成剤ATRP490mg/分、CO2200sccm、並びにO225sccmのPECVDによって、シリコンウェハ上に有機ケイ酸塩ガラス膜を形成した。堆積は、8torr、プラズマ電力600W、及び間隔350ミルで実施した。堆積中のウェハ温度は300℃に維持した。膜の堆積速度は275nm/分であった。
[構造形成剤1,3−ジメチル−1,3−ジエトキシ−ジシロキサン(MEDS)及び細孔形成剤α−テルピネン(ATRP)を用いた多孔質OSG膜の堆積]
CO2のキャリヤーガス250sccmを用いた構造形成剤前駆体MEDS400mg/分、細孔形成剤前駆体ATRP600mg/分のPECVDによって、シリコンウェハ上に有機ケイ酸塩ガラス膜を形成した。堆積は、8torr、プラズマ電力600W、及び間隔350ミルで実施した。堆積中のウェハ温度は300℃に維持した。膜の堆積速度は280nm/分であった。
[ジエトキシメチルシラン(DEMS)及びα−テルピネン(ATRP)を用いた多孔質OSG膜の堆積]
CO2のキャリヤーガス200sccm及び酸素添加剤25sccmを用いた、構造形成剤前駆体DEMS210mg/分、細孔形成剤前駆体ATRP490mg/分のPE−CVDによって、シリコンウェハ上に有機ケイ酸塩ガラス膜を形成した。堆積は、8torr、プラズマ電力750W、及び間隔350ミルで実施した。堆積中のウェハ温度は300℃に維持した。膜の堆積速度は460nm/分であった。
[UV照射前後の熱処理の効果]
多孔質DEMSに基づいたOSG膜をPE−CVDによって堆積し、続いて425℃で熱アニール及び/又はUV照射した。前駆体DEMS(210mg/分)、TRP(490mg/分)、酸素添加剤(25sccm)、及びCO2キャリヤーガス(200sccm)を堆積チャンバーに導入し、プラズマ電力600W、間隔350ミル、及びチャンバー圧力8torrで堆積させた。ウェハ温度は300℃であった。堆積速度は240nm/分であった。堆積されたままの膜(例16a)、熱アニールされた膜(例16b)、熱アニールされ、次いでUV照射された膜(例16c)、及びUV照射された膜(例16d)の膜特性を表9に与える。
[ジエトキシメチルシラン(DEMS)及びα−テルピネン(ATRP)を用いた多孔質OSG膜の堆積]
CO2のキャリヤーガス200sccm及び酸素添加剤25sccmを用いた、構造形成剤前駆体DEMS210mg/分、細孔形成剤前駆体ATRP490mg/分のPE−CVDによって、シリコンウェハ上に例示的な多孔質OSG膜を形成した。堆積は、8torr、プラズマ電力750W、間隔350ミル、及び液体流量675mg/分で実施した。堆積中のウェハ温度は300℃に維持した。膜の堆積速度は460nm/分であった。
[OSG膜の特性に関するUV照射中の雰囲気の効果]
先行技術(US2003/0054115−A1)では、酸素雰囲気下でのUV照射が、多孔質HSQ及びMSQ膜の機械的強度を向上させるのにより効果的である例を提供している。さらに、UV照射が酸素下で実施される場合には、誘電率に関する負の効果は極わずかであった。このことは、DEMS及びDEMS+ATRPから堆積される高密度及び多孔質OSG膜に関する試験とかなり異なる。
[DEMS/ATRP OSG膜の組成の均一性]
CO2のキャリヤーガス200sccm及び酸素25sccmを用いた、構造形成剤前駆体DEMS210mg/分、細孔形成剤前駆体ATRP490mg/分のPE−CVDによって、シリコンウェハ上に例示的な多孔質OSG膜を形成した。堆積は、8torr、プラズマ電力750W、間隔350ミル、及び液体流量675mg/分で実施した。堆積中のウェハ温度は300℃に維持した。膜の堆積速度は460nm/分であった。
[オクタメチルシクロテトラシロキサン(OMCTS)膜の堆積]
オクタメチルシクロテトラシロキサン(OMCTS)のプラズマ化学気相成長(PE−CVD)から堆積したOSG膜をさまざまな時間でUV光にさらした。UV処理前の膜の誘電率は公称で3.0であった。UV照射後の膜のモジュラス及び硬度における変化を表15に与える。そのデータは、PE−CVDにより堆積されたOMCTS膜をUV照射することによって、堆積されたままの膜のものと比べて、UV光で照射及び処理した後、その材料硬度が83%改善されていることを示す。
100…膜
110…構造形成剤材料
120…細孔形成剤材料
130…紫外光
140…多孔質OSG膜
Claims (37)
- 構造形成剤前駆体を含んで成る少なくとも1つの化学反応体を化学気相成長させることによって、基材の少なくとも一部の上に有機ケイ酸塩膜を堆積させ、第1材料硬度及び第1弾性率を有する該有機ケイ酸塩膜を提供すること、並びに
該有機ケイ酸塩膜を非酸化性雰囲気中で紫外線源にさらして、第2材料硬度及び第2弾性率を有する有機ケイ酸塩膜を提供することを含んで成り、該第2材料硬度及び該第2弾性率が、該第1材料硬度及び該第1弾性率よりも少なくとも10%高い、有機ケイ酸塩膜の材料硬度及び弾性率を改善するための方法。 - 前記有機ケイ酸塩膜を少なくとも1つのエネルギー源で処理することをさらに含んで成る、請求項1に記載の方法。
- 前記処理工程が前記照射工程の少なくとも一部の間に行われる、請求項2に記載の方法。
- 前記少なくとも1つのエネルギー源が、前記有機ケイ酸塩を25〜450℃の温度に加熱する、請求項2に記載の方法。
- 前記堆積工程中の前記有機ケイ酸塩膜の温度が25〜450℃である、請求項1に記載の方法。
- 前記堆積工程中の前記有機ケイ酸塩膜の温度が250〜450℃である、請求項5に記載の方法。
- 前記堆積工程が、熱化学気相成長、プラズマ化学気相成長、低温化学気相成長、化学アシスト気相成長、熱フィラメント化学気相成長、光開始化学気相成長、及びそれらの組み合せから成る群より選択された1つ又は複数の方法を含む、請求項1に記載の方法。
- 形成工程がプラズマ化学気相成長である、請求項7に記載の方法。
- 前記紫外光が約400nm未満の1つ又は複数の波長を有する、請求項1に記載の方法。
- 前記紫外光が約300nm未満の1つ又は複数の波長を有する、請求項1に記載の方法。
- 前記紫外光が約200nm未満の1つ又は複数の波長を有する、請求項1に記載の方法。
- 前記非酸化性雰囲気が、窒素、水素、一酸化炭素、二酸化炭素、ヘリウム、アルゴン、ネオン、クリプトン、キセノン、ラドン及びそれらの組み合せから成る群より選択された少なくとも1つのガスを含有する、請求項1に記載の方法。
- 前記非酸化性雰囲気が真空を含んで成る、請求項1に記載の方法。
- 圧力が0.005mtorr〜5000torrである、請求項13に記載の方法。
- 前記少なくとも1つの化学反応体が、細孔形成剤前駆体をさらに含んで成る、請求項1に記載の方法。
- 前記照射工程後の前記有機ケイ酸塩膜の誘電率が、該照射工程前の該有機ケイ酸塩膜の誘電率よりも少なくとも5%低い、請求項15に記載の方法。
- 請求項1の方法によって調製された有機ケイ酸塩膜。
- 約10%以下の組成の不均一性を有する、請求項17に記載の有機ケイ酸塩膜。
- 化学式SivOwCxHyFzによって表され、式中、v+w+x+y+z=100%、vが10〜35原子%、wが10〜65原子%、xが5〜30原子%、yが10〜50原子%、及びzが0〜15原子%である、化学気相成長によって堆積される多孔質有機ケイ酸塩膜の材料硬度及び弾性率を改善するための方法であって、
真空チャンバー内に基材を提供すること;
有機シラン及び有機シロキサンから成る群より選択された構造形成剤前駆体と、細孔形成剤前駆体とを含んで成る少なくとも1つの化学反応体を該真空チャンバーに導入すること;
該真空チャンバー中の該少なくとも1つの化学反応体にエネルギーを加えて該反応体の反応を引き起こし、該基材の少なくとも一部の上に細孔形成剤材料と構造形成剤材料から構成される複合体膜を堆積させること;並びに
該複合体膜を非酸化性雰囲気中で紫外光源にさらして、多孔質有機ケイ酸塩膜を提供することを含んで成り、該照射工程後の該多孔質有機ケイ酸塩膜の材料硬度及び弾性率が、該照射工程前の該複合体膜の材料硬度及び弾性率よりも高く、かつ該多孔質有機ケイ酸塩材料が実質的にSi−OH結合のない、化学気相成長によって堆積される多孔質有機ケイ酸塩膜の材料硬度及び弾性率を改善するための方法。 - 前記多孔質有機ケイ酸塩膜を加熱することをさらに含んで成り、該加熱工程が前記照射工程の前に実施される、請求項19に記載の方法。
- 前記有機シランが、メチルシラン、ジメチルシラン、トリメチルシラン、テトラメチルシラン、フェニルシラン、メチルフェニルシラン、シクロヘキシルシラン、tert−ブチルシラン、エチルシラン、ジエチルシラン、テトラエトキシシラン、ジメチルジエトキシシラン、ジメチルジメトキシシラン、ジメチルエトキシシラン、メチルジエトキシシラン、トリエトキシシラン、メチルトリエトキシシラン、トリメチルフェノキシシラン、フェノキシシラン、ジ−tert−ブチルシラン、ジエトキシシラン、ジアセトキシメチルシラン、メチルトリエトキシシラン、ジ−tert−ブチルシラン、及びそれらの組み合せから成る群のうちの少なくとも1つである、請求項19に記載の方法。
- 前記有機シロキサンが、1,3,5,7−テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン、ヘキサメチルシクロトリシロキサン、ヘキサメチルジシロキサン、1,1,2,2−テトラメチルジシロキサン、オクタメチルトリシロキサン、及びそれらの組み合せから成る群のうちの少なくとも1つである、請求項19に記載の方法。
- 前記細孔形成剤前駆体が、1,2,4−トリメチルシクロヘキサン、1,5−ジメチル−1,5−シクロオクタジエン、カンフェン、アダマンタン、1,3−ブタジエン、置換ジエン、γ−テルピネン、α−ピネン、β−ピネン、デカヒドロナフタレン、及びそれらの組み合せから成る群のうちの少なくとも1つである、請求項19に記載の方法。
- 前記細孔形成剤前駆体及び前記構造形成剤前駆体が同じ化合物である、請求項19に記載の方法。
- 前記化合物が、1−ネオヘキシル−1,3,5,7−テトラメチル−シクロテトラシロキサン、ジネオヘキシル−ジエトキシシラン、1,4−ビス(ジエトキシシリル)シクロヘキサン、及びそれらの組み合せから成る群のうちの少なくとも1つである、請求項24に記載の方法。
- 前記基材が、前記照射工程の少なくとも一部の間に加熱される、請求項19に記載の方法。
- 前記エネルギーを加える工程が、約250℃以上の温度で実施される、請求項19に記載の方法。
- 請求項19の方法によって調製された有機ケイ酸塩膜。
- 約10%以下の組成の不均一性を有する、請求項28に記載の有機ケイ酸塩膜。
- 有機シラン及び有機シロキサンから成る群より選択された少なくとも1つの構造形成剤前駆体と、細孔形成剤前駆体とを含んで成り、少なくとも1つの前駆体及び/又は有機ケイ酸塩膜が200〜400nmの波長範囲に吸光度を示す、3.5以下の誘電率を有する有機ケイ酸塩膜を堆積させるための混合物。
- 有機ケイ酸塩膜を堆積させるための混合物であって、有機シラン及び有機シロキサンから成る群より選択された5〜95wt%の構造形成剤前駆体と、5〜95wt%の細孔形成剤前駆体とを含んで成り、該前駆体の少なくとも1つ及び/又は有機ケイ酸塩膜が200〜400nmの波長範囲に吸光度を示す、有機ケイ酸塩膜を堆積させるための混合物。
- 有機ケイ酸塩膜が、第1誘電率、第1硬度、及び第1弾性率を有する、構造形成剤材料及び細孔形成剤材料を含んで成る複合体膜を基材の少なくとも一部の上に形成すること;並びに
該膜を非酸化性雰囲気中で少なくとも1つの紫外光源にさらして、その中に含まれる該細孔形成剤材料の少なくとも一部を除去し、第2誘電率、第2硬度、及び第2弾性率を有し、かつ該第2誘電率が該第1誘電率よりも少なくとも5%低く、該第2硬度が該第1硬度よりも少なくとも10%高く、該第2弾性率が該第1弾性率よりも少なくとも10%高い多孔質有機ケイ酸塩膜を提供することを含んで成る、2.7以下の誘電率を有する多孔質有機ケイ酸塩膜を調製するための方法。 - 前記形成工程が約250℃以上の温度で実施される、請求項32に記載の方法。
- 前記有機ケイ酸塩膜が、化学式SivOwCxHyFzによって表され、式中、v+w+x+y+z=100%、vが10〜35原子%、wが10〜65原子%、xが5〜30原子%、yが10〜50原子%、及びzが0〜15原子%である、請求項32に記載の方法。
- 前記有機ケイ酸塩膜が、ケイ素−炭素結合、ケイ素−酸素結合、ケイ素−水素結合、及び炭素−水素結合から成る群より選択された1つ又は複数の結合タイプを有する、請求項32に記載の方法。
- 前記有機ケイ酸塩膜が、約10%以下の組成の不均一性を有する、請求項32に記載の方法。
- 請求項32の方法によって調製された有機ケイ酸塩膜。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/379,466 US7098149B2 (en) | 2003-03-04 | 2003-03-04 | Mechanical enhancement of dense and porous organosilicate materials by UV exposure |
US10/624,357 US7468290B2 (en) | 2003-03-04 | 2003-07-21 | Mechanical enhancement of dense and porous organosilicate materials by UV exposure |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2008202204A Division JP4913782B2 (ja) | 2003-03-04 | 2008-08-05 | Uv照射による高密度及び多孔質有機ケイ酸塩材料の機械的強化 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2004274052A true JP2004274052A (ja) | 2004-09-30 |
JP2004274052A5 JP2004274052A5 (ja) | 2007-07-12 |
Family
ID=32775665
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2004059560A Withdrawn JP2004274052A (ja) | 2003-03-04 | 2004-03-03 | Uv照射による高密度及び多孔質有機ケイ酸塩材料の機械的強化 |
Country Status (5)
Country | Link |
---|---|
EP (2) | EP3231892B1 (ja) |
JP (1) | JP2004274052A (ja) |
KR (1) | KR100637093B1 (ja) |
CN (1) | CN100543947C (ja) |
TW (1) | TWI240959B (ja) |
Cited By (32)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2006041052A (ja) * | 2004-07-23 | 2006-02-09 | Jsr Corp | 半導体装置の絶縁膜形成用組成物、シリカ系膜およびその形成方法、ならびに配線構造体および半導体装置 |
JP2006190872A (ja) * | 2005-01-07 | 2006-07-20 | Fujitsu Ltd | 半導体装置の製造方法 |
JP2006265350A (ja) * | 2005-03-23 | 2006-10-05 | Ulvac Japan Ltd | 多孔質膜の前駆体組成物及びその調製方法、多孔質膜及びその作製方法、並びに半導体装置 |
JP2006528426A (ja) * | 2003-07-21 | 2006-12-14 | アクセリス テクノロジーズ インコーポレーテッド | 最新のLow−k材料のための紫外線硬化法 |
WO2007032563A1 (ja) * | 2005-09-16 | 2007-03-22 | Nec Corporation | 配線構造並びに半導体装置及びその製造方法 |
JP2007194639A (ja) * | 2006-01-20 | 2007-08-02 | Internatl Business Mach Corp <Ibm> | SiCOH誘電体およびその製造方法 |
JP2007250706A (ja) * | 2006-03-15 | 2007-09-27 | Sony Corp | 半導体装置の製造方法 |
JP2008010877A (ja) * | 2006-06-27 | 2008-01-17 | Air Products & Chemicals Inc | 還元性雰囲気下における絶縁膜の硬化 |
JP2008078621A (ja) * | 2006-08-21 | 2008-04-03 | Fujitsu Ltd | 絶縁膜、多層配線装置の製造方法および多層配線装置 |
JP2008103586A (ja) * | 2006-10-20 | 2008-05-01 | Renesas Technology Corp | 半導体装置の製造方法および半導体装置 |
JP2008520100A (ja) * | 2004-11-12 | 2008-06-12 | アクセリス テクノロジーズ インコーポレーテッド | 多孔性低k誘電体フィルムの紫外線に補助された細孔シーリング |
JP2008527757A (ja) * | 2005-01-13 | 2008-07-24 | インターナショナル・ビジネス・マシーンズ・コーポレーション | 制御された二軸応力を有する超低誘電率膜および該作製方法 |
JP2008186849A (ja) * | 2007-01-26 | 2008-08-14 | Toshiba Corp | 半導体装置の製造方法 |
JP2008193038A (ja) * | 2007-02-07 | 2008-08-21 | United Microelectronics Corp | 多孔質低誘電率層の製造方法及び構造、相互接続処理方法及び相互接続構造 |
JP2008251774A (ja) * | 2007-03-30 | 2008-10-16 | Mitsui Chemicals Inc | 多孔質シリカフィルムの製造方法 |
JP2008544484A (ja) * | 2005-06-09 | 2008-12-04 | アクセリス テクノロジーズ インコーポレーテッド | プリメタルおよび/またはシャロートレンチアイソレーションに用いられるスピン−オン誘電体材料のための紫外線硬化処理方法 |
JP2009117817A (ja) * | 2007-10-12 | 2009-05-28 | Air Products & Chemicals Inc | 反射防止膜 |
JP2009117743A (ja) * | 2007-11-09 | 2009-05-28 | Panasonic Corp | 半導体装置及びその製造方法 |
JP2009521679A (ja) * | 2005-12-21 | 2009-06-04 | スリーエム イノベイティブ プロパティズ カンパニー | プラズマ堆積微小多孔性の検体検出層 |
JP2009152402A (ja) * | 2007-12-20 | 2009-07-09 | Axcelis Technologies Inc | 多孔性の低kの誘電体を形成するために、紫外線を利用してポロゲンを除去及び/又はキュアするプロセス |
JP2009531491A (ja) * | 2006-03-31 | 2009-09-03 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | 新規な孔形成前駆体組成物及びそれから得られる多孔誘電層 |
JP2009539265A (ja) * | 2006-05-30 | 2009-11-12 | アプライド マテリアルズ インコーポレイテッド | ギャップ充填と共形のフィルムの適用のために低k膜を堆積させ硬化する方法 |
JP2009277686A (ja) * | 2008-05-12 | 2009-11-26 | Taiyo Nippon Sanso Corp | 絶縁膜の成膜方法および絶縁膜 |
JP2010141335A (ja) * | 2008-12-11 | 2010-06-24 | Air Products & Chemicals Inc | 有機シリケート材料からの炭素の除去方法 |
WO2010082250A1 (ja) * | 2009-01-13 | 2010-07-22 | パナソニック株式会社 | 半導体装置及びその製造方法 |
JP2011082540A (ja) * | 2003-03-18 | 2011-04-21 | Internatl Business Mach Corp <Ibm> | 多相超低k誘電 |
JP2011514678A (ja) * | 2008-03-06 | 2011-05-06 | 東京エレクトロン株式会社 | 有孔性低誘電率誘電膜の硬化方法 |
US8133821B2 (en) | 2008-11-18 | 2012-03-13 | Renesas Electronics Corporation | Method of manufacturing porous insulating film, method of manufacturing semiconductor device, and semiconductor device |
JP2012510726A (ja) * | 2008-12-01 | 2012-05-10 | エア プロダクツ アンド ケミカルズ インコーポレイテッド | 酸素含有前駆体を用いる誘電体バリアの堆積 |
JP2012522378A (ja) * | 2009-03-24 | 2012-09-20 | 東京エレクトロン株式会社 | 化学気相成長法 |
US8394457B2 (en) | 2006-06-02 | 2013-03-12 | Ulvac, Inc. | Precursor composition for porous thin film, method for preparation of the precursor composition, porous thin film, method for preparation of the porous thin film, and semiconductor device |
JP7568714B2 (ja) | 2019-08-16 | 2024-10-16 | バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー | ケイ素化合物、及びそのケイ素化合物を使用する膜を堆積するための方法 |
Families Citing this family (21)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050260420A1 (en) * | 2003-04-01 | 2005-11-24 | Collins Martha J | Low dielectric materials and methods for making same |
US7223670B2 (en) * | 2004-08-20 | 2007-05-29 | International Business Machines Corporation | DUV laser annealing and stabilization of SiCOH films |
EP1787319A4 (en) | 2004-08-31 | 2011-06-29 | Silecs Oy | NEW DIELECTRIC POLYORGANOSILOXANE MATERIALS |
US7332445B2 (en) * | 2004-09-28 | 2008-02-19 | Air Products And Chemicals, Inc. | Porous low dielectric constant compositions and methods for making and using same |
WO2006102926A1 (en) | 2005-03-31 | 2006-10-05 | Freescale Semiconductor, Inc. | Semiconductor wafer with low-k dielectric layer and process for fabrication thereof |
EP1941539A1 (en) * | 2005-06-03 | 2008-07-09 | Axcelis Technologies, Inc. | Ultraviolet curing process for low k dielectric films |
US7446058B2 (en) | 2006-05-25 | 2008-11-04 | International Business Machines Corporation | Adhesion enhancement for metal/dielectric interface |
US20090075491A1 (en) * | 2007-09-13 | 2009-03-19 | Tokyo Electron Limited | Method for curing a dielectric film |
FR2926397B1 (fr) | 2008-01-16 | 2010-02-12 | Commissariat Energie Atomique | Procede de fabrication de films dielectriques permeables |
CN101789418B (zh) * | 2010-03-11 | 2011-12-28 | 复旦大学 | 一种多孔超低介电常数材料薄膜及其制备方法 |
CN102412142A (zh) * | 2011-04-29 | 2012-04-11 | 上海华力微电子有限公司 | 一种超低介电常数薄膜及预防超低介电常数薄膜损伤的方法 |
US9054110B2 (en) | 2011-08-05 | 2015-06-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Low-K dielectric layer and porogen |
CN102709233A (zh) * | 2012-06-21 | 2012-10-03 | 上海华力微电子有限公司 | 铜双大马士革结构形成方法以及半导体器件制造方法 |
CN103794491B (zh) * | 2012-10-29 | 2019-05-24 | 中芯国际集成电路制造(上海)有限公司 | 一种低介电常数层的制作方法 |
CN104008997A (zh) * | 2014-06-04 | 2014-08-27 | 复旦大学 | 一种超低介电常数绝缘薄膜及其制备方法 |
CN105336725A (zh) * | 2014-07-23 | 2016-02-17 | 中芯国际集成电路制造(上海)有限公司 | 互连结构及其形成方法 |
CN105336677B (zh) * | 2014-08-01 | 2018-10-16 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
US20190134663A1 (en) * | 2017-10-27 | 2019-05-09 | Versum Materials Us, Llc | Silacyclic Compounds and Methods for Depositing Silicon-Containing Films Using Same |
US11164739B2 (en) * | 2018-02-08 | 2021-11-02 | Versum Materials Us, Llc | Use of silicon structure former with organic substituted hardening additive compounds for dense OSG films |
CN111137902B (zh) * | 2018-11-05 | 2022-06-07 | 清华大学 | H-Si-O体系材料、负极活性材料及其制备方法、电化学电池负极材料及电化学电池 |
DE102021101486A1 (de) * | 2020-03-30 | 2021-09-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Photoresistschicht-oberflächenbehandlung, abdeckschichtund herstellungsverfahren einer photoresiststruktur |
Citations (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS59124729A (ja) * | 1983-01-05 | 1984-07-18 | Nippon Telegr & Teleph Corp <Ntt> | 絶縁膜形成方法 |
JPH1140554A (ja) * | 1997-07-22 | 1999-02-12 | Fujitsu Ltd | 絶縁膜形成材料、並びにこれを用いた絶縁膜形成方法及び半導体装置 |
WO2001029052A1 (en) * | 1999-10-18 | 2001-04-26 | Alliedsignal Inc. | Deposition of films using organosilsesquioxane-precursors |
WO2001061737A1 (en) * | 2000-02-17 | 2001-08-23 | Electron Vision Corporation | Electron beam modification of cvd deposited films, forming low dielectric constant materials |
JP2002256434A (ja) * | 2001-01-17 | 2002-09-11 | Air Products & Chemicals Inc | 低誘電率層間絶縁膜の形成方法 |
JP2003007699A (ja) * | 2001-05-23 | 2003-01-10 | Air Products & Chemicals Inc | 低誘電率材料およびcvdによる処理方法 |
JP2004006822A (ja) * | 2002-04-17 | 2004-01-08 | Air Products & Chemicals Inc | ポロゲン、ポロゲン化された前駆体および低誘電率をもつ多孔質有機シリカガラス膜を得るためにそれらを使用する方法 |
JP2004515057A (ja) * | 2000-10-25 | 2004-05-20 | インターナショナル・ビジネス・マシーンズ・コーポレーション | 半導体素子のレベル内またはレベル間誘電体としての超低誘電率材料、その製造方法、およびそれを含む電子デバイス |
JP2004228581A (ja) * | 2003-01-24 | 2004-08-12 | Asm Japan Kk | 半導体基板上の絶縁膜及びその製造方法 |
JP2005503672A (ja) * | 2001-09-14 | 2005-02-03 | アクセリス テクノロジーズ, インコーポレイテッド | 多孔質低誘電率材料のプラズマ硬化法 |
JP2005503673A (ja) * | 2001-09-14 | 2005-02-03 | アクセリス テクノロジーズ インコーポレーテッド | 多孔性低誘電率材料のための紫外線硬化処理 |
JP2005524983A (ja) * | 2002-05-08 | 2005-08-18 | アプライド マテリアルズ インコーポレイテッド | 電子ビームによって低誘電率膜を硬化する方法 |
JP2006500769A (ja) * | 2002-09-20 | 2006-01-05 | ハネウェル・インターナショナル・インコーポレーテッド | 低k材料用の中間層接着促進剤 |
Family Cites Families (56)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS60177029A (ja) | 1984-02-21 | 1985-09-11 | Toray Silicone Co Ltd | オルガノポリシロキサン組成物の硬化方法 |
JPH0812847B2 (ja) * | 1991-04-22 | 1996-02-07 | 株式会社半導体プロセス研究所 | 半導体製造装置及び半導体装置の製造方法 |
US5387546A (en) * | 1992-06-22 | 1995-02-07 | Canon Sales Co., Inc. | Method for manufacturing a semiconductor device |
CN1052569C (zh) | 1992-08-27 | 2000-05-17 | 株式会社半导体能源研究所 | 制造半导体器件的方法 |
US5970384A (en) | 1994-08-11 | 1999-10-19 | Semiconductor Energy Laboratory Co., Ltd. | Methods of heat treating silicon oxide films by irradiating ultra-violet light |
MY113904A (en) | 1995-05-08 | 2002-06-29 | Electron Vision Corp | Method for curing spin-on-glass film utilizing electron beam radiation |
US6652922B1 (en) | 1995-06-15 | 2003-11-25 | Alliedsignal Inc. | Electron-beam processed films for microelectronics structures |
AU7338096A (en) | 1995-11-02 | 1997-05-22 | Shiseido Company Ltd. | Microorganism resistant to threonine analogue and production of biotin |
US5609925A (en) | 1995-12-04 | 1997-03-11 | Dow Corning Corporation | Curing hydrogen silsesquioxane resin with an electron beam |
JP2955986B2 (ja) | 1996-05-22 | 1999-10-04 | 日本電気株式会社 | 半導体光変調器及びその製造方法 |
US5935646A (en) | 1996-08-23 | 1999-08-10 | Gas Research Institute | Molecular sieving silica membrane fabrication process |
US6017806A (en) | 1997-07-28 | 2000-01-25 | Texas Instruments Incorporated | Method to enhance deuterium anneal/implant to reduce channel-hot carrier degradation |
US6020458A (en) | 1997-10-24 | 2000-02-01 | Quester Technology, Inc. | Precursors for making low dielectric constant materials with improved thermal stability |
US6042994A (en) | 1998-01-20 | 2000-03-28 | Alliedsignal Inc. | Nanoporous silica dielectric films modified by electron beam exposure and having low dielectric constant and low water content |
US6784123B2 (en) | 1998-02-05 | 2004-08-31 | Asm Japan K.K. | Insulation film on semiconductor substrate and method for forming same |
US6054379A (en) | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US6303523B2 (en) | 1998-02-11 | 2001-10-16 | Applied Materials, Inc. | Plasma processes for depositing low dielectric constant films |
US6284050B1 (en) | 1998-05-18 | 2001-09-04 | Novellus Systems, Inc. | UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition |
US6159871A (en) | 1998-05-29 | 2000-12-12 | Dow Corning Corporation | Method for producing hydrogenated silicon oxycarbide films having low dielectric constant |
US6054206A (en) | 1998-06-22 | 2000-04-25 | Novellus Systems, Inc. | Chemical vapor deposition of low density silicon dioxide films |
US6171945B1 (en) | 1998-10-22 | 2001-01-09 | Applied Materials, Inc. | CVD nanoporous silica low dielectric constant films |
US6231989B1 (en) | 1998-11-20 | 2001-05-15 | Dow Corning Corporation | Method of forming coatings |
JP3888794B2 (ja) * | 1999-01-27 | 2007-03-07 | 松下電器産業株式会社 | 多孔質膜の形成方法、配線構造体及びその形成方法 |
US6207555B1 (en) | 1999-03-17 | 2001-03-27 | Electron Vision Corporation | Electron beam process during dual damascene processing |
US6204202B1 (en) | 1999-04-14 | 2001-03-20 | Alliedsignal, Inc. | Low dielectric constant porous films |
US6204201B1 (en) | 1999-06-11 | 2001-03-20 | Electron Vision Corporation | Method of processing films prior to chemical vapor deposition using electron beam processing |
US6410151B1 (en) | 1999-09-29 | 2002-06-25 | Jsr Corporation | Composition for film formation, method of film formation, and insulating film |
US6592980B1 (en) | 1999-12-07 | 2003-07-15 | Air Products And Chemicals, Inc. | Mesoporous films having reduced dielectric constants |
US6541367B1 (en) | 2000-01-18 | 2003-04-01 | Applied Materials, Inc. | Very low dielectric constant plasma-enhanced CVD films |
US6475930B1 (en) | 2000-01-31 | 2002-11-05 | Motorola, Inc. | UV cure process and tool for low k film formation |
DE60138327D1 (de) | 2000-02-28 | 2009-05-28 | Jsr Corp | Zusammensetzung zur Filmerzeugung, Verfahren zur Filmerzeugung und Filme auf Basis von Siliciumoxid |
US6558755B2 (en) | 2000-03-20 | 2003-05-06 | Dow Corning Corporation | Plasma curing process for porous silica thin film |
US6759098B2 (en) | 2000-03-20 | 2004-07-06 | Axcelis Technologies, Inc. | Plasma curing of MSQ-based porous low-k film materials |
WO2001075957A1 (fr) | 2000-04-03 | 2001-10-11 | Ulvac, Inc. | Procede de preparation d'un film poreux sog |
JP3571004B2 (ja) | 2000-04-28 | 2004-09-29 | エルジー ケム インベストメント エルティーディー. | 半導体素子用超低誘電多孔性配線層間絶縁膜およびその製造方法ならびにそれを用いた半導体素子 |
US6495479B1 (en) | 2000-05-05 | 2002-12-17 | Honeywell International, Inc. | Simplified method to produce nanoporous silicon-based films |
US6271273B1 (en) | 2000-07-14 | 2001-08-07 | Shipley Company, L.L.C. | Porous materials |
US6566278B1 (en) | 2000-08-24 | 2003-05-20 | Applied Materials Inc. | Method for densification of CVD carbon-doped silicon oxide films through UV irradiation |
JP2004509468A (ja) * | 2000-09-13 | 2004-03-25 | シップレーカンパニー エル エル シー | 電子デバイスの製造 |
KR100382702B1 (ko) | 2000-09-18 | 2003-05-09 | 주식회사 엘지화학 | 유기실리케이트 중합체의 제조방법 |
TW588072B (en) * | 2000-10-10 | 2004-05-21 | Shipley Co Llc | Antireflective porogens |
US20020132496A1 (en) | 2001-02-12 | 2002-09-19 | Ball Ian J. | Ultra low-k dielectric materials |
JP4545973B2 (ja) | 2001-03-23 | 2010-09-15 | 富士通株式会社 | シリコン系組成物、低誘電率膜、半導体装置および低誘電率膜の製造方法 |
US6630406B2 (en) | 2001-05-14 | 2003-10-07 | Axcelis Technologies | Plasma ashing process |
US7074489B2 (en) | 2001-05-23 | 2006-07-11 | Air Products And Chemicals, Inc. | Low dielectric constant material and method of processing by CVD |
US7141188B2 (en) | 2001-05-30 | 2006-11-28 | Honeywell International Inc. | Organic compositions |
US20030064154A1 (en) * | 2001-08-06 | 2003-04-03 | Laxman Ravi K. | Low-K dielectric thin films and chemical vapor deposition method of making same |
US6846515B2 (en) | 2002-04-17 | 2005-01-25 | Air Products And Chemicals, Inc. | Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants |
US7404990B2 (en) | 2002-11-14 | 2008-07-29 | Air Products And Chemicals, Inc. | Non-thermal process for forming porous low dielectric constant films |
EP1420439B1 (en) * | 2002-11-14 | 2012-08-29 | Air Products And Chemicals, Inc. | Non-thermal process for forming porous low dielectric constant films |
US7041748B2 (en) | 2003-01-08 | 2006-05-09 | International Business Machines Corporation | Patternable low dielectric constant materials and their use in ULSI interconnection |
US7288292B2 (en) | 2003-03-18 | 2007-10-30 | International Business Machines Corporation | Ultra low k (ULK) SiCOH film and method |
US20040197474A1 (en) * | 2003-04-01 | 2004-10-07 | Vrtis Raymond Nicholas | Method for enhancing deposition rate of chemical vapor deposition films |
JP4344841B2 (ja) | 2003-05-30 | 2009-10-14 | 独立行政法人産業技術総合研究所 | 低誘電率絶縁膜の形成方法 |
KR100554157B1 (ko) | 2003-08-21 | 2006-02-22 | 학교법인 포항공과대학교 | 저유전 특성의 유기 실리케이트 고분자 복합체 |
US20050048795A1 (en) | 2003-08-27 | 2005-03-03 | Chung-Chi Ko | Method for ultra low-K dielectric deposition |
-
2004
- 2004-03-01 TW TW093105331A patent/TWI240959B/zh not_active IP Right Cessation
- 2004-03-02 EP EP17167460.9A patent/EP3231892B1/en not_active Expired - Lifetime
- 2004-03-02 EP EP04004877.9A patent/EP1457583B8/en not_active Expired - Lifetime
- 2004-03-03 JP JP2004059560A patent/JP2004274052A/ja not_active Withdrawn
- 2004-03-04 KR KR1020040014731A patent/KR100637093B1/ko active IP Right Grant
- 2004-03-04 CN CNB2004100326587A patent/CN100543947C/zh not_active Expired - Lifetime
Patent Citations (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS59124729A (ja) * | 1983-01-05 | 1984-07-18 | Nippon Telegr & Teleph Corp <Ntt> | 絶縁膜形成方法 |
JPH1140554A (ja) * | 1997-07-22 | 1999-02-12 | Fujitsu Ltd | 絶縁膜形成材料、並びにこれを用いた絶縁膜形成方法及び半導体装置 |
JP2003512383A (ja) * | 1999-10-18 | 2003-04-02 | アライドシグナル・インコーポレイテツド | オルガノセスキシロキサン前駆体を使用する膜の堆積 |
WO2001029052A1 (en) * | 1999-10-18 | 2001-04-26 | Alliedsignal Inc. | Deposition of films using organosilsesquioxane-precursors |
WO2001061737A1 (en) * | 2000-02-17 | 2001-08-23 | Electron Vision Corporation | Electron beam modification of cvd deposited films, forming low dielectric constant materials |
JP2003523624A (ja) * | 2000-02-17 | 2003-08-05 | エレクトロン ビジョン コーポレーション | Cvd蒸着膜の電子ビーム改質による低誘電率材料の形成 |
JP2004515057A (ja) * | 2000-10-25 | 2004-05-20 | インターナショナル・ビジネス・マシーンズ・コーポレーション | 半導体素子のレベル内またはレベル間誘電体としての超低誘電率材料、その製造方法、およびそれを含む電子デバイス |
JP2002256434A (ja) * | 2001-01-17 | 2002-09-11 | Air Products & Chemicals Inc | 低誘電率層間絶縁膜の形成方法 |
JP2003007699A (ja) * | 2001-05-23 | 2003-01-10 | Air Products & Chemicals Inc | 低誘電率材料およびcvdによる処理方法 |
JP2005503672A (ja) * | 2001-09-14 | 2005-02-03 | アクセリス テクノロジーズ, インコーポレイテッド | 多孔質低誘電率材料のプラズマ硬化法 |
JP2005503673A (ja) * | 2001-09-14 | 2005-02-03 | アクセリス テクノロジーズ インコーポレーテッド | 多孔性低誘電率材料のための紫外線硬化処理 |
JP2004006822A (ja) * | 2002-04-17 | 2004-01-08 | Air Products & Chemicals Inc | ポロゲン、ポロゲン化された前駆体および低誘電率をもつ多孔質有機シリカガラス膜を得るためにそれらを使用する方法 |
JP2005524983A (ja) * | 2002-05-08 | 2005-08-18 | アプライド マテリアルズ インコーポレイテッド | 電子ビームによって低誘電率膜を硬化する方法 |
JP2006500769A (ja) * | 2002-09-20 | 2006-01-05 | ハネウェル・インターナショナル・インコーポレーテッド | 低k材料用の中間層接着促進剤 |
JP2004228581A (ja) * | 2003-01-24 | 2004-08-12 | Asm Japan Kk | 半導体基板上の絶縁膜及びその製造方法 |
Cited By (45)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2011082540A (ja) * | 2003-03-18 | 2011-04-21 | Internatl Business Mach Corp <Ibm> | 多相超低k誘電 |
JP2012109589A (ja) * | 2003-03-18 | 2012-06-07 | Internatl Business Mach Corp <Ibm> | 多相超低誘電膜の形成方法 |
JP2006528426A (ja) * | 2003-07-21 | 2006-12-14 | アクセリス テクノロジーズ インコーポレーテッド | 最新のLow−k材料のための紫外線硬化法 |
JP2006041052A (ja) * | 2004-07-23 | 2006-02-09 | Jsr Corp | 半導体装置の絶縁膜形成用組成物、シリカ系膜およびその形成方法、ならびに配線構造体および半導体装置 |
JP2008520100A (ja) * | 2004-11-12 | 2008-06-12 | アクセリス テクノロジーズ インコーポレーテッド | 多孔性低k誘電体フィルムの紫外線に補助された細孔シーリング |
JP2006190872A (ja) * | 2005-01-07 | 2006-07-20 | Fujitsu Ltd | 半導体装置の製造方法 |
JP2008527757A (ja) * | 2005-01-13 | 2008-07-24 | インターナショナル・ビジネス・マシーンズ・コーポレーション | 制御された二軸応力を有する超低誘電率膜および該作製方法 |
JP2006265350A (ja) * | 2005-03-23 | 2006-10-05 | Ulvac Japan Ltd | 多孔質膜の前駆体組成物及びその調製方法、多孔質膜及びその作製方法、並びに半導体装置 |
JP2008544484A (ja) * | 2005-06-09 | 2008-12-04 | アクセリス テクノロジーズ インコーポレーテッド | プリメタルおよび/またはシャロートレンチアイソレーションに用いられるスピン−オン誘電体材料のための紫外線硬化処理方法 |
WO2007032563A1 (ja) * | 2005-09-16 | 2007-03-22 | Nec Corporation | 配線構造並びに半導体装置及びその製造方法 |
US8592283B2 (en) | 2005-09-16 | 2013-11-26 | Renesas Electronics Corporation | Wiring structure, semiconductor device and manufacturing method thereof |
US8039921B2 (en) | 2005-09-16 | 2011-10-18 | Nec Corporation | Wiring structure, semiconductor device and manufacturing method thereof |
KR101331710B1 (ko) | 2005-12-21 | 2013-11-20 | 쓰리엠 이노베이티브 프로퍼티즈 컴파니 | 플라즈마 증착된 미공성 분석물 검출 층 |
JP2009521679A (ja) * | 2005-12-21 | 2009-06-04 | スリーエム イノベイティブ プロパティズ カンパニー | プラズマ堆積微小多孔性の検体検出層 |
JP2007194639A (ja) * | 2006-01-20 | 2007-08-02 | Internatl Business Mach Corp <Ibm> | SiCOH誘電体およびその製造方法 |
US8759222B2 (en) | 2006-03-15 | 2014-06-24 | Sony Corporation | Method for fabrication of semiconductor device |
JP2007250706A (ja) * | 2006-03-15 | 2007-09-27 | Sony Corp | 半導体装置の製造方法 |
JP2009531491A (ja) * | 2006-03-31 | 2009-09-03 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | 新規な孔形成前駆体組成物及びそれから得られる多孔誘電層 |
JP2009539265A (ja) * | 2006-05-30 | 2009-11-12 | アプライド マテリアルズ インコーポレイテッド | ギャップ充填と共形のフィルムの適用のために低k膜を堆積させ硬化する方法 |
US8394457B2 (en) | 2006-06-02 | 2013-03-12 | Ulvac, Inc. | Precursor composition for porous thin film, method for preparation of the precursor composition, porous thin film, method for preparation of the porous thin film, and semiconductor device |
JP2008010877A (ja) * | 2006-06-27 | 2008-01-17 | Air Products & Chemicals Inc | 還元性雰囲気下における絶縁膜の硬化 |
JP2008078621A (ja) * | 2006-08-21 | 2008-04-03 | Fujitsu Ltd | 絶縁膜、多層配線装置の製造方法および多層配線装置 |
JP2008103586A (ja) * | 2006-10-20 | 2008-05-01 | Renesas Technology Corp | 半導体装置の製造方法および半導体装置 |
JP2008186849A (ja) * | 2007-01-26 | 2008-08-14 | Toshiba Corp | 半導体装置の製造方法 |
US8350246B2 (en) | 2007-02-07 | 2013-01-08 | United Microelectronics Corp. | Structure of porous low-k layer and interconnect structure |
JP2008193038A (ja) * | 2007-02-07 | 2008-08-21 | United Microelectronics Corp | 多孔質低誘電率層の製造方法及び構造、相互接続処理方法及び相互接続構造 |
JP2008251774A (ja) * | 2007-03-30 | 2008-10-16 | Mitsui Chemicals Inc | 多孔質シリカフィルムの製造方法 |
JP2009117817A (ja) * | 2007-10-12 | 2009-05-28 | Air Products & Chemicals Inc | 反射防止膜 |
JP2009117743A (ja) * | 2007-11-09 | 2009-05-28 | Panasonic Corp | 半導体装置及びその製造方法 |
JP2009152402A (ja) * | 2007-12-20 | 2009-07-09 | Axcelis Technologies Inc | 多孔性の低kの誘電体を形成するために、紫外線を利用してポロゲンを除去及び/又はキュアするプロセス |
KR101538531B1 (ko) * | 2008-03-06 | 2015-07-21 | 도쿄엘렉트론가부시키가이샤 | 다공성 저 유전 상수 유전체막의 경화 방법 |
JP2011514678A (ja) * | 2008-03-06 | 2011-05-06 | 東京エレクトロン株式会社 | 有孔性低誘電率誘電膜の硬化方法 |
JP2014007416A (ja) * | 2008-03-06 | 2014-01-16 | Tokyo Electron Ltd | 有孔性低誘電率誘電膜の硬化方法 |
JP2009277686A (ja) * | 2008-05-12 | 2009-11-26 | Taiyo Nippon Sanso Corp | 絶縁膜の成膜方法および絶縁膜 |
US8133821B2 (en) | 2008-11-18 | 2012-03-13 | Renesas Electronics Corporation | Method of manufacturing porous insulating film, method of manufacturing semiconductor device, and semiconductor device |
US8937023B2 (en) | 2008-11-18 | 2015-01-20 | Renesas Electronics Corporation | Method of manufacturing porous insulating film |
JP2012510726A (ja) * | 2008-12-01 | 2012-05-10 | エア プロダクツ アンド ケミカルズ インコーポレイテッド | 酸素含有前駆体を用いる誘電体バリアの堆積 |
JP2010141335A (ja) * | 2008-12-11 | 2010-06-24 | Air Products & Chemicals Inc | 有機シリケート材料からの炭素の除去方法 |
JP2013211592A (ja) * | 2008-12-11 | 2013-10-10 | Air Products & Chemicals Inc | 有機シリケート材料からの炭素の除去方法 |
KR20130100252A (ko) * | 2008-12-11 | 2013-09-10 | 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 | 다공성 유기실리케이트 막을 형성시키는 방법 |
JP2013062530A (ja) * | 2008-12-11 | 2013-04-04 | Air Products & Chemicals Inc | 有機シリケート材料からの炭素の除去方法 |
KR101603265B1 (ko) | 2008-12-11 | 2016-03-14 | 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 | 다공성 유기실리케이트 막을 형성시키는 방법 |
WO2010082250A1 (ja) * | 2009-01-13 | 2010-07-22 | パナソニック株式会社 | 半導体装置及びその製造方法 |
JP2012522378A (ja) * | 2009-03-24 | 2012-09-20 | 東京エレクトロン株式会社 | 化学気相成長法 |
JP7568714B2 (ja) | 2019-08-16 | 2024-10-16 | バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー | ケイ素化合物、及びそのケイ素化合物を使用する膜を堆積するための方法 |
Also Published As
Publication number | Publication date |
---|---|
EP3231892A1 (en) | 2017-10-18 |
EP3231892B1 (en) | 2020-08-05 |
EP1457583A2 (en) | 2004-09-15 |
KR20040078603A (ko) | 2004-09-10 |
CN100543947C (zh) | 2009-09-23 |
EP1457583B8 (en) | 2017-10-11 |
EP1457583B1 (en) | 2017-05-31 |
TWI240959B (en) | 2005-10-01 |
TW200428494A (en) | 2004-12-16 |
KR100637093B1 (ko) | 2006-10-23 |
CN1527366A (zh) | 2004-09-08 |
EP1457583A3 (en) | 2010-02-17 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4913782B2 (ja) | Uv照射による高密度及び多孔質有機ケイ酸塩材料の機械的強化 | |
EP1457583B1 (en) | Mechanical enhancement of dense and porous organosilicate materials by UV exposure | |
US7470454B2 (en) | Non-thermal process for forming porous low dielectric constant films | |
TWI241354B (en) | Method for enhancing deposition rate of chemical vapor deposition films | |
KR100907387B1 (ko) | 환원 분위기 하에서 유전 필름을 경화시키는 방법 | |
JP4216768B2 (ja) | 有機ケイ酸塩ガラス膜及びその作製方法並びに有機ケイ酸塩ガラス膜作製のための混合物 | |
JP5270442B2 (ja) | ポロゲン、ポロゲン化前駆体、および低誘電定数を有する多孔性有機シリカガラスフィルムを得るためにそれらを用いる方法 | |
KR101006329B1 (ko) | 원자외선 레이저 어닐링 및 SiCOH 박막의 안정화 | |
JP5065054B2 (ja) | 制御された二軸応力を有する超低誘電率膜および該作製方法 | |
KR100609305B1 (ko) | 다공성 저 유전율 필름을 형성하기 위한 비-열적 방법 | |
JP2020513680A (ja) | 高密度osg膜用シリル架橋アルキル化合物の使用 | |
JP2008527757A5 (ja) | ||
TWI762761B (zh) | 用於密osg膜的有機取代的硬化添料化合物與矽構造形成劑 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20061020 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20061205 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20070302 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20070307 |
|
A524 | Written submission of copy of amendment under section 19 (pct) |
Free format text: JAPANESE INTERMEDIATE CODE: A524 Effective date: 20070529 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20070703 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20071002 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20071005 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20071228 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20080205 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20080501 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20080508 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20080902 |
|
A761 | Written withdrawal of application |
Free format text: JAPANESE INTERMEDIATE CODE: A761 Effective date: 20081127 |