JP2011082540A - 多相超低k誘電 - Google Patents

多相超低k誘電 Download PDF

Info

Publication number
JP2011082540A
JP2011082540A JP2010256739A JP2010256739A JP2011082540A JP 2011082540 A JP2011082540 A JP 2011082540A JP 2010256739 A JP2010256739 A JP 2010256739A JP 2010256739 A JP2010256739 A JP 2010256739A JP 2011082540 A JP2011082540 A JP 2011082540A
Authority
JP
Japan
Prior art keywords
film
low
layer
present
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2010256739A
Other languages
English (en)
Inventor
Stephen M Gates
ゲイツ、ステフェン、エム
Alfred Grill
グリル、アルフレッド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2011082540A publication Critical patent/JP2011082540A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/02Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/06Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23BTURNING; BORING
    • B23B5/00Turning-machines or devices specially adapted for particular work; Accessories specially adapted therefor
    • B23B5/18Turning-machines or devices specially adapted for particular work; Accessories specially adapted therefor for turning crankshafts, eccentrics, or cams, e.g. crankpin lathes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249978Voids specified as micro

Abstract

【課題】誘電率が2.7以下であり、弾性計数および硬度の改善等、機械的特性を向上させた超低誘電率(k)膜、および、かかる膜の製造方法を提供する。
【解決手段】弾性係数および硬度が改善した多相超低k膜38、ならびにこれを形成するための様々な方法を提供する。多相超低k誘電膜38は、Si、C、O、およびHの原子を含み、誘電率が約2.4以下であり、ナノサイズの孔または空隙を有し、弾性係数が約5以上であり、硬度が約0.7以上である。好適な多相超低k誘電膜38は、Si、C、O、およびHの原子を含み、誘電率が約2.2以下であり、ナノサイズの孔または空隙を有し、弾性係数が約3以上であり、硬度が約0.3以上である。
【選択図】図5

Description

本発明は、一般に、超低誘電率(k)膜、かかる膜を製造する方法、および、かかる膜を含む電子デバイスに関する。更に具体的には、本発明は、ULSIの後工程(BEOL:back-end-of-the-like)の配線構造において、レベル内またはレベル間誘電膜、キャップ材料、またはハード・マスク/研磨ストップとして用いる多相超低k膜、この膜を含む電子構造、ならびに、かかる膜および構造を製造する方法に関する。
近年、ULSI(ultra large scale integrated:超大規模集積)回路において用いる電子デバイスの寸法が縮小し続けていることの結果として、BEOLメタライゼーションの抵抗が上昇し、層内および層間誘電材料の容量が大きくなっている。そして、この影響が組み合わされることで、ULSI電子デバイスにおける信号の遅延が増大する。今後のULSI回路のスイッチング性能を向上させるため、低誘電率(k)の絶縁体、および、特に二酸化シリコンのものよりも著しく低いkを有するものが、容量を小さくするために必要とされている。具体的には、4.0未満のk値を有する低k絶縁体が求められている。特に注記しない限り、本出願において言及する全てのk値は、真空に対して測定したものである。
低k値を有する誘電材料は、市販されている。例えば、かかる1つの材料は、k値が2.0のポリテトラフルオロエチレン(PTFE)である。しかしながら、これらの誘電材料は、300〜350℃を超える温度に露呈された場合、概して熱的に安定していない。このため、少なくとも400℃の熱安定性を必要とするULSIチップにおいてこれらの誘電体を集積する間、これらは役に立たなくなる。
ULSIデバイスに適用することが考えられている典型的な従来技術の低k材料には、メチルシロキサン、メチルセスキオキサン、ならびに他の有機および無機ポリマ等、シリコン(Si)、炭素(C)、および酸素(O)を含むポリマが含まれる。例えば、N.Hacker等による論文「Properties of new low dielectric constant spin-on silicon oxidebased dielectrics」、Mat.Res.Soc.Symp.Proc.発行、Vol.476(1997年)p25に記載された材料は、熱安定性の要求を満足させると思われるが、スピン・オン技法によって膜を調製する際に、相互接続構造における集積のために必要な厚さに達した場合、これらの材料の一部は容易に亀裂を広げてしまう。更に、前駆物質は、大量生産に用いるにはコストが高く、法外なほどである。これに対して、VLSIおよびULSIチップの製造ステップのほとんどは、プラズマ・エンハンス化学的または物理的気相付着技法によって実行される。
このため、すでに設置されていて利用可能な処理設備を用いて、プラズマ・エンハンス化学気相付着(PECVD:plasma enhanced chemical vapor deposition)技法によって低k材料を製造することができると、製造プロセスにおけるその集積が簡略化され、製造コストが削減し、有害な廃棄物の生成が抑えられる。米国特許第6,147,009号および第6,497,963号は、誘電率が3.6以下であるSi、C、O、およびH原子から成り、極めて低い亀裂伝搬速度を示す低誘電率材料について記載している。
米国特許第6,312,793号、第6,441,491号、および第6,479,110B2号は、Si、C、O、およびH原子で構成されるマトリクスから成る多相低k誘電材料について記載し、1つの相は、主にCおよびHから成り、誘電率が3.2以下である。
また、当技術分野において、誘電率が2.7未満(および好ましくは2.3未満)である超低k膜が公知である。従来技術の超低k膜に伴う主な問題は、かかる膜をULSIデバイスにおいて集積する場合、集積した膜の機械的強度が低いことである。一般に、超低k膜は、k値が約2.7〜3である膜に比べると、弾性係数および硬度がはるかに小さい。
従来技術の超低k膜に伴う上述の欠点を考慮すると、弾性係数および硬度の改善等、機械的特性を向上させた超低k膜を生成可能なPECVDプロセスを開発することが求められている。
従って、本発明の目的は、誘電率が2.7以下であり、弾性計数および硬度の改善等、機械的特性を向上させた超低誘電率(k)膜を提供することである。
本発明の別の目的は、本発明の超低k膜を製造するためのPECVD方法を提供することである。
本発明の更に別の目的は、少なくとも2つの相を含む超低k膜すなわち多相膜を製造するための方法を提供することである。第1の相は、Si、C、O、およびHから成り、すなわち、水素化酸化シリコン炭素、または炭素ドープ酸素、CDO膜(以後、SiCOHと称する)であり、更に、基本的にCおよびH原子から成る少なくとも第2の相を含む。本発明の多相超低k膜は、主にSi、C、O、およびHの原子から成り、米国特許第6,312,793号および第6,347,443号および第6,479,110B2号に開示された多相材料に比べて、強い骨格構造を有する。
本発明の更に別の目的は、約0.5から約20nmであるナノメートル・サイズの孔または空隙を含む多相超低k膜を調製することである。
本発明の更に別の目的は、誘電率が2.4以下で、弾性係数が約5以上で、硬度が約0.7以上である多相超低k膜を調製することである。これらの値は、既存の超低k膜よりも大きい。
本発明の別の目的は、誘電率が2.2以下で、弾性係数が約3以上で、硬度が約0.3以上である多相超低k膜を調製することである。これらの値は、既存の超低k膜よりも大きい。
本発明の別の目的は、平行板プラズマ・エンハンス化学気相付着リアクタにおいて多相超低k膜を製造するための方法を提供することである。
本発明の別の目的は、BEOL相互接続構造において、レベル内またはレベル間誘電体として電子構造において用いるための多相超低k膜を製造するための方法を提供することである。
本発明の更に別の目的は、BEOL配線構造において、レベル内またはレベル間誘電体として絶縁材料層を組み込んだ電子構造を提供することである。絶縁材料層の少なくとも1つが、本発明の多相超低k膜である。
本発明の更に別の目的は、BEOL配線構造において、レベル内またはレベル間誘電体として本発明の多相超低k膜の層を有する電子構造を提供することである。このBEOL配線構造は、反応性イオン・エッチングのマスク、研磨ストップ、または拡散バリアとして用いるための、異なる材料で形成した少なくとも1つの誘電キャップ層を含む。
本発明において、以下の選択肢の1つを含む方法を利用することによって、これらおよび他の目的および利点が達成される。すなわち、少なくとも1つの前駆ガスが、少なくとも3つのSi−O結合を含むシロキサン分子を含むか、または、少なくとも1つの前駆ガスが、eビーム放射に敏感な反応基を含むシロキサン分子を含む。
本発明によれば、Si、C、O、およびHの原子を含む多相超低k膜が提供される。本発明の多相超低k膜は、誘電率が約2.7以下である。更に重要なことは、本発明の膜は、向上した機械的特性を有する。具体的には、本発明の多相超低k膜は、誘電率が2.4以下であり、弾性係数が約5以上であり、硬度が約0.7以上であることによって特徴付けられる。更に好ましくは、本発明の多相超低k誘電膜は、誘電率が2.2以下であり、弾性係数が約3以上であり、硬度が約0.3以上である。弾性係数および硬度は、通常、ナノインデンテーション(nanoindentation)技法によって測定し、これらの特性は概して、誘電率が低くなると低減する。
本発明の多相超低k膜は、以下の3つの実施形態の1つを利用して形成することができる。第1の実施形態では、プラズマ・エンハンス化学気相付着リアクタを用意するステップと、リアクタ内に基板を位置付けるステップと、Si、C、O、およびHの原子を含む第1の前駆物質ガスをリアクタ内に流すステップと、CおよびH、任意にO、F、およびNの原子を含む有機分子を主に含む第2の前駆物質ガスをリアクタ内に流すステップと、少なくとも3つ、好ましくは4つののSi−O結合を含むシロキサン分子を含む前駆物質ガスをリアクタ内に流すステップと、基板上に超低k膜を堆積するステップと、によって、多相超低k膜を調製する。任意に、堆積した膜を、少なくとも0.25時間の時間期間、300℃以上の温度で加熱処理することができる。本発明の第1の実施形態は、平行板リアクタを設けるステップを更に含むことができ、このリアクタは、基板チャックの導電性領域が約300cm2および約800cm2の間であり、基板と上部電極との間の間隔が約0.1cmおよび約10cmの間である。電極の少なくとも一方に、RF電力を印加する。基板は、電力を与えた電極上または接地した電極上に位置付けることができる。
第2の実施形態では、プラズマ・エンハンス化学気相付着リアクタを用意するステップと、リアクタ内に基板を位置付けるステップと、Si、C、O、およびHの原子を含む第1の前駆物質ガスをリアクタ内に流すステップと、CおよびH、任意にF、N、およびOの原子を含む有機分子を主に含む第2の前駆物質ガスをリアクタ内に流すステップと、eビーム放射に対して敏感な反応基を含む分子を含む前駆物質ガスをリアクタ内に流すステップと、基板上に多相超低k膜を堆積するステップと、堆積した膜をeビーム放射によって硬化させるステップと、を含む方法を用いて、多相超低k膜を調製することができる。本発明の第2の実施形態は、平行板リアクタを設けるステップを更に含むことができ、このリアクタは、基板チャックの導電性領域が約300cm2および約800cm2の間であり、基板と上部電極との間の間隔が約0.1cmおよび約10cmの間である。電極の少なくとも一方に、RF電力を印加する。基板は、電力を与えた電極上または接地した電極上に位置付けることができる。
本発明の第3の実施形態では、プラズマ・エンハンス化学気相付着リアクタを用意するステップと、リアクタに基板を位置付けるステップと、Si、C、O、およびHの原子を含む第1の前駆物質ガスをリアクタ内に流すステップと、eビーム放射に対して敏感な反応基を含む分子を含む前駆物質ガスをリアクタ内に流すステップと、基板上に多相超低k膜を堆積するステップと、堆積した膜をeビーム放射によって硬化させるステップと、によって、多相超低k誘電膜を調製する。本発明の第3の実施形態は、平行板リアクタを設けるステップを更に含むことができ、このリアクタは、基板チャックの導電性領域が約300cm2および約800cm2の間であり、基板と上部電極との間の間隔が約0.1cmおよび約10cmの間である。電極の少なくとも一方に、RF電力を印加する。基板は、電力を与えた電極上または接地した電極上に位置付けることができる。
上述した3つの実施形態の各々において、第1の前駆物質はガスの混合物から成るものとすることができ、前駆物質ガス混合物を、本発明の多相超低k膜を形成する際に用いることに留意すべきである。
上述の3つの実施形態のいずれかにおいて、前駆物質ガスの1つと関連付けて、He、CO2、もしくはCO2およびO2の混合物を用いることができ、またはリアクタに直接追加することができる。本発明の更に別の実施形態において、HeもしくはCO2(またはHeおよびCO2の混合物)を、キャリア・ガスとして用いることができる。
本発明は、更に、BEOL相互接続構造においてレベル内またはレベル間誘電体として絶縁性材料層を有する電子構造に関する。これは、第1の絶縁性材料層に埋め込まれた第1の金属領域を有する予め処理された半導体基板と、本発明の多相超低k材料を含む第2の絶縁性材料層に埋め込まれた第1の導体領域と、を含み、第2の絶縁性材料層は第1の絶縁性材料層と密着し、第1の導体領域は第1の金属領域と電気的に連絡し、更に、第1の導体領域と電気的に連絡すると共に本発明の多相超低k膜を含む第3の絶縁性材料層に埋め込まれた第2の導体領域を含み、第3の絶縁性材料層は第2の絶縁性材料層に密着している。
電子構造は、第1の絶縁性材料層と第2の絶縁性材料層との間に位置する誘電性キャップ層を更に含むことができ、第2の絶縁性材料層と第3の絶縁性材料層との間に位置する誘電性キャップ層を更に含むことができる。電子構造は、第2の絶縁性材料層と第3の絶縁性材料層との間に位置する第1の誘電性キャップ層、および、第3の絶縁性材料層の上に第2の誘電性キャップ層を更に含むことができる。
誘電性キャップ層は、酸化シリコン、窒化シリコン、酸窒化シリコン、炭窒化シリコン(CiCN)、Ta、Zr、Hf、またはWである高融点金属を有する高融点金属窒化シリコン、炭化シリコン、炭酸化シリコン、炭素ドープ酸化物、およびそれらの水素化または窒素化化合物から選択することができる。第1および第2の誘電性キャップ層は、同じ誘電性材料群から選択することができる。第1の絶縁性材料層は、酸化シリコンまたは窒化シリコンまたはこれらの材料のドーピングしたもの、PSGまたはBPSG等とすることができる。電子構造は、第2および第3の絶縁性材料層の少なくとも1つの上に堆積した誘電性材料の拡散バリア層を更に含むことができる。電子構造は、第2の絶縁性材料層の上の、RIEハード・マスク/研磨ストップ層として用いる誘電層、および、誘電性RIEハード・マスク/研磨ストップ層の上の誘電性拡散バリア層を更に含むことができる。電子構造は、第2の絶縁性材料層の上の、第1の誘電性RIEハード・マスク/研磨ストップ層、第1の誘電性研磨ストップ層の上の第1の誘電性RIE拡散バリア層、第3の絶縁性材料層の上の第2の誘電性RIEハード・マスク/研磨ストップ層、第2の誘電性研磨ストップ層の上の第2の誘電性拡散バリア層を更に含むことができる。電子構造は、本発明の多相材料のレベル間誘電体と、超低k膜のレベル内誘電体との間に、上述したものと同じ材料の誘電性キャップ層を更に含むことができる。
本発明のこれらおよび他の目的、特徴、および利点は、以下の詳細な説明および添付図面から明らかとなろう。
本発明は、弾性係数および硬度を改善した多相超低k膜、ならびに、かかる膜を製造するための方法を開示する。好適な実施形態に開示する膜は、少なくとも2つの相を含む。第1の相は、共有結合ネットワークにおけるSi、C、O、およびHから成る水素化酸化シリコン炭素材料(SiCOH)の「ホスト」マトリクスである。本発明の多相低k膜の他の相は、主にCおよびH原子から成る。多相超低k膜は、更に、分子スケールすなわち直径が約0.5から20ナノメートルの孔または空隙を含む。
更に、本発明の多相超低k膜は、誘電率が約2.7以下、好ましくは約2.4以下であり、弾性係数が約7以上であり、硬度が約1.2以上である。更に好ましくは、前記多相超低k膜は、誘電率が約2.2以下であり、弾性係数が約5以上であり、硬度が約0.8以上である。本発明は、更に、平行板プラズマ・エンハンス化学気相付着リアクタを用いて、多相超低k膜を製造するための様々な方法を開示する。
ここで図1を参照すると、本発明の2相材料が拡大断面図で示されている。第1の相100は、「ホスト」マトリクスであり、共有結合ネットワークにおけるSi、C、O、およびHを含む水酸化酸化シリコン炭素材料(SiCOH)であり、誘電率は3.6以下である。図2に、第1の相の共有結合ネットワークの構造を示す。
ここで図2を参照すると、実線は、Si、C、O、およびH原子間の共有結合を表す。これはランダムなネットワークであるので、構造には基本的な繰り返し単位は存在しない。水素原子は、「H」で示し、101と標示する。ネットワーク内の酸素原子は、「O」で示し、102と標示する。ネットワーク内の炭素原子は、「C」で表し、103と標示する。ネットワーク内のシリコン原子は、4本の線の交点で表し、104と標示する。酸素原子102は、CまたはSiの2つの原子の間にある。
第1の相内に位置するのは、本発明の超低k材料の第2の相105である。第2の相は、基本的にCおよびH原子から成る。多相材料は、更に、ナノメートル・サイズすなわち直径が0.5から20ナノメートルの孔を多数含む。図2には、「ホスト」マトリックスとも称する第1の相の共有結合ネットワーク構造を示す。
図3を参照すると、本発明の3相超低k材料が拡大断面図で示されている。第1の相100は、「ホスト」マトリクスであり、共有結合ネットワークにおけるSi、C、O、およびHから成り誘電率が3.6以下の水素化酸化シリコン炭素材料(SiCOH)である。第1の相の構造は、図2にすでに示した。第1の相内に位置するのは、本発明の超低k材料の第2の相105および本発明の超低k材料の第3の相107である。第2の相は、基本的に、CおよびH原子と、ナノメートル・サイズすなわち直径が0.5から200ナノメートルの多数の孔と、から成る。
本発明の膜の代替的な実施形態では、第3の相107は、本発明の多相材料の第1の相のランダム・ネットワーク(図2)の分裂として表すことができる開放領域(または空隙)として存在する場合がある。あるいは、第3の相は、CおよびH原子ならびに多数のナノメートル・サイズの孔から成る。孔のサイズは、2相組成における孔よりも大きくすることができる。具体的には、第3の相における孔のサイズは、直径が0.5から100ナノメートルである。
また、本発明の多相超低k膜は、約5から約50原子パーセントのSi、約5から約45パーセントのC、0から約50原子パーセントのO、および、約10から約55原子パーセントのHを有することによって特徴付けられる。
更に好ましくは、前記膜は、約10から約20原子パーセントのSi、約20から約35パーセントのC、15から約35原子パーセントのO、および約20から約45原子パーセントのHを有する。
本発明に従って生成された例示的な膜において、組成は、15原子パーセントのSi、28パーセントのC、24原子パーセントのO、および33原子パーセントのHであり、RBSを用いて測定した密度は1.55±.02グラム/cm3である。
上述のように、本発明の多相超低k膜は、3つの異なる実施形態を用いて形成可能である。第1の実施形態では、プラズマ・エンハンス化学気相付着リアクタを用意するステップと、リアクタ内に基板を位置付けるステップと、第1の前駆物質ガス、すなわちSi、C、O、およびHの原子を含むガス混合物をリアクタ内に流すステップと、CおよびH、任意にF、N、およびOの原子を含む有機分子を主に含む第2の前駆物質ガスをリアクタ内に流すステップと、少なくとも3つ、好ましくは4つののSi−O結合を含むシロキサン分子を含む第3の前駆物質ガスをリアクタ内に流すステップと、基板上に超低k膜を堆積するステップと、によって、多相超低k膜を調製する。
第2の実施形態では、プラズマ・エンハンス化学気相付着リアクタを用意するステップと、リアクタ内に基板を位置付けるステップと、Si、C、O、およびHの原子を含む第1の前駆物質ガスをリアクタ内に流すステップと、CおよびH、任意にF、N、およびOの原子を含む有機分子を主に含む第2の前駆物質ガスをリアクタ内に流すステップと、eビーム放射に対して敏感な反応基を含む分子を含む第3の前駆物質ガスをリアクタ内に流すステップと、リアクタ内の基板上に多相超低k膜を堆積するステップと、堆積した膜をeビーム放射によって硬化させるステップと、を含む方法によって、超低k膜を調製することができる。第3の前駆物質ガスは、シロキサンまたは二官能有機分子とすることができる。
本発明の第3の実施形態では、プラズマ・エンハンス化学気相付着リアクタを用意するステップと、リアクタに基板を位置付けるステップと、Si、C、O、およびHの原子を含む第1の前駆物質ガスをリアクタ内に流すステップと、eビーム放射に対して敏感な反応基を含む分子を含む第2の前駆物質ガスをリアクタ内に流すステップと、基板上に多相超低k膜を堆積するステップと、堆積した膜をeビーム放射によって硬化させるステップと、を含む方法によって、超低k誘電膜を調製する。本発明の第3の実施形態において用いる第2の前駆物質ガスは、シロキサンまたは二官能有機分子とすることができる。
上述した本発明の3つの実施形態において、利用した第1の前駆物質は、Si、C、O、およびHの少なくとも原子を含む1つ以上の分子から選択することができる。第1の前駆物質に、O2またはN2O等の酸化分子を加えることができる。好ましくは、第1の前駆物質は、Si、C、O、およびH原子を含むシロキサンであり、環状シロキサンが特に好ましい。いくつかの特に好ましい第1の前駆物質の例は、1,3,5,7−テトラメチルシクロテトラシロキサン(TMCTSまたはC4164Si4)、オクタメチルシクロテトラシロキサン(OMCTS、C8244Si4)、テトラエチルシクロテトラシロキサン(C8244Si4)、デカメチルシクロペンタシロキサン(C10305Si5)、トリメチルシクロトリシロキサン、ヘクサメチルシクロトリシロキサン等、環状構造を有する分子から選択されたシロキサン、O2またはN2O等の酸化剤と混合したメチルシランの分子、またはSi、O、およびCを含む前駆物質の混合物を含む。前駆物質は、ガスとして直接リアクタに供給するか、リアクタ内で直接蒸発させた液体として供給するか、またはヘリウムもしくはアルゴン等の不活性キャリア・ガスによって運ぶことができる。第1の前駆物質ガスは、更に、窒素、フッ素、またはゲルマニウム等の元素を含むことができる。
本発明の第1および第2の実施形態において利用する第2の前駆物質は、CおよびH原子を含む1つ以上の有機分子から選択することができる。このため、本発明は、単一の第2の前駆物質、または、2つ以上、好ましくは2つの異なる第2の前駆物質の組み合わせを用いる場合を考慮する。任意として、O、N、またはF原子を有機分子に含ませるか、または、かかる原子を含む有機分子を前駆物質混合物に追加することができる。前駆物質は、ガスとして直接リアクタに供給するか、リアクタ内で直接蒸発させた液体として供給するか、またはヘリウムもしくはアルゴン等の不活性キャリア・ガスによって運ぶことができる。
1つの実施形態では、第2の前駆物質は、環状炭化水素、環状アルコール、環状エーテル、環状アルデヒド、環状ケトン、環状エステル、フェノール、環状アミン等のCおよびHを含む環状構造、または環状炭化水素を含む他のO、N、もしくはF等を有する分子を含む群から選択される。更に好ましくは、第2の前駆物質分子は、約6から12の炭素原子を含む多環状(または多環式)炭化水素であり、好ましくは3以上の原子の環を有する。好ましい例は、2,5−ノルボルナジエン(またはビシクロ〔2.2.1〕ヘプタ−2,5−ジエンとしても知られる)、ノルボルニレン2,5−ノルボルナジエン(またはビシクロ〔2.2.1〕ヘプタ−2,5−ジエンとしても知られる)、ノルボルナン(またはビシクロ〔2.2.1〕ヘプタンしても知られる)を含む。他の例は、トリシクロ〔3.2.1.0〕オクタン、トリシクロ〔3.2.2.0〕ノナン、スピロ〔3.4〕オクタン、スピロル〔4.5〕ノナン、スピロ(5.6)デカン等の結合環状炭化水素である。あるいは、5から12の炭素原子(シクロペンタン、シクロヘキサン等)を含む環状炭化水素、また、6から12の原子を含む環状芳香族炭化水素(ベンゼン、トルエン、キシレン等)も使用可能である。任意として、OまたはF原子を分子内に含ませるか、または、かかる原子を含む分子を前駆物質混合物に追加することができる。
特に有用なのは縮合環を含む種であり、その少なくとも1つがヘテロ原子、優先的に酸素を含む。これらの種のうち、最も適切なのは、著しい環ひずみを与えるサイズの環を含むものである。すなわち、3または4原子あるいは7以上の原子の環またはその両方である。特に魅力的なのは、オキサ二環式として知られる化合物のクラスの要素である。これらの容易に利用可能な例の中には、6−オキサビシクロ〔3.1.0〕ヘキサンまたは酸化シクロペンタン(bp=760mmHgにおいて102℃)、7−オキサビシクロ〔4.1.0〕ヘプタンまたは酸化シクロヘキサン(bp=760mmHgにおいて129℃)、9−オキサビシクロ〔6.1.0〕ノナンまたは酸化シクロオクテン(bp=5mmHgにおいて55℃)、および、7−オキサビシクロ〔2.2.1〕ヘプタンまたは1,4−エポキシシクロヘキサン(bp=713mmHgにおいて119℃)がある。本発明の第1の実施形態において用いられる1つの特に好適な縮合環の種は、酸化シクロペンタン(CPO)である。
本発明の第1の実施形態において、この方法は、第3の前駆物質またはその混合物を含み、これは、少なくとも3つ、好ましくは4つのSi−O結合を含むシロキサン分子を含む。本発明の第1の実施形態において用いるこの前駆物質は、結果として得られる多相超低k膜に、低い濃度(全前駆物質流量に基づいて約0.1から約10%)の四面体Si−O結合を追加する。本発明の第1の実施形態において用いる第3の前駆物質は、テトラメチルオルトシリケート(TMOS)、テトラエチルオルトシリケート(TEOS)、ビニルトリエトキシシラン、アリルトリメトキシシラン、ビニルトリメトキシシラン、アリルトリエトキシシラン、フェニルトリエトキシシラン、およびフェニルトリメトキシシランから選択されるシロキサンを含むことができる。また、この前駆物質基は、例えばジビニルジメトキシシラン等の2不飽和基を含むシロキサンを含む。前駆物質は、ガスとして直接リアクタに供給するか、リアクタ内で直接蒸発させた液体として供給するか、またはヘリウムもしくはアルゴン等の不活性キャリア・ガスによって運ぶことができる。
本発明の第2および第3の実施形態では、eビーム放射に敏感な反応基を含む分子を含む前駆物質ガスまたはその混合物を使用することを述べる。通常、これらは、少なくとも1つのC−C二重、三重結合または不飽和環を含む不飽和炭化水素基である。前駆物質は、不飽和炭化水素基を含むシロキサンとすることができ、または、これは、二官能有機分子とすることができる。eビーム放射に敏感な反応基の例は、ビニル、アリル、フェニル、アセチレン基、およびそれらの混合物を含むが、これらには限定されない。eビームに敏感な反応基を含むシロキサン分子を含む前駆物質ガスの実例は、ビニルトリメトキシシラン、アリルトリエトキシラン、アリルトリメトキシシラン、フェニルトリエトキシシラン、フェニルトリメトキシシラン、および、ビニル、アリル、フェニル、およびアセチレン基を含むがこれらには限定されない不飽和炭化水素基を含む関連シロキサンを含む。eビームに敏感な反応基を含む二官能有機分子を含む前駆物質ガスの実例は、1,3−ブタジエン、1,4−ペンタジエン、1,5−ヘキサジエン等の直鎖不飽和分子、および、シクロオクタジエン等の単環式二官能分子、バイシクロ〔2.2.1〕ヘプタ−2,5−ジエン(または「ノルボルナジエン」)等の二環式二官能分子、および、2つ以上の不飽和C−C二重または三重結合を含む関連有機分子を含む。
前駆物質は、ガスとして直接リアクタに供給するか、リアクタ内で直接蒸発させた液体として供給するか、またはヘリウムもしくはアルゴン等の不活性キャリア・ガスによって運ぶことができる。
本発明の方法は、各々、プラズマ・エンハンス化学気相堆積(PECVD)リアクタの使用を含む。堆積は、連続モードまたはパルス・モードで実行する。好ましくは、本発明において用いるPECVDリアクタは、平行板タイプのリアクタである。図4は、本発明において使用可能な200mmウエハを処理するための平行板PECVDリアクタ10の簡略化した図である。ある間隔だけ基板チャック12から分離させたガス分配板(GDP)14を介して、リアクタ10内にガス前駆物質を導入し、ポンプ口18を通して排出する。RF電力20を、基板チャック12に接続し、基板22に送信する。実用上の目的のため、リアクタの他の全ての部品を接地する。このため、基板22は負のバイアスを得て、その値はリアクタの幾何学的形状およびプラズマ・パラメータに依存する。異なる実施形態では、RF電力20を、リアクタから絶縁させたGDP14に接続することができ、基板チャック12を接地する。別の実施形態では、2つ以上の電力源を使用可能である。例えば、2つの電源が同一のRF周波数で動作することができる。または、1つが低い周波数で、1つが高い周波数で動作することができる。2つの電源を双方とも同一の電極に接続するか、または別個の電極に接続することができる。別の実施形態では、堆積の間、RF電源をパルスでオンおよびオフすることができる。低k膜の堆積の間に制御されるプロセス変数は、RF電力、前駆物質混合物および流量、リアクタ内の圧力、および基板の温度である。
図4において、Xはリアクタの側壁間の距離を表し、Yはリアクタの上部および下部の壁間の距離を表し、Zはリアクタの上壁と基板22の上面との間の距離を表す。
膜のための堆積プロセスの間に制御される主なプロセス変数は、RF電力、前駆物質の流量、リアクタの圧力、および基板の温度である。本発明による製造方法は、一意に規定した成長条件と共に特定の幾何学的形状を有する堆積リアクタを用いることによってのみ可能であることを強調しなければならない。規定した成長条件のもとで異なる幾何学的形状のリアクタを用いる場合、生成される膜は超低誘電率を達成しない場合がある。
例えば、本発明による平行板リアクタは、基板チャック面積が、約300cm2と約800cm2との間、好ましくは約500cm2と約600cm2との間でなければならない。基板とガス分配板(または上部電極)との間の間隔は、約0.1cmと約10cmとの間であり、好ましくは約1.5cmと約7cmとの間である。約12MHzと約15Mhzとの間の周波数、好ましくは約13.56MHで、電極の一方にRF電力を印加する。任意に、1MH未満の低い周波数電力を、0から1.5W/cm2の電力密度で、RF電力と同じ電極に、または対向する電極に印加することができる。
また、利用する堆積条件は、本発明による堆積プロセスの実施を成功させるために極めて重要である。例えば、約25℃と約325℃との間、好ましくは約60℃と約200℃との間のウエハ温度を利用する。約0.05W/cm2と約4.0W/cm2との間、好ましくは約0.25W/cm2と約4W/cm2との間のRF電力密度を利用する。
本発明の第1の実施形態において、第1の前駆物質ガス、例えばTMCTSの反応ガス流量は、約5sccmと約1000sccmとの間、好ましくは、約25sccmと約200sccmとの間を用いる。第2の前駆物質ガス、例えばCPOの反応ガス流量は、約5sccmと約50,000sccmとの間、好ましくは約25sccmと約10,000sccmとの間を用いる。第3の前駆物質ガス、すなわち、本発明の第1の実施形態において用いる少なくとも3つのSi−O結合を含むシロキサン分子のガス流量は、約5sccmと約1000sccmとの間であり、第3の前駆物質ガスの流量は10sccmと約500sccmとの間であると更に好ましい。
本発明の第2の実施形態において、第1の前駆物質ガス、例えばTMCTSの反応ガス流量は、約5sccmと約1000sccmとの間、好ましくは、約25sccmと約200sccmとの間を用いる。第2の前駆物質ガス、例えばCPOの反応ガス流量は、約5sccmと約50,000sccmとの間、好ましくは約25sccmと約10,000sccmとの間を用いる。第3の前駆物質ガス、すなわち、本発明の第2の実施形態において用いるeビーム放射に敏感な反応基を含む分子のガス流量は、約5sccmと約1000sccmとの間であり、10sccmと約500sccmとの間の流量であると更に好ましい。
本発明の第3の実施形態において、第1の前駆物質ガス、例えばTMCTSの反応ガス流量は、約5sccmと約1000sccmとの間、好ましくは、約25sccmと約200sccmとの間を用いる。第2の前駆物質ガス、すなわち、本発明の第3の実施形態において用いるeビーム放射に敏感な反応基を含む分子のガス流量は、約5sccmと約1000sccmとの間であり、10sccmと約500sccmとの間の流量であると更に好ましい。
本発明のいくつかの実施形態において、上述の混合物に、約50sccmと5000sccmとの間の流量で、Heを追加する。Heは、ガス前駆物質のいずれかに追加し、キャリア・ガスとして使用可能である。または、PECVDリアクタに別個にHeを導入することができる。
キャリア・ガスとしてHeを用いる3つの実施形態の各々において、ガス前駆物質の全反応ガス流量は、約25sccmから約10,000sccmである。キャリア・ガスとしてHeを用いる3つの実施形態の各々において、ガス前駆物質の全反応ガス流量は、好ましくは、50sccmから5000sccmである。
更に、3つの実施形態の各々において利用する第1の前駆物質は、更に、キャリア・ガスとしてのCO2と混合することができ、または、第1および第2の前駆物質ガスは、PECVDリアクタにおいて、CO2またはCO2およびO2の混合物と混合することができる。キャリア・ガスとして第1の前駆物質にCO2を追加すること、またはPECVDリアクタにおいて第1および第2の前駆物質にCO2もしくはCO2およびO2の混合物を追加することは、PECVDリアクタにおけるプラズマに安定化作用を与え、基板上に堆積する膜の均一性を向上させる。CO2を第1および第2の前駆物質と混合する場合、CO2の量は、約25sccmから約1000sccmであり、更に好ましくは、約50sccmから約500sccmとすることができる。CO2およびO2の混合物を第1および第2の前駆物質と混合する場合、混合するCO2の量は約25sccmから約1000sccmとすることができ、混合するO2の量は約0.5sccmから約50sccmとすることができる。更に好ましくは、CO2の量は、約50sccmから約500sccmであり、O2の量は、約1sccmから約30sccmである。あるいは、上述の実施形態において、CO2の代わりに、HeおよびCO2の混合物またはHeのみを用いることができる。
堆積プロセスの間のリアクタ圧力は、約50mTorrと約5000mTorrの間、好ましくは約100mTorrと約3000mTorrとの間を用いる。
基板チャック面積を係数Xだけ変化させること、すなわち、約300cm2と約800cm2との間の範囲の値から変化させることによって、RF電力が先に述べたものから係数Xだけ変化することに留意すべきである。同様に、基板チャック面積を係数Yだけ変化させること、および、ガス分配板と基板チャックとの間の間隔を先に述べたものから係数Zだけ変化させることは、ガス流量が先に述べたものから係数YZだけ変化することに結び付く。マルチステーション堆積リアクタを用いる場合、基板の面積は個別の各基板チャックに関連し、ガスの流量は1つの堆積ステーションに関連する。従って、リアクタに入力される全流量および全電力は、リアクタ内の堆積ステーションの合計数だけ乗算される。
3つの実施形態の各々において、堆積した膜は、更に集積処理を行う前に任意に安定化させることができる。安定化プロセスは、少なくとも0.25時間の時間期間、300℃以上の温度でアニーリングを行うことによって実行可能である。更に好ましくは、アニーリングは、約0.5時間と約4時間との間の時間期間、約300℃から約450℃で、炉アニーリング・ステップで実行する。また、安定化プロセスは、約300℃を超える温度で、急速熱アニーリング・プロセスにおいて実行することも可能である。非酸化雰囲気において本発明に従って得られた膜の熱安定性は、少なくとも400℃の温度までである。本発明のこのステップは、本発明の多相超低k誘電膜の誘電率を更に低下させるように機能することに留意すべきである。加熱処理ステップの間、CおよびHおよび任意にO原子を含む有機前駆物質から導出された分子フラグメントは、熱的に分解し、もっと小さい分子に変換され、これらが膜から解放される場合がある。任意に、分子フラグメントの変換および解放のプロセスによって、もっと多くの空隙を膜に生じさせる場合がある。このため、膜密度は低下する。
本発明の更に好適な実施形態では、2つの加熱ステップを用いてアニーリングを実行する。第1の加熱ステップでは、第1の時間期間、約300℃以下の温度で膜を加熱し、その後、第2の加熱ステップにおいて、第2の時間期間、約300℃以上の温度で膜を加熱する。第2の時間期間は、第1の時間期間よりも長い。好ましくは、第2の時間期間は、第1の時間期間よりも少なくとも10倍長い。
本発明の3つの実施形態の各々において、しかしながら、特に本発明の第2および第3の実施形態において、多相超低k膜の堆積の後に硬化ステップを行う。好ましくは、約350℃から約450℃までの温度で、約0.5から約100分までの時間期間、eビーム放射を用いて硬化を行う。例示的な条件は、約1から約100KeVの間のeビーム・エネルギの使用、約50から約5000マイクロキュリー/cm2の間に等しい電子放射用量である。好ましい条件は、約2から30KeVの間のeビーム・エネルギ、および、約100から約2000マイクロキュリー/cm2の間に等しい電子放射用量を用いる。いくつかの実施形態では、eビーム硬化は真空下で実行する。本発明の第2および第3の実施形態では、多相超低k膜の堆積後にeビーム硬化ステップを適用して、膜の上面にいっそう高密度の領域を形成する。これは、eビーム放射条件を調節することによって行う。高密度領域は、超低k膜の上部内に形成されるCMPストップ領域として役立てることができる。
本発明のプロセスによって得られる多相超低k膜は、誘電率kが2.7より小さいことによって特徴付けられ、通常450℃までの温度で処理されるBEOL相互接続構造におけるプロセス集積に対して熱的に安定している。更に、多相超低k膜は、水中で極めて低い亀裂伝搬速度を有する。すなわち、10-9m/s未満であり、10-11m/s未満である場合もある。従って、多相膜および方法は、論理およびメモリ・デバイスのためのBEOLプロセスにおけるレベル内およびレベル間誘電体として多相超低k膜を生成する際に、容易に適合することができる。また、本発明の多相超低k膜は、上述のような弾性係数および硬度の改善を含む機械的特性の向上によって特徴付けられる。
図5〜8に、本発明の新規の方法によって形成した電子デバイスを示す。図5〜8に示すデバイスは、単に本発明の具体的な例であり、多数の他のデバイスも本発明の新規の方法によって形成可能であることに留意すべきである。
本発明のいくつかの実施形態では、eビーム放射条件を調節することによって、本発明の膜の上面に高密度領域を形成することができる。かかる膜の高密度領域は、CMPエッチ・ストップとして有用である。高密度化した上面を有するこの膜は、本明細書中に記載する電子デバイスのいずれにおいても用いることができる。
図5に、シリコン基板32の上に構築した電子デバイス30を示す。シリコン基板32の上に、まず、第1の金属領域36を埋め込んだ絶縁性材料層34が形成されている。第1の金属領域36の上にCMPプロセスを行った後、第1の絶縁材料層34および第1の金属層36の上に、本発明の多相超低k膜38を堆積する。第1の絶縁材料層34は、酸化シリコン、窒化シリコン、これらの材料の様々なドーピングしたもの、または他のいずれかの適切な絶縁性材料で形成することが適切であり得る。次いで、フォトリソグラフィ・プロセスによって多相超低k膜38をパターニングして、その後エッチングを行い、その上に導電層40を堆積する。第1の導電層40にCMPプロセスを行った後、プラズマ・エンハンス化学気相付着プロセスによって、第1の多相超低k膜38および第1の導体層40を覆うように、第2の多相超低k膜層44を堆積する。導体層40は、金属材料または非金属導電材料で堆積することができる。例えば、アルミニウムもしくは銅の金属材料、または窒化物もしくはポリシリコンの非金属材料である。第1の導体40は、第1の金属領域36と電気的に連絡している。
次いで、第2の多相超低k膜層44にフォトリソグラフィ・プロセスを行い、その後エッチングし、次いで第2の導電材料の堆積プロセスを行った後、第2の導体領域50を形成する。また、第2の導体領域50は、第1の導体層40を堆積する際に用いたものと同様に、金属材料または非金属材料のいずれかで堆積することができる。第2の導体領域50は、第1の導体領域40と電気的に連絡し、第2の多相超低k絶縁体層44に埋め込まれている。第2の多相超低k膜層は、第1の絶縁材料層38に密接している。この例では、多相超低k膜の第1の絶縁材料層38は、レベル内誘電材料であり、第2の絶縁材料層すなわち多相超低k膜44は、レベル内およびレベル間誘電体の双方である。多相超低k膜の低い誘電率に基づいて、第1の絶縁層38および第2の絶縁層44によって、優れた絶縁特性を達成することができる。
図6は、図5に示した電子デバイス30のものと同様の本発明の電子デバイス60を示すが、第1の絶縁材料層38と第2の絶縁材料層44との間に追加の誘電キャップ層62が堆積されている。誘電キャップ層62は、適切には、酸化シリコン、窒化シリコン、酸窒化シリコン、Ta、Zr、Hf、またはWである高融点金属を有する高融点金属窒化シリコン、炭化シリコン、炭窒化シリコン(SiCN)、炭酸化シリコン(SiCO)、およびそれらの水素化化合物等の材料で形成することができる。追加の誘電キャップ層62は、第2の絶縁材料層44内またはもっと低い層、特に層34および32内に第1の導体層40が拡散することを防ぐための拡散バリア層として機能する。
図7に、本発明の電子デバイス70の別の代替的な実施形態を示す。電子デバイス70においては、RIEマスクおよびCMP(化学機械研磨)研磨ストップ層として機能する2つの追加の誘電キャップ層62および74を用いる。第1の誘電キャップ層62は、第1の多相超低k絶縁材料層38の上に堆積され、RIEマスクおよびCMPストップとして用いるので、第1の導体層40および層62は、CMPの後、ほぼ同一平面上にある。第2の誘電層74の機能は層62と同様であるが、層74は、第2の導体層50を平坦化する際に利用する。研磨ストップ層74は、酸化シリコン、窒化シリコン、酸窒化シリコン、Ta、Zr、Hf、またはWである高融点金属を有する高融点金属窒化シリコン、炭化シリコン、炭酸化シリコン(SiCO)、およびそれらの水素化化合物等の適切な誘電材料で堆積することができる。好適な研磨ストップ層の組成は、層62または74について、SiCHまたはSiCOHである。同じ目的のため、第2の多相超低k絶縁材料層44の上に第2の誘電層74を追加することができる。
図8に、本発明の電子デバイス80の更に別の代替的な実施形態を示す。この代替的な実施形態では、追加の誘電材料層82を堆積し、これによって、第2の絶縁材料層44を2つの別個の層84および86に分割する。従って、図5に示す多相超低k材料で形成したレベル内およびレベル間誘電層44は、バイア92と相互接続94との間の境界で、層間誘電層84およびレベル内誘電層86に分割される。更に、上部誘電層74の上に、追加の拡散バリア層96を堆積する。この代替的な実施形態の電子構造80によって提供される追加の利点は、誘電層82がRIEエッチ・ストップとして作用し、相互接続の深さの制御が優れていることである。このため、層82の組成は、層86に対するエッチング選択性を得るように選択する。
更に別の代替的な実施形態は、配線構造においてレベル内またはレベル間誘電体として絶縁性材料層を有する電子構造を含むことができる。これは、第1の絶縁性材料層に埋め込まれた第1の金属領域を有する予め処理された半導体基板と、第2の絶縁性材料層に埋め込まれた第1の導体領域と、を含み、第2の絶縁性材料層は第1の絶縁性材料層と密着し、第1の導体領域は第1の金属領域と電気的に連絡し、更に、第1の導体領域と電気的に連絡すると共に第3の絶縁性材料層に埋め込まれた第2の導体領域を含み、第3の絶縁性材料層は第2の絶縁性材料層に密着しており、更に、第2の絶縁性材料層と第3の絶縁性材料層との間に位置する誘電性キャップ層と、第3の絶縁性材料層の上に第2の誘電性キャップ層と、を含み、第1および第2の誘電性キャップ層は、Si、C、O、およびHの原子を含む材料、または、好ましくは本発明の多相超低k膜で形成する。
本発明の更に別の代替的な実施形態は、配線構造においてレベル内またはレベル間誘電体として絶縁性材料層を有する電子構造を含む。これは、第1の絶縁性材料層に埋め込まれた第1の金属領域を有する予め処理された半導体基板と、第1の絶縁性材料層と密着した第2の絶縁性材料層に埋め込まれた第1の導体領域と、を含み、第1の導体領域は第1の金属領域と電気的に連絡し、更に、第1の導体領域と電気的に連絡すると共に第3の絶縁性材料層に埋め込まれた第2の導体領域を含み、第3の絶縁性材料層は第2の絶縁性材料層に密着しており、更に、第2および第3の絶縁性材料層の少なくとも一方の上に堆積した、本発明の多相超低k膜で形成した拡散バリア層を含む。
本発明の更に別の代替的な実施形態は、配線構造においてレベル内またはレベル間誘電体として絶縁性材料層を有する電子構造を含む。これは、第1の絶縁性材料層に埋め込まれた第1の金属領域を有する予め処理された半導体基板と、第1の絶縁性材料層と密着した第2の絶縁性材料層に埋め込まれた第1の導体領域と、を含み、第1の導体領域は第1の金属領域と電気的に連絡し、更に、第1の導体領域と電気的に連絡すると共に第3の絶縁性材料層に埋め込まれた第2の導体領域を含み、第3の絶縁性材料層は第2の絶縁性材料層に密着しており、更に、第2の絶縁性材料層の上の反応性イオン・エッチング(RIE)ハード・マスク/研磨ストップ層と、RIEハード・マスク/研磨ストップ層の上の拡散バリア層と、を含み、RIEハード・マスク/研磨ストップ層および拡散バリア層を、本発明の多相超低k膜で形成する。
本発明の更に別の代替的な実施形態は、配線構造においてレベル内またはレベル間誘電体として絶縁性材料層を有する電子構造を含む。これは、第1の絶縁性材料層に埋め込まれた第1の金属領域を有する予め処理された半導体基板と、第1の絶縁性材料層と密着した第2の絶縁性材料層に埋め込まれた第1の導体領域と、を含み、第1の導体領域は第1の金属領域と電気的に連絡し、更に、第1の導体領域と電気的に連絡すると共に第3の絶縁性材料層に埋め込まれた第2の導体領域を含み、第3の絶縁性材料層は第2の絶縁性材料層に密着しており、更に、第2の絶縁性材料層の上の第1のRIEハード・マスク、研磨ストップ層と、第1のRIEハード・マスク/研磨ストップ層の上の第1の拡散バリア層と、第3の絶縁性材料層の上の第2のRIEハード・マスク/研磨ストップ層と、第2のRIEハード・マスク/研磨ストップ層の上の第2の拡散バリア層と、を含み、RIEハード・マスク/研磨ストップ層および拡散バリア層を、本発明の多相超低k膜で形成する。
本発明の更に別の代替的な実施形態は、上述したものと同様の配線構造においてレベル内またはレベル間誘電体として絶縁性材料層を有する電子構造を含むが、更に、レベル間誘電層とレベル内誘電層との間に位置するSi、C、O、およびHの原子を含む多相材料で形成した誘電性キャップ層を含む。
従って、上述の説明および図1〜8の添付図面において、本発明の新規な方法およびかかる方法によって形成される電子構造を充分に記載した。図5〜8に示す本発明の電子構造の例は、本発明の新規の方法の例示として用いるに過ぎず、本発明の方法は多数の電子デバイスの製造に適用可能であることを強調すべきである。
本発明について例示的に記載してきたが、用いた専門用語は限定としてではなく説明の言語として意図することは理解されよう。
更に、本発明について、好適な実施形態およびいくつかの代替的な実施形態に関連付けて説明したが、当業者がこれらの教示を他の可能な本発明の変形に容易に適用することは認められよう。
本発明の2相材料の拡大断面図である。 本発明の2相材料の第1の層のランダムな共有結合構造の概略図である。 本発明の3相材料の拡大断面図である。 本発明の平行板化学気相付着リアクタの断面図である。 本発明の電子デバイスの拡大断面図であり、多相超低k膜で形成したレベル内誘電層およびレベル間誘電層を有する。 図5の本発明の電子デバイスの拡大断面図であり、本発明の多相超低k膜の上に堆積した追加の拡散バリア誘電性キャップ層を有する。 図6の本発明の電子デバイスの拡大断面図であり、追加のRIEハード・マスク/研磨ストップ誘電性キャップ層と、研磨ストップ層の上に堆積した誘電性キャップ拡散バリア層とを有する。 図7の本発明の電子デバイスの拡大断面図であり、多相超低k膜の上に堆積した追加のRIEハード・マスク/研磨ストップ誘電層を有する。

Claims (1)

  1. Si、C、O、およびHの原子を含む多相超低k誘電膜であって、前記膜は、誘電率が2.4以下であり、ナノサイズの孔または空隙を有し、弾性係数が5GPa以上であり、硬度が0.7GPa以上である、多相超低k誘電膜であって、共有結合ネットワークにおけるSi、C、O、およびHから成る水素化酸化シリコン炭素材料(SiCOH)の第1の相を有し、少なくとも別の1相が主にCおよびH原子から成る、多相超低k誘電膜。
JP2010256739A 2003-03-18 2010-11-17 多相超低k誘電 Pending JP2011082540A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/390,801 US7288292B2 (en) 2003-03-18 2003-03-18 Ultra low k (ULK) SiCOH film and method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2006507287A Division JP2006521019A (ja) 2003-03-18 2004-03-17 超低k(ULK)SiCOH膜および方法

Publications (1)

Publication Number Publication Date
JP2011082540A true JP2011082540A (ja) 2011-04-21

Family

ID=33029679

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2006507287A Pending JP2006521019A (ja) 2003-03-18 2004-03-17 超低k(ULK)SiCOH膜および方法
JP2010256739A Pending JP2011082540A (ja) 2003-03-18 2010-11-17 多相超低k誘電
JP2011287303A Expired - Fee Related JP5511781B2 (ja) 2003-03-18 2011-12-28 多相超低誘電膜の形成方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2006507287A Pending JP2006521019A (ja) 2003-03-18 2004-03-17 超低k(ULK)SiCOH膜および方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2011287303A Expired - Fee Related JP5511781B2 (ja) 2003-03-18 2011-12-28 多相超低誘電膜の形成方法

Country Status (9)

Country Link
US (3) US7288292B2 (ja)
EP (1) EP1617957B1 (ja)
JP (3) JP2006521019A (ja)
KR (1) KR100724508B1 (ja)
CN (1) CN1787881B (ja)
AT (1) ATE479729T1 (ja)
DE (1) DE602004028922D1 (ja)
TW (1) TWI281707B (ja)
WO (1) WO2004083495A2 (ja)

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8293001B2 (en) 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US7384471B2 (en) 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
TWI282124B (en) * 2002-11-28 2007-06-01 Tosoh Corp Insulating film material containing an organic silane compound, its production method and semiconductor device
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
TWI240959B (en) 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US8137764B2 (en) 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
WO2005053009A1 (ja) * 2003-11-28 2005-06-09 Nec Corporation 多孔質絶縁膜及びその製造方法並びに多孔質絶縁膜を用いた半導体装置
US7341761B1 (en) * 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7547643B2 (en) * 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7491658B2 (en) * 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
DE102005007825B4 (de) 2005-01-10 2015-09-17 Interpane Entwicklungs-Und Beratungsgesellschaft Mbh Verfahren zur Herstellung einer reflexionsmindernden Beschichtung, reflexionsmindernde Schicht auf einem transparenten Substrat sowie Verwendung einer derartigen Schicht
US7892648B2 (en) 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7253105B2 (en) * 2005-02-22 2007-08-07 International Business Machines Corporation Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
US7265437B2 (en) * 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US20080009141A1 (en) * 2006-07-05 2008-01-10 International Business Machines Corporation Methods to form SiCOH or SiCNH dielectrics and structures including the same
US8080282B2 (en) * 2006-08-08 2011-12-20 Asm Japan K.K. Method for forming silicon carbide film containing oxygen
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
KR100845941B1 (ko) * 2007-03-27 2008-07-14 성균관대학교산학협력단 저유전 상수값을 갖는 박막 제조 방법 및 이에 의하여제조된 박막
CN101126148B (zh) * 2007-07-27 2010-04-21 北京印刷学院 一种具有阻隔兼防护功能的纳米薄膜及其制做方法
US20090061649A1 (en) 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
US20090061237A1 (en) * 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
KR100909757B1 (ko) * 2007-10-31 2009-07-29 주식회사 하이닉스반도체 반도체 소자의 층간절연막 형성 방법
KR100962044B1 (ko) * 2007-12-06 2010-06-08 성균관대학교산학협력단 저유전 플라즈마 중합체 박막 및 그 제조 방법
US20100143580A1 (en) * 2008-05-28 2010-06-10 American Air Liquide, Inc. Stabilization of Bicycloheptadiene
US20090324928A1 (en) * 2008-06-26 2009-12-31 Vijayakumar Ramachandrarao Forming ultra low dielectric constant porous dielectric films and structures formed thereby
CN101580410B (zh) * 2008-08-29 2012-08-29 广东大众农业科技股份有限公司 一种利用造纸污泥生产碱性有机肥的方法
US8298965B2 (en) * 2008-09-03 2012-10-30 American Air Liquide, Inc. Volatile precursors for deposition of C-linked SiCOH dielectrics
US20100151206A1 (en) 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
JP5705751B2 (ja) 2009-03-10 2015-04-22 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード low−kシリル化用の環式アミノ化合物
US8266503B2 (en) 2009-03-13 2012-09-11 Fusion-Io Apparatus, system, and method for using multi-level cell storage in a single-level cell mode
US8261158B2 (en) 2009-03-13 2012-09-04 Fusion-Io, Inc. Apparatus, system, and method for using multi-level cell solid-state storage as single level cell solid-state storage
WO2010125682A1 (ja) * 2009-04-30 2010-11-04 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
EP2319821A1 (en) 2009-11-06 2011-05-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Stabilization of bicycloheptadiene
US8481355B2 (en) * 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8492239B2 (en) 2010-01-27 2013-07-23 International Business Machines Corporation Homogeneous porous low dielectric constant materials
US8380915B2 (en) 2010-01-27 2013-02-19 Fusion-Io, Inc. Apparatus, system, and method for managing solid-state storage media
US8314005B2 (en) * 2010-01-27 2012-11-20 International Business Machines Corporation Homogeneous porous low dielectric constant materials
US8854882B2 (en) 2010-01-27 2014-10-07 Intelligent Intellectual Property Holdings 2 Llc Configuring storage cells
WO2011094454A2 (en) * 2010-01-27 2011-08-04 Fusion-Io, Inc. Apparatus, system, and method for determining a read voltage threshold for solid-state storage media
US8661184B2 (en) 2010-01-27 2014-02-25 Fusion-Io, Inc. Managing non-volatile media
JP2013520030A (ja) * 2010-02-17 2013-05-30 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード SiCOHLOW−K膜の蒸着方法
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) * 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8927430B2 (en) 2011-07-12 2015-01-06 International Business Machines Corporation Overburden removal for pore fill integration approach
US8541301B2 (en) 2011-07-12 2013-09-24 International Business Machines Corporation Reduction of pore fill material dewetting
US8828489B2 (en) 2011-08-19 2014-09-09 International Business Machines Corporation Homogeneous modification of porous films
JP6105204B2 (ja) * 2012-02-10 2017-03-29 株式会社日立ハイテクサイエンス Tem観察用試料作製方法
US8804415B2 (en) 2012-06-19 2014-08-12 Fusion-Io, Inc. Adaptive voltage range management in non-volatile memory
US8753449B2 (en) * 2012-06-25 2014-06-17 Applied Materials, Inc. Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
US9196849B2 (en) * 2013-01-09 2015-11-24 Research & Business Foundation Sungkyunkwan University Polymer/inorganic multi-layer encapsulation film
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
US9058983B2 (en) 2013-06-17 2015-06-16 International Business Machines Corporation In-situ hardmask generation
KR101506801B1 (ko) * 2013-08-19 2015-03-30 성균관대학교산학협력단 고강도 저유전 플라즈마 중합체 박막 및 그 제조 방법
US9371430B2 (en) 2013-08-19 2016-06-21 Research & Business Foundation Sungkyunkwan University Porous film with high hardness and a low dielectric constant and preparation method thereof
WO2015116350A1 (en) 2014-01-29 2015-08-06 Applied Materials, Inc. Low temperature cure modulus enhancement
CN103996654B (zh) * 2014-06-09 2017-01-25 苏州大学 多相低介电常数材料层的制造方法
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US11749563B2 (en) 2018-06-27 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interlayer dielectric layer
CN110158052B (zh) 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 低介电常数膜及其制备方法
TWI814856B (zh) 2019-07-05 2023-09-11 聯華電子股份有限公司 半導體元件及其製作方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001115021A (ja) * 1999-10-18 2001-04-24 Asahi Kasei Corp シリカ前駆体/有機ポリマー組成物
WO2002011204A1 (en) * 2000-08-02 2002-02-07 International Business Machines Corporation Multiphase low dielectric constant material and method of deposition
JP2002280379A (ja) * 2001-03-15 2002-09-27 Asahi Kasei Corp 絶縁薄膜用の多孔性シリカ薄膜
WO2002091450A2 (en) * 2001-05-03 2002-11-14 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
JP2004274052A (ja) * 2003-03-04 2004-09-30 Air Products & Chemicals Inc Uv照射による高密度及び多孔質有機ケイ酸塩材料の機械的強化

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2917490A1 (de) * 1979-04-30 1980-11-13 Basf Ag Verfahren zur herstellung von aliphatischen und cycloaliphatischen di- und polyurethanen
US4473516A (en) * 1983-01-03 1984-09-25 Hoover Universal, Inc. Method and apparatus for injection molding plastic articles having solid exterior surfaces and porous interior cores
US4569814A (en) * 1984-07-03 1986-02-11 Motorola, Inc. Preforming of preheated plastic pellets for use in transfer molding
US4814137A (en) * 1988-02-16 1989-03-21 Westinghouse Electric Corp. High performance reliability fuel pellet
US5043199A (en) * 1988-10-31 1991-08-27 Fujitsu Limited Resin tablet for plastic encapsulation and method of manufacturing of plastic encapsulation using the resin tablet
NL9200127A (nl) * 1992-01-23 1993-08-16 Ireneus Johannes Theodorus Mar Werkwijze voor het in een vormholte persen van een door een reactie uithardende kunststof, een daarbij te gebruiken pilvormig pershulpmateriaal alsmede een houder uit dergelijk materiaal.
JPH06312793A (ja) * 1993-04-26 1994-11-08 Mk Seiko Co Ltd エンジンオイル交換装置
EP0742586A3 (en) * 1995-05-02 1998-03-11 Texas Instruments Incorporated Improvements in or relating to integrated circuits
US5888443A (en) * 1996-05-02 1999-03-30 Texas Instruments Incorporated Method for manufacturing prepackaged molding compound for component encapsulation
US6091157A (en) * 1997-12-05 2000-07-18 Advanced Micro Devices, Inc. Method to improve internal package delamination and wire bond reliability using non-homogeneous molding compound pellets
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6071457A (en) * 1998-09-24 2000-06-06 Texas Instruments Incorporated Bellows container packaging system and method
US6361837B2 (en) * 1999-01-15 2002-03-26 Advanced Micro Devices, Inc. Method and system for modifying and densifying a porous film
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6440550B1 (en) * 1999-10-18 2002-08-27 Honeywell International Inc. Deposition of fluorosilsesquioxane films
US6203319B1 (en) * 1999-12-01 2001-03-20 Edward Stanley Lee Pellet-forming mold for dental filling materials
US6582777B1 (en) * 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6270846B1 (en) 2000-03-02 2001-08-07 Sandia Corporation Method for making surfactant-templated, high-porosity thin films
US6576568B2 (en) 2000-04-04 2003-06-10 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
WO2002007191A2 (en) 2000-07-13 2002-01-24 The Regents Of The Universty Of California Silica zeolite low-k dielectric thin films
CN100386472C (zh) * 2000-10-25 2008-05-07 国际商业机器公司 作为在半导体器件中的层内和层间绝缘体的超低介电常数材料及其制造方法、以及包含该材料的电子器件
US6790789B2 (en) * 2000-10-25 2004-09-14 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US6441491B1 (en) 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6756323B2 (en) * 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6649540B2 (en) 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
KR100343938B1 (en) * 2000-11-29 2002-07-20 Samsung Electronics Co Ltd Preparation method of interlayer insulation membrane of semiconductor
US6572923B2 (en) 2001-01-12 2003-06-03 The Boc Group, Inc. Asymmetric organocyclosiloxanes and their use for making organosilicon polymer low-k dielectric film
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
SG98468A1 (en) * 2001-01-17 2003-09-19 Air Prod & Chem Organosilicon precursors for interlayer dielectric films with low dielectric constants
US20020132496A1 (en) 2001-02-12 2002-09-19 Ball Ian J. Ultra low-k dielectric materials
US6677680B2 (en) 2001-02-28 2004-01-13 International Business Machines Corporation Hybrid low-k interconnect structure comprised of 2 spin-on dielectric materials
JP2003142476A (ja) * 2001-11-01 2003-05-16 Asahi Kasei Corp 絶縁薄膜用の多孔性シリカ薄膜
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US7307343B2 (en) * 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US7122880B2 (en) * 2002-05-30 2006-10-17 Air Products And Chemicals, Inc. Compositions for preparing low dielectric materials
US7112615B2 (en) * 2002-07-22 2006-09-26 Massachusetts Institute Of Technology Porous material formation by chemical vapor deposition onto colloidal crystal templates
TWI273090B (en) * 2002-09-09 2007-02-11 Mitsui Chemicals Inc Method for modifying porous film, modified porous film and use of same
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
JP4231996B2 (ja) 2002-11-14 2009-03-04 信越化学工業株式会社 エアバッグ目止め材用シリコーンゴム組成物
US7011890B2 (en) * 2003-03-03 2006-03-14 Applied Materials Inc. Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US7479327B2 (en) * 2003-05-27 2009-01-20 Exxonmobil Chemical Patents Inc. Tie-layer materials for use with ionomer-based films and sheets as skins on other materials
US8137764B2 (en) * 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
WO2005053009A1 (ja) * 2003-11-28 2005-06-09 Nec Corporation 多孔質絶縁膜及びその製造方法並びに多孔質絶縁膜を用いた半導体装置
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050161060A1 (en) * 2004-01-23 2005-07-28 Johnson Andrew D. Cleaning CVD chambers following deposition of porogen-containing materials
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7229934B2 (en) * 2004-10-18 2007-06-12 International Business Machines Corporation Porous organosilicates with improved mechanical properties
US7202564B2 (en) * 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7265437B2 (en) * 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US20070009673A1 (en) * 2005-07-06 2007-01-11 Asm Japan K.K. Insulation film and method for manufacturing same

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001115021A (ja) * 1999-10-18 2001-04-24 Asahi Kasei Corp シリカ前駆体/有機ポリマー組成物
WO2002011204A1 (en) * 2000-08-02 2002-02-07 International Business Machines Corporation Multiphase low dielectric constant material and method of deposition
JP2002280379A (ja) * 2001-03-15 2002-09-27 Asahi Kasei Corp 絶縁薄膜用の多孔性シリカ薄膜
WO2002091450A2 (en) * 2001-05-03 2002-11-14 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
JP2005507154A (ja) * 2001-05-03 2005-03-10 インターナショナル・ビジネス・マシーンズ・コーポレーション 規則的2相絶縁膜、およびそれを含む半導体デバイス
JP2004274052A (ja) * 2003-03-04 2004-09-30 Air Products & Chemicals Inc Uv照射による高密度及び多孔質有機ケイ酸塩材料の機械的強化

Also Published As

Publication number Publication date
JP2006521019A (ja) 2006-09-14
US20080026203A1 (en) 2008-01-31
TWI281707B (en) 2007-05-21
JP2012109589A (ja) 2012-06-07
ATE479729T1 (de) 2010-09-15
WO2004083495A2 (en) 2004-09-30
US20050276930A1 (en) 2005-12-15
KR100724508B1 (ko) 2007-06-07
EP1617957A2 (en) 2006-01-25
CN1787881B (zh) 2012-12-26
CN1787881A (zh) 2006-06-14
WO2004083495A3 (en) 2005-02-03
DE602004028922D1 (de) 2010-10-14
TW200428493A (en) 2004-12-16
KR20050110649A (ko) 2005-11-23
EP1617957B1 (en) 2010-09-01
JP5511781B2 (ja) 2014-06-04
US7288292B2 (en) 2007-10-30
EP1617957A4 (en) 2007-03-28
US20090297823A1 (en) 2009-12-03

Similar Documents

Publication Publication Date Title
JP5511781B2 (ja) 多相超低誘電膜の形成方法
US6437443B1 (en) Multiphase low dielectric constant material and method of deposition
KR100586133B1 (ko) 반도체 장치에서 레벨내 또는 레벨간 유전체로서의 극저유전상수 물질, 이의 제조방법 및 상기 물질을 함유하는전자 장치
KR100702508B1 (ko) 유전 물질 및 beol 상호 접속 구조체
US7282458B2 (en) Low K and ultra low K SiCOH dielectric films and methods to form the same
US6541398B2 (en) Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
WO2006022856A2 (en) DUV LASER ANNEALING AND STABILIZATION OF SiCOH FILMS
JP3882914B2 (ja) 多相低誘電率材料およびその堆積方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130405

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20130405

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130406

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130802