TWI281707B - Ultra low k (ULK) SiCOH film and method - Google Patents

Ultra low k (ULK) SiCOH film and method Download PDF

Info

Publication number
TWI281707B
TWI281707B TW93105173A TW93105173A TWI281707B TW I281707 B TWI281707 B TW I281707B TW 93105173 A TW93105173 A TW 93105173A TW 93105173 A TW93105173 A TW 93105173A TW I281707 B TWI281707 B TW I281707B
Authority
TW
Taiwan
Prior art keywords
film
precursor gas
reactor
ultra
multiphase
Prior art date
Application number
TW93105173A
Other languages
English (en)
Other versions
TW200428493A (en
Inventor
Stephen Mcconnell Gates
Alfred Grill
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Publication of TW200428493A publication Critical patent/TW200428493A/zh
Application granted granted Critical
Publication of TWI281707B publication Critical patent/TWI281707B/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/02Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/06Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23BTURNING; BORING
    • B23B5/00Turning-machines or devices specially adapted for particular work; Accessories specially adapted therefor
    • B23B5/18Turning-machines or devices specially adapted for particular work; Accessories specially adapted therefor for turning crankshafts, eccentrics, or cams, e.g. crankpin lathes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249978Voids specified as micro

Description

1281707 玖、發明說明: ‘ 本申請案關於共同指定的美國專利號碼6,312,793, 6,441,491及6,479,11G B2,該等揭露併人本文做為參考。 【發明所屬之技術領域】 本發明關於超低介電常數(K)薄膜,製造該薄膜的方法及 關於包含該薄膜的電子裝置。更特別地,本發明關於多相、 超低K值介電薄膜用做一層間或中間層介電薄膜、一帽蓋材 料、或一USLI後段製程(BE0L)接線結構的硬式遮罩/研磨終 止層,包含該薄膜的電子結構及製造該薄膜與結構的方法。 【先前技術】 最近幾年用在ULSI(超大型積體)電路電子裝置的尺寸連 續縮小已導致BEOL金屬化電阻的增加及層間與中間層介 電材料電容的增加。結果,該結合的效應增加ULsi電子裝 置中汛5虎的延遲。為了增進未來ULSI電路開關功能,需要 低介電常數(K)絕緣體與特別地那些遠低於二氧化矽之&值 以減低電容。特別地,低K絕緣體需要具有尺值小於4 0。除 非特別提到,本申請案提到的所有量測之κ值是相對於真空 值。 …工 具有低Κ值的介電材料已商業化;例如,一種該材料是聚 四氟乙烯(PTFE)具一 κ值2.0。然而,這些介電材料當曝露 在高於300〜350°C的溫度時通常不是熱穩定的,其使這些介 電材料積體化在ULSI晶片期間變成無用,因其要求一熱穩 定性至少400°C。 典型的先前技藝已考慮應用在ULSI裝置中的低κ材料包 91262-951020.doc 1281707 括含矽(Si)、碳(C)與氧(〇)的高分子,如甲基矽氧烷、甲基 半氧矽烷、及其他有機與無機高分子。例如,說明在Ν· Hacker等人的論文”properties 〇fnew 1〇w dielectric c〇nstant spm-on silicon oxide based dielectrics”,出版在 Mat· Res·
Soc· Symp· Proc·,v〇i. 476 (1997) p25之材料出現滿足熱穩 疋性的要求,該膜以旋鑛技術製備時,某些這些材料當達 到需要積體化在中間層結構的厚度時,容易傳播裂痕。進 一步’該前驅物材料是高成本及難以用在大量生產。與此 相比’大部份的VLSI與ULSI晶片製造步驟利用電漿輔助化 學或物理氣相沉積技術實施。 利用一電漿輔助化學氣相沉積(PECVD)技術製造一低κ 材料的能力是使用先前裝置及商用的製程設備將因此簡化 其製造製程的積體化,減少製程成本及產生較少的有害廢 料。美國專利號碼6,147,009及6,497,963被指定本發明的共 同代理人,其全部併入本文做參考,說明一低介電常數材 料由Si、C、〇及Η原子組成具有一介電常數不大於3 6及其 呈現很低的破裂傳播速度。 美國專利號碼6,312,793, 6,441,491及6,479,110 Β2指定本 發明的共同代理人,其全部併入本文做參考,說明一多相 低η電$數材料由Si、C、Ο及Η原子基質組成,主要包含c 及Η原子的一相及具有一介電常數不大於3.2。 具有一介電常數小於2·7(及較佳地小於2.3)的超低Κ值薄 膜也是本技藝已知的。先前技藝超低Κ值薄膜具有一主要的 問題是當積體化該薄膜在ULSI裝置時,該積體化薄膜呈現 91262-951020.doc 1281707 較f的機械強度。通常,超低κ值薄膜與具有κ值近似2·7」3 的溥膜相比具有一相當低的彈性模數與硬度。 ,回顧上面先前技藝超低κ值薄膜的缺點,有需要開發可以 製造呈現增進的機械性質如增進的彈性模數與硬度之超低 κ值薄膜的PECVD製程。 【發明内容】 因此本發明的—個目的是提供一具有一介電常數不大於 2.7的超低介電常數(Κ)薄膜,其也呈現增進的機械性質如增 進的彈性模數與硬度。 本發明的另-個目的是提供用來製造本發明該超似值 薄膜的PECVD方法。 本各月的it-步目的是提供用來製造一超低κ值薄膜 时法,.該薄臈包含至少兩相,即-多相薄膜,其中第一、 相疋由Si、c、〇與H原子組成,,亦即一氫化的氧化矽碳, 或碳摻雜的氧化物,CD〇薄膜(之後稱為sic〇H),及至少— 第f相基本上由原子組成。該多相,本發明的超低K 值薄膜,其主要包括Si、c、〇糾原子,與美國專利號碼 6,312,793 ’ 6,347,443及6,479,11〇 B2揭露的多相材料相比具 有一較強的骨架。 八 、,本發明進—步的目的是製備包含約〇·5至約20 nm等級奈 米尺寸孔隙或孔穴的一多相、超低尺值薄膜。 本I明再另一個目的是製備具有一介電常數2.4或更 小、一彈性模數約5或更大及一硬度約0.7或更大的一多 相超低K值薄膜,該值等大於既存的超低K值薄膜。 91262-951020.doc 1281707 本發明再另-個目的是製傷具有一介電常數22或更 小、一彈性模數約3或更大及-硬度約0.3或更大的一多 相超低K值薄膜,該值大於既存的超低κ值薄膜。 本,明仍舊另-個目的是提供用來在—平行板電聚輔助 化學氣相沉積反岸哭制:^生—& 士 應夕相、超低K值薄膜的方法。 本發明再另一個目的是提供用來製造一多相、超低K值薄 膜的方法,該薄❹在電子結構中做為—卿L交連結構層 間或中間層的介電體。 本發明仍舊另一個目的是提供一電子結人 BEOL連線結構的絕緣材料層做為層間或中間層的介電 體’其中至少絕緣材料層之—是_本發明的—多相、超低& 值薄膜。 本發明再另一個進一步的目的是提供一電子結構其具有 本發明的多相、超低Κ值薄膜層做為在_BE〇Li4線結構的 層間或中間層的介電體’其包含至少由不同材料形成的_ 介電帽蓋層用做-反應性離子钕刻遮罩、一研磨終止或_ 擴散障壁。 本發明得到的這些及其他目的與好處是利用包括下列替 代物之-的丨法:纟少一前驅4勿氣體包括含至少三mi_〇 鍵的矽氧烷分子;或至少一前驅物氣體包括含對電子束輻 射敏感的反應基之矽氧烷分子。 根據本發明,提供包括Si、c、〇與11原子的一多相、超 低K值薄膜。本發明的該多相、超低κ值薄膜具有一介電常 數约2.7或更低,及更重要地,本發明的薄膜具有增進的機 91262-951020.doc 1281707 械性質。特別地,本發明的該多相、超低κ值薄膜具有的特 徵如具有一介電常數2·4或更小、一彈性模數約5或更大及 一硬度〇·7或更大。更佳地,本發明的該多相、超低Κ值薄 膜具有一介電常數2·2或更小、一彈性模數約3或更大及一 硬度0.3或更大。該彈性模數與硬度典型地利用奈米壓痕技 術量測,及這些性質通常隨介電常數減少而減少。 本發明的該多相、超低Κ值薄膜可以利用下列三具體實施 例之一來形成。在第一具體實施例,多相、超低尺值薄膜的 製備利用下列步驟:提供一電漿辅助化學氣相沉積反應 器;放置一基板在該反應器中;流入含Si、C、〇與Η原子 的第一前驅物氣體進入該反應器中;流入包括主要含C與η 原子與選擇的〇、F及Ν的有機分子之第二前驅物氣體進入 該反應器中;流入包括含至少三個,較佳地四個,以_〇鍵 的石夕氧烧氣體進入5亥反應器中;及沉積一多相、超低κ值薄 膜在該基板上。選擇地,該沉積薄膜可以在不小於3〇〇。(:的 溫度熱處理至少0·25小時的時間。本發明第一具體實施例 可以進一步包括提供一平行板反應器的步驟,該反應器具 有一基板夾盤的導電面積在約3〇〇 cm2與約8〇〇 em2之間,及 在該基板與一頂電極之間的一間隙在約〇1 em與約1〇 ^❿之 間。施加-RF功率到至少諸電極之_。該基板可以被放置 在加功率電極或接地電極之上。 在第二具體實施例,超低K值薄膜可以利用包括下列步驟 的方法來製備:提供-電漿辅助化學氣相沉積反應器;放 置一基板在該反應器中;流入含Si、C、〇與Η原子的第一 91262-951020.doc -10- 1281707 前驅物氣體進入該反應器中;流入包括主要含C,Η原子與 選擇的0、F及Ν的有機分子之第二前驅物氣體進入該反應 器中;流入包括含對電子束輻射敏感的反應基分子的一前 驅物氣體進入該反應器中;沉積一多相、超低Κ值薄膜在該 基板上;及以電子束輻射固化該沉積的薄膜。本發明的第 二具體實施例可以進一步包括提供一平行板反應器的步 驟,該反應器具有一基板夾盤的導電面積在約3〇〇 cm2與約 800 cm2之間,及在該基板與一頂電極之間的一間隙在約〇1 cm與約10 cm之間。施加一 rf功率到至少諸電極之一。該 基板可以被放置在加功率電極或接地電極之上。 在本發明的第三具體實施例,該多相、超低K值薄膜利用 提供一電漿輔助化學氣相沉積反應器的步驟來製備;放置 一基板在該反應器中;流入含Si、C、Ο與Η原子的第一前 驅物氣體進入該反應器中;流入包括含對電子束輻射敏感 的反應基分子的一前驅物氣體進入該反應器中;沉積一多 相、超低Κ值薄膜在該基板上;及以電子束輻射固化該沉積 的薄膜。本發明的第三具體實施例可以進一步包括提供一 平行板反應器的步驟,該反應器具有一基板夾盤的導電面 積在約300 cm2與約800 cm2之間,及在該基板與一頂電極之 間的一間隙在約0·1 em與約10 cm之間。施加一 RF功率到至 少諸電極之一。該基板可以被放置在加功率電極或接地電 極之上。 已知在上面提到的三具體實施例的每一個之第一前驅物 可以由一氣體混合物組成及一前驅物氣體混合物被用在形 91262-951020.doc -11 - 1281707 成本發明的多相、超低κ值薄膜。 * 在上面說明的三具體實施例的任一個,He、c〇2或c〇2 〇2的此5物可以用來與前驅物氣體之一結合或可以直接 力入反應器中。本發明再另一個具體實施例,或c〇2(或 一 He與C〇2的混合物)可以用做—承載氣體。 本毛月進步扣向一電子結構其具有在一 BE〇L交連結 構中做為層間或中間層介電體的絕緣材料層,其包括一預 處理半導體基板具有内埋在第—層絕緣材料之金屬的第一 區域’第-區域的導體内埋在包括本發明一多才目、超低κ 材料的第二層絕緣材料中’第二層絕緣材料與第一層絕緣 材料緊密接觸’第一區域的導體與第一區域的金屬電性聯 繫’及弟二區域的導體與第一區域的金屬電性聯繫及内埋 =包括本發明多相、超低K值薄膜的第三層絕緣材料中,該 弟二層絕緣材料與H絕緣材料緊密接觸。 該電子結構可以進一步包括位 .rv匕括位在弟一層絕緣材料與第二 二一声二之間的一介電帽盖層’及可以進-步包括位在 =二=料與第三層絕緣材料之間的一介電帽蓋層。 以、,.〇構可以進一步包括位在第二層絕緣 絕緣材料之間的第一介電蓋 ,、弟—層 一切 及弟二介電帽蓋声名筮 二層絕緣材料的頂端。 9 該介電帽蓋材料可以選自氧化石夕、氮… 石夕碳氮化物⑻CN)、具有耐火金屬Ta、z;^鼠化石夕、 m ^ r Hf或w的耐火令 屬氮切、碳切1碳氧㈣、碳摻 : 化或氮化的化合物。第一與第二 :化物及其氣 %丨目盒層可以選自介電 91262-951020.doc -12- 1281707 材料的相同群組。第一層絕緣材料可以是氧化矽或氮化; 或換雜各種物質的這些材料,如PSG或BPSG。該電子結構 可以進一步包括沉積在至少第二與第三層絕緣材料之一之 上的一介電材料的擴散障壁層。該電子結構可以進一步包 括在第一層絕緣材料頂端的一介電層用做一尺压硬式遮罩/ 研磨終止層。该電子結構可以進—步包括第_介電腿硬式 遮罩/研磨終止層在第二層絕緣材料的頂端,第一介電rie 擴政障壁層在第—介電研磨終止層的頂端上,第二介電WE 硬式遮罩/研磨終止層在第三層絕緣材料的頂端上,及第二 I電擴政p早壁層在苐一介電研磨終止層的頂端上。該電子 m構可以進一步包括與本發明上面提到在一多相材料的一 中門層/1電體與一超低κ值薄膜的一間層介電體之間相同 材料的一介電帽蓋層。 【實施方式】 本發明揭露一多相、超低κ值薄膜具有增進的彈性模數 及更度’以及製造該薄膜的方法。揭露在較佳具體實施例 的薄膜包含至少兩相’其中第—相是-包含Si、C、〇與η 共價鍵結網狀結構的氫化氧化矽碳材料(Sic〇H)的”主"基 質。本發明多相、超低κ值薄膜的其他相主要包括C與H原 2。該多相、超低K值薄膜可以進一步包括分子級孔隙及孔 穴’亦即近似0.5至20奈米的直徑。 甚且,本發明的多相、超低K值薄膜具有一介電常數不 大於約2.7,較佳地不大於約24 ’一彈性模數約7或更大, 及-硬度從約i.2或更大。縱然更佳地’該多相、超低〖值 91262-951020.doc -13 - 1281707 薄膜具有一介電常數不大於約2·2,一彈性模數約5或^ : 大,及一硬度從約〇_8或更大。本發明進一步揭露利用一平 ; 行板電漿輔助化學氣相沉積反應器製造一多相、超低K值薄 膜的各種方法。 現在參考圖1A,表示本發明一雙相材料一放大的橫截面 圖示。第一相100是一”主,,基質其是一包含Si、c、〇與Η共 價鍵結的網狀氫化氧化的矽碳材料(siC〇H)及具有一介電 吊'數不大於3·6。該第一相的共價鍵結網狀結構如圖16所 示。 · 現在參考圖1Β,實線代表Si、C、Ο與Η原子間的共價鍵。 其為一混亂的網狀,以致結構中沒有存在基本的重複單 兀。孩氫原子如"Η”所示,標示為1〇1。網狀結構中的氧原 · 子如〇所不,標不為102。網狀結構中的碳原子如,,C,,所示 及‘示為103。網狀結構中的矽原子由四條線的交點所代表 及標示為1〇4。氧原子位在C或Si的2原子之間。 奈米尺寸的孔隙,亦即,名 ,從0 ·5到2 0奈米的直徑。第一相的
91262-951020.doc 位在第一相内的是本發明超低K材料的第二相1〇5。該第 二相基本上由C與H原子組成。該多相材料進一步包括許多 φ v -14- 1281707 ;:: 相107。垓第二相基本上由C與H原子及許多奈米 尺寸的孔隙’亦即,從〇.5到2〇〇奈米的直徑所組成。 、在本發明薄膜的另-具體實施例,第三相107可以開放區 域(或孔隙)存在,其可以本發明多相材料的第—相之混亂網 ,(二B)的破裂做說明。或者,該第三相由C與Η原子,及 許夕不米尺寸的孔隙所組成。孔隙的尺寸可以大於雙相組 成中的孔隙。特職’第三相中孔隙的尺寸從0.5到100奈 米的直徑。 本發明的多相、超低〖值薄膜也具有約5至約⑽原子百分 比Si ’約5至約45原子百分比C ;自〇至約5〇原子百分比〇 ; 及約10至約55原子百分比H的特徵。 更佳地,該薄膜具有約10至約2〇原子百分比Si;約2〇至 約35原子百分比C ;自15至約35原子百分比0 ;及約2〇至約 4 5原子百分比η。 根據本發明製造的一實施例薄膜,該組成是15原子百分 比Si ; 28原子百分比C ; 24原子百分比〇 ;及33原子百分比 H ’具有一使用RBS量測的密度1.55±0.〇2 g/cm3。 如上所示,本發明的多相、超低κ值薄膜可以利用三個 不同具體實施例形成。在第一具體實施例,超低κ值薄膜利 用下列步驟製備··提供一電漿輔助化學氣相沉積反應器, 放置一基板在反應器中,流入含S i、C、Ο與Η原子的第一 W驅物氣體或氣體混合物進入該反應器中;流入包括主要 含C與Η原子與選擇的〇、F及Ν的有機分子之第二前驅物氣 體進入該反應器中;流入包括含至少三個,較佳地四個, 91262-951020.doc -15- 1281707
Si-0鍵的矽氧烷氣體進入該反應器中及沉積一多相、超低κ 值薄膜在該基板上。 在第二具體實施例,超低Κ值薄膜可以使用包括下列步 驟的方法製備:提供一電漿辅助化學氣相沉積反應器,放 置一基板在反應器中,流入含Si、C、〇與Η原子的第一前 驅物氣體進入該反應器中;流入包括主要含C與Η原子與選 擇的0、F及Ν的有機分子之第二前驅物氣體進入該反應器 中;流入包括含對電子束輻射敏感的反應基分子的第三前 驅物氣體進入該反應器中;沉積一多相、超低κ值薄膜在該 反應器中的基板上及以電子束輻射固化該沉積薄膜。該第 三前驅物氣體可以是一矽氧烷或一雙官能基有機分子。 在本發明第二具體實施例,超低κ值薄膜製備的步驟是 利用提供的一電漿輔助化學氣相沉積反應器,放置一基板 在反應器中,流入含Si' C、0與H原子的第一前驅物氣體 進入該反應器中;流入包括含對電子束輻射敏感的反應基 分子的第二丽驅物氣體進入該反應器中;沉積一多相、超 低K值薄膜在基板上及以電子束㈣固化該沉積薄膜。使用 在本發明第二具體實施例的第=前驅才勿氣體可以是一石夕氧 烧或一雙官能基有機分子。 在上面提到的本發明三具體實施例中,使用的第-前驅 物可以選自包含至少Si、c、c^H原子的一或多個分子。 氧化分子如02或N20可以加入楚-圧r ^ + 刀八弟一别驅物。較佳地第一前驅 物是一含Si、C、Ο與Η原子的功备p ,, + 于的夕乳烧,特別喜歡用具環狀 的矽氧烷。某些極佳的第一前聰犏每a y丨a , 引犯物實施例包括選自具環結 91262-951020.doc • 16 - 1281707 構分子的秒氧烧如1,3,5,7,-四甲基環四砍氧燒(tmcTS或 C4H1604Si4),八甲基環四矽氧烷(〇MCTS或C8H24〇4Si4),四 乙基環四矽氧烷(C8H24〇4Si4),十甲基環五石夕氧烷 (C10H3〇〇5Si5),三甲基環三矽氧烷,六甲基環三石夕氧烧,石夕 甲烧混合一氧化劑如〇2或NW或包括Si、〇與c的前驅物混 合物之分子。該前驅物可以直接以一氣體輸入反應器中, 以一液體輸入直接氣化在反應器中,或以一鈍氣承載氣體 如氦或氬輸送。該第一前驅物氣體可以進一步包含如氮、 氟或鍺的元素。 使用在本發明第一及第二具體實施例的第二前驅物可以 選自包含至少C與Η原子的一或多個有機分子。因此,本發 明思考例子使用其中一單獨的第二前驅物,或兩個或更多 個的組合,較佳地兩個不同的、第二前驅物。選擇上,〇、 Ν或F原子可以在有機分子中獲得,或含該等原子的有機分 子可以加入前驅物混合物中。該前驅物可以直接以一氣= 輸入反應器中,以一液體輸入直接氣化在反應器中,或以 一鈍氣承載氣體如氦或氬輸送。 在一具體實施例,第二前驅物選自群組包含(:與11原子的 具環結構分子如環狀碳氫物、環狀醇、環狀_、環狀乙^ 環狀酮、環狀自旨、盼、環狀氨或其他含環狀碳氫物的〇、ν 或f。更佳地’第二前驅物分子是一含約6至12碳原子 環(或聚環)碳氫物’較佳地具3或更多原子的環。較 施例包括環狀烯類之2,5-原冰片二浠(也做為二環[2.2七 -2,5-二稀),原冰片炔2,5_原冰片二烯(也稱做二環[m]七 91262-951020.doc -17- Ϊ281707 2’5'二烯),原冰片烷(也稱做二環[2.2.1]庚烷)。其他的例 子是三環[3·2·1·0]辛烷、三環[3·2·2·0]壬烷,連結的環碳氫 螺方疋[3.4]辛烧、螺旋[4·5]壬烧、螺旋[5.6]葵燒及相似 者。或者,含5至12個碳原子的環碳氫物(環戊烷、環己烷 及相似者)及也可以使用含6至12個碳原子的環芳香碳氫物 (苯、甲苯、二甲苯及相似者)。選擇上,〇或F原子可以在 杜;刀子中獲;[于,或含该專原子的分子可以加入前驅物混 合物中。 特別有用的是含稠環的物種,至少其中之一包含異種原 子,較佳地是氧。這些物種中,最適當的是那些包括供給 重要的環系的環的大小,稱為3或4原子及/或7或更多原子 的%。特別吸引地是一類化合物稱為噁二環物的成員。在 沒些已有用的實施例中,是6_噁二環[31〇]己烷或環戊烯氧 化物(在760 mmHg之bp=l〇2°C); 7-噁二環[4·1·〇]庚烷或環 己烯氧化物(在760 mmHg之bP=129°C); 9_噁二環[6.1〇]壬 烷或環辛烯氧化物(在5 mmHg之bp = 55〇c);及7•噁二環 [2.2.1]庚烧或ι,4-環氧環己烧(在η] mmHg之bp= 119。〇)。使 用在本發明第一具體實施例一極佳的稠環物種是環戊烯氧 化物(CPO) 〇 在本發明第一具體實施例,該方法包括一第三前驅物或 一混合物其包括含至少三個,較佳地四個,Si_0鍵的矽氧 烷分子。使用在本發明第一具體實施例的該前驅物加上一 低浪度(基於總前驅物流量的約〇·丨至約丨〇%的等級)的四面 體Si-Ο鍵結到所得的多相、超低κ值薄膜。使用在本發明第 91262-951020.doc •18· 1281707 一具體實施例的第三前驅物可以包括一矽氧烷選自四甲基 正石夕酸鹽(TMOS)、四乙基正矽酸鹽(TEOS)、乙烯三乙氧基 石夕烧、烯丙基三甲氧基矽烷、乙烯三甲氧基矽烷、烯丙基 三乙氧基矽烷、苯基三乙氧基矽烷、及苯基三甲氧基矽烷。 該前驅物的基也包括含2個未飽和的基,如雙乙烯雙甲氧基 石夕烧’之矽氧烷。該前驅物可以直接以一氣體輸入反應器 中’以一液體輸入直接氣化在反應器中,或以一鈍氣承載 氣體如氦或氬輸送。 本發明第二及第三具體實施例詳述使用一前驅物氣體或 此合物其包括含對電子束輻射敏感的活性基之分子,典 型地這些是含至少1 C-C雙、三鍵或一未飽和環的未飽和碳 氫基。該前驅物可以是一含一未飽和碳氫基的矽氧烷,或 其可以是一雙官能基有機分子。對電子束輻射敏感的活性 基之例子包括,但不限制:乙烯基、烯丙基、苯基、乙炔 基及其混合物。包含對電子束敏感的活性基之矽氧烷分子 的前驅物氣體之說明例子包括:乙烯三甲氧基矽烷、烯丙 基三乙氧基石夕烧、烯丙基三甲氧基矽烷、苯基三乙氧基矽 烷、及苯基三甲氧基矽烷及含未飽和碳氫基的相關矽氧 烧’包括,但不限制於乙烯基、烯丙基、苯基及乙炔基。 包括含對電子束敏感的活性基之雙官能基有機分子的前驅 物氣體之說明例子包括直鏈未飽和分子如丨,%丁二烯,丨,4_ 戊二烯’ 1,5-己二烯,及也含單環雙官能基分子如二環[2 21] 七-2,5-二烯(或’’原冰片二烯”)及含超過一個未飽和c_c雙或 二鍵的相關有機分子。 91262-951020.doc •19- 1281707 該前驅物可以直接以一氣體輸入反應器中,以一液體輸 入直接氣化在反應器中,或以一鈍氣承載氣體如氦或氬輸 送。 本發明的方法每一個包括使用一電漿辅助化學氣相沉積 (PECVD)反應器。該沉積可以實施在一連續的模式或一脈 衝权式。較佳地,使用在本發明的PECVd反應器是一平行 板型態反應器。圖3提供一使用在本發明中用來處理2〇〇 晶圓的平行板PECVD反應器10的簡單圖示。該氣體前驅物 經氣體分配板(GDP)14,其利用一間隙與基板夾盤12分離, 引入反應器10中,及經一抽氣口 18抽出該氣體。RF功率2〇 連接到基板夾盤12及傳送到基板22。為了實用目的,反應 器的所有其他部份接地。基板22因此需要一負偏壓,該值 依據反應器與電漿參數而定。在一不同的具體實施例,RF 功率20可以連接到GDP 14,其與反應器絕緣,及該基板夾 盤12接地。在另一具體實施例,可以使用超過一個電源供 應器。例如,兩個電源供應器可以操作在相同的頻率,或 一個可以操作在一低頻率及一個在高頻率。兩個電源供應 器可以連接到兩相同的電極或到個別的電極。在另—具體 實施例,RF電源供應器在沉積期間可以脈衝開或關。在沉 積低K薄膜期間控制的製程變數是RF功率、前驅物混合物 及流率、供應器中的壓力及基板溫度。 在圖3中,X代表反應器側壁間的距離,γ代表反應器頂 端與底端壁間的距離,及Z代表反應益頂端壁與基板2 2頂表 面間的距離。 91262-951020.doc -20- 1281707 在一 >儿積製程期間對一薄膜的主要製程變數控制是rf 功率,前驅物的流率,反應器壓力及基板溫度。應該強調 根據本發明的製造方法僅可能利用具有唯一定義的成長條 件之特定幾何的沉積反應器。當在定義的成長條件下使用 不同成何的反應器時,製造的薄膜無法達到極低的介電 常數。 例如’根據本發明的平行板反應器應具有一基板夾盤的 面積在約300 cm2與約800 cm2之間,及較佳地在約5〇〇⑽2 與約600 cm2之間。基板與氣體分配板(或頂電極)之間的間 隙疋在約0.1 cm與約1〇 cm之間,及較佳地在約cm與約7 cm之間。使用一 RF功率到電極之一的頻率在約mHz與約 15 MHz之間’及較佳地在約13.56 MHz。一低頻功率,1 MHz 以下,可以選擇性施加在如RF功率的相同電極,或以〇到h5 W/cm2功率密度施加在相反電極。 使用的沉積條件也是關鍵的,使根據本發明的沉積製程能 夠成功的完成。例如,使用的晶圓溫度在約25°c與約325°C 之間,及較佳地在約60°C與約200°C之間。使用一rf功率密 度在約0.05 W/cm2與約4_0 W/cm2之間,及較佳地在約0.25 W/cm2與約4 W/cm2之間。 在本發明第一具體實施例,使用的第一前驅物氣體,例 如’ TMCTS,的反應物氣體流率,在約5 seem與約1〇〇〇 sccm 之間’及較佳地在約25 sc cm與約200 sc cm之間。使用的第 二前驅物氣體,例如,CPO,的反應物氣體流率,在約5 sccm 與約50,000 seem之間,及較佳地在約25 seem與約10000 91262-951020.doc -21 - 1281707 seem之間。第三前驅物氣體,即含至少三個Si_〇鍵的矽氧 烧分子,的氣體流率,使用在本發明第一具體實施例是在 約5 sccm與約1〇〇〇 sccm之間,更佳的第三前驅物氣體的流 率在約10 seem與約500 seem之間。 在本發明第二具體實施例,使用的第一前驅物氣體,例 如,TMCTS,的反應物氣體流率,在約5 sccm與約1〇〇〇 sccm 之間,及較佳地在約25 seem與約200 seem之間。使用的第 二前驅物氣體,例如,CPO,的反應物氣體流率,在約5 sccm 與約50,000 sccm之間,及較佳地在約25 sccm與約1〇,〇〇〇 seem之間。第三前驅物氣體,即含對電子束輻射敏感的反 應性基之分子,的氣體流率,使用在本發明第二具體實施 例是在約5 seem與約1〇〇〇 sccm之間,更佳的氣體流率在約 10 seem與約 500 seem之間。 在本發明苐二具體實施例,使用的第一前驅物氣體,例 如’ TMCTS,的反應物氣體流率,在約5 sccm與約1000 sccm 之間’及較佳地在約25 seem與約200 seem之間。第二前驅 物氣體’即含對電子束輻射敏感的反應性基之分子,的反 應物氣體流率是在約5 seem與約1000 seem之間,更佳的氣 體流率在約10 seem與約500 seem之間。 在某些本啦明具體實施例,He以一流率在5 0 sccm與5 000 seem之間加入到上面提到的混合物中。1^6可以加入到任何 氣體用驅物及用做一承載氣體或He可以個別引入PecVD反 應器。 用He做一承載氣體之三個具體實施例的每一個氣體前 91262-951020.doc -22- 1281707 驅物之總反應物氣體流率是從約25 seem至約10,000 seem。用He做一承載氣體之三個具體實施例的每一個氣體 前驅物之總反應物氣體流率較佳地是從約5〇 sccm至5000 seem ° 進一步,使用在三個具體實施例的每一個之第一前驅物 可以與C〇2混合做為一承載氣體或第一與第二前驅物氣體 可以與C〇2或一 C〇2與02的混合物混合在PECvd反應器 中。加入C〇2到第一前驅物做為一承載氣體,或加入0〇2或 一 C〇2與〇2的混合物到PecvD反應器的第一與第二前驅物 籲 中提供PECVD反應器電漿的穩定化效應及增進沉積在基板 上薄膜的均勻性。當(:02與第一及第二前驅物混合時,C〇2 的里可以從約25 seem至約1 〇〇〇 seem,及更佳地從約50 · seem與約500 sccm。當一 c〇2與〇2的混合物與第一及第二前 驅物混合時’ C〇2的混合量可以從約25 sCCm至約1〇〇〇 seem ’及〇2的混合量可以從約〇_5 seem至約50 seem。更佳 地’ C02的量從約5〇 seem與約500 seem及02的量是從約1 seem至約30 sccm。或者,一He與C〇2的混合物或單獨的He 修 可以取代上面具體實施例的c〇2。 /儿積程期間的反應器壓力使用在約5〇 mTorr與約5000 mT〇rr之間’及較佳地在約lOOmTorr與約3000 mTorr之間。 從前面特定例應該知道基板夾盤面積的改變因子,X, 即自約300 cm2與約800 cm2之間範圍内一值的改變,將以一 因子X改變RF功率。相似地,在基板夾盤面積的改變因子, Y ’及在氣體分佈平板與基板夾盤之間的間隙的改變,將以 . 91262-951020.doc -23- 1281707 —因子x改變,從前面特定例,將結合自前面特定例氣體流 ' 率一改變因子,YZ。假如使用一多階沉積反應器,基板的 : 面積表示每個個別的基板夾盤及氣體流率表示一個別的沉 積階段。據此,輸入反應器的總流率與總功率是乘以反應 器内沉積階段的總數目。 在二個具體實施例之每一個,沉積的薄膜在承受進一步 積體化製程之前可以選擇性穩定化。穩定化製程可以利用 在/jnL度不小於300 C實施退火至少〇·25小時的時間。更佳 地,在一爐退火步驟的退火約在3〇〇。^至約45(rc實施約在 _ 〇·5小時至約4小時的時間。該穩定化製程也可以一快速熱 退火實施在高於約300艺的溫度。根據本發明在一非氧化氣 氛得到的薄膜之熱穩定性達到4〇(rc以上的溫度。注意本發 · 明該步驟用做進-步減低本發明多相、超低κ介電薄膜的介 電Φ數。在熱處理步驟期間,衍生自包含^與η及選擇性〇 科的有機前驅物分子片段可以熱分解及從該薄膜釋放轉 羑成#乂 J刀子。選擇上,孔隙的進一步發展可以因分子片 段轉變及釋放的製程發生在薄膜中。因此降低薄膜的密度。· φ 、在本發明一更佳力具體實施例,禾J用兩加熱步驟實施退 火在第一加熱步驟,該薄膜在不高於300°C的溫度加熱第 時間間隔’及之後,在第二加熱步驟,該薄膜在不低於 士 C的’皿度加熱第二時間間隔,其中第二時間間隔比第一 時間間隔長。較佳地,第二時間間隔至少比第一時間間隔 長十倍。 在本毛月一個具體實施例之每一個,但特別地對本發明第· 91262-951020.doc -24- 1281707 二興弟三具體實施例,多相、超低⑽薄膜的沉積跟隨—固· .· 化步驟。較佳地,固化是使用電子束輕射在溫度從約赠 ‘ 至約45(TC實施約0.5至約100分鐘的時間。實施例的條件是 使用約續⑽keV之間的電子束能量,及一電子輕射的劑 量等於約50與約5000微居里/平方公分。較佳的條件使用約 2與30 keV之間的電子束能量,及一電子輻射的劑量等於約 100與約2000微居里/平方公分。在某些具體實施例,電子 束固化在真空下實施。本發明第二與第三具體實施例中, 使用隨著多相、超低K值薄膜沉積之電子束固化步驟形成一籲 更緻密的區域在薄膜頂端表面,及其利用調整電子束輻射 條件完成。該緻密的區域可以用做一CMp終止區域形成在 超低K值薄膜的頂端中。 本發明製程所得的該多相、超低κ值薄膜具有介電常數 · Κ<2·7的特徵,及在一 BE〇L交連結構高達45〇。〇溫度的正常 製权之製程積體化是熱穩定的。進一步,該多相、超低κ 值薄膜在水中具有極低的裂痕傳播速度,即低於1〇-9瓜/8與 縱然可以低於10·11 m/s。該多相薄膜與方法因此可以容易在 _ 邏輯與記憶裝置的BE0L製程適用於製造多相、超低κ值薄 膜做為層間及中間層介電體。本發明的該多相、超低κ值薄 膜也具有包括增進上面所提的彈性模數與硬度的增進機械 性質的特徵。 利用本發明新方法形成的電子裝置如圖4-7所示。應該注 意圖4-7所示的裝置僅是本發明的說明實施例,而一無限數 目的其他裝置也可以利用本發明新方法形成。 91262-951020.doc -25- 1281707 在本發明的某些具體實施例,在本發明薄膜頂端表面的 一j密區域可以利用調整電子數輻射條件形成。該薄膜的 ’致山區域在_ CMP蝕刻步驟是有用的。具有該緻密的頂端 表面的該薄臈可以用在本文說明的任一電子裝置。 在圖4中,表示建構一電子裝置3〇在一矽基板32上。在矽 基板32的頂端上,首先形成具有内埋其中的第一金屬區域 36的一絕緣材料層34。在第一金屬區域%實施一 製程 後’本發明的—多相、超似值薄膜38沉積在第—層絕緣材 料34與第一金屬區域36的頂端上。第一層絕緣材料34可以 適當地由氧化石夕、氮化石夕、摻雜的各種該材料、或任何其 他適當的絕緣材料形成。該多相、超低κ值薄膜观著在茲 刻後以一微影蝕刻製程形成圖案及沉積一導體層4〇在其 上。在第-導體層40上實施一 CMp製程後,第二層多相、 超低K值薄膜4 4利用-電漿辅助化學氣相沉積製程沉積覆 蓋第一多相、超低κ值薄膜38與第一導體層4〇。該導體層4〇 可以由-金屬材料或一非金屬導體材料沉積。例如,—金 屬材料鋁或銅,或一非金屬材料氮化物或多晶矽。第一導. 體層40與弟一金屬區域%導電連通。
在-微影㈣製程之後,跟著姓刻後第二金屬區域5〇接 著形成在第二多相、超低〖值薄膜層44上及接著是一對第二 導體材料的沉積製程。第二金屬區域5〇也可以由一金屬: 料或一非金屬材料沉積’相似於沉積第-導體層40所使用 者。第二金屬區域50與第-區域導體4〇導電連通及内埋在 第二層的多相、超低K絕緣體44。第二層的多相、超低W 91262-951020.doc -26- 1281707 薄膜與第-層絕緣材料38緊密接觸。在該例中,多相、超 低κ值薄膜的第—層絕緣材料以是―層間介電材料,而第二 層絶緣材/料,即該多相、超低K值薄膜44是一層間與一中間 層:電體兩者。根據低介電常數的多相、超低κ值薄臈,: 用第、、、巴、緣層38與第二絕緣層44可以得到較佳的絕緣性 質。 圖5表示一本發明電子裝置6〇相似於圖4所示的電子裝置 30,但是具有一增加的介電帽蓋層“沉積在第一絕緣材料 層38與第二絕緣材料層料之間。該介電帽蓋層以可以適當 地由如氧化矽、氮化矽、矽氧氮化物、具有Ta、Zr、Hf或 w、蛱化矽、碳氮化矽(SiCN)、碳氧化矽(sic〇)之耐火金屬, 及其氫化化合物之耐火金屬矽氮化物的材料所形成。增加 的介電帽蓋層62做為防止第一導體層4〇擴散進入第二絕緣 材料層44或進入較低層,特別是進入層34與32,之擴散障 壁層。 本發明另一具體實施例電子裝置7〇如圖6所示。在電子裝 置70,兩增加的介電帽蓋層72與74其作用_R][E遮罩與做為 CMP(化學機械研磨)的研磨終止層。第一介電帽蓋層72是沉 積在第一多相、超低艮絕緣材料層38的頂端上及用做一 rIE 遮罩與CMP終止層,故在CMP後,第一導體層4〇與層72是 近似共平面。第二介電層74相似於層72的功能,然而層72 用在平坦化第二導體層50。研磨終止層74可以由適當地介 電材料如氧化矽、氮化矽、矽氧氮化物、具有Ta、Zr、Hf 或W、碳化矽、碳氧化矽(Sic〇)之耐火金屬,及其氫化化 91262-951020.doc -27- 1281707 5物之耐火金屬石夕氮化物的材料所沉積。一較佳的研磨終 止層組成適用在層72或74的SiCH或siCOH。一第二介電層 74可以加在第二多相、超低κ絕緣材料層44的頂端用做相同 的目的。 仍舊本發明另一具體實施例電子裝置80如圖7所示。在該 替代的具體實施例,沉積一增加的介電材料層82及因此分 割第二絕緣材料層44成兩分離的層84與86。該層間與中間 介電層44由一多相、超低κ材料所形成,如圖4所示,因此
被分割成一中間層介電層84與一層間介電層86在通孔92與 父連94之間的邊界。一增加的擴散障壁層%進一步沉基在 上介電層74的頂端。由該取代的具體實施例電子結構8〇所 提供增加的好處是介電材料層82做為一腿蝕刻終止層提 供較佳的交連深度控制。因&,選擇層82的組成提供對於 層86的蝕刻選擇性。 、仍舊其他另—具體實施例可以包括—電子結構其具有4 為層間或中間層介雷# & έ 電紅的絕緣材料層在一包括一預處理ό
半:體基板之接線結構中’其具有第一區域金屬内 一層絕緣材料中,第一 Α ¥體内埋在第二層絕緣材半 八中苐一層絕緣材料鱼楚 a 楚胃I、弟一層絕緣材料緊密接觸,石 弟一&域導體與第一區 览F ^ 硃孟屬電性連通,第二區域導體與 弟一區域導體電性連通及内埋 二展π終4 第—層絶緣材料,其中第 一層、、、巴緣材料與第二層絕 — 層在第二層絕緣材料與第三層觸’第-介電帽蓋 蓋層在第三層絕緣材料頂端:==之間及第二介電帽 ,、中弟一與第二介電帽蓋 91262-951020.doc -28- 1281707 層由包括Si、C、〇與H原子的材料或較佳地本發明_多相、 超低Κ值薄膜所形成。 仍售本發明其他另一具體實施例包括一電子結構直呈有 做為層間或中間層介電體的絕緣材料層在-包括-預處理 时導體基板之接線結構中,其具有第-區域金屬内埋在 Γ層絕緣材料中,第—區域導體内埋在第二層絕緣材料 ,其第一層絕緣材料與第-層絕緣材料緊密接觸,第一 區域導體與第一區域金屬電性連通,第二區域導體與第_ 區域導體電性連通及内埋在第三層絕緣材料中,該第三層 =材料與第二層絕緣材料緊密接觸,及一擴散障壁層: 2明-多相、超低〖值薄膜沉積在至少第二與第三層絕緣 材料之一所形成。 =其他另—具體實施例包括—電子結構其具有做為層 間或中間層介電體的絕緣材料層在一包括一預處理的半導 體基板之接線結構中,其具有第一區域金屬内埋在第一声 ;邑、緣材料令’第—區域導體内埋在第二層絕緣材料中,其 弟二層絕緣材料與第一層絕緣材料緊密接觸,第一區域導 =第-區域金屬電性連通,第二區域導體與第一區域導 =料通及内埋在第三層絕緣材料中,該第三層絕緣材 、弟二層絕緣材料緊密接觸反應性離子_(RIE)第 二層絕緣材料頂端上的硬式遮罩/研磨終止層,及一擴散障 壁層在㈣硬式遮罩/研磨終止層頂端上,其中娜更式遮罩 研磨終止層與擴散障壁層由本發明多相、超低Μ薄膜所 开,成。 91262-951020.doc 29- 1281707 仍舊其他另—具體實施例包括-電子結構其具有做為層 間或中間層介電體的絕緣材料層在_包括—預處理的半導 體基板之接線結構中,其具有第—區域金屬内埋在第—層 絕緣材料中’第-區域導體内埋在第二層絕緣材料中,直 第二層絕緣材料與第—層絕緣材料緊密接觸,[區域導 體與第—區域金屬電性連通’第二區域導體與第一區域導 體電性連通及内埋在第三層絕緣材料中,該第三層絕緣材 料與第二層絕緣材料緊密接觸,一第一請硬式遮罩,研磨 終止層在第二層絕緣材料頂端上,一第一擴散障壁層在第 - RIE硬式遮罩/研磨終止層頂端上,第二眺硬式遮罩/研 祕止層在第三層絕緣材料頂端上u二擴散障壁層 在第二RIE硬式遮罩/研磨終止層頂端上,其中rie硬式遮罩 /研磨終止層與擴散障壁層由本發明多相、超低K值薄膜所 形成。 仍舊本&明其他另—具體實施例包括__電子結構豆且有 做為接線結構中層間或中間層介電體的絕緣材料層相:於 上面緊接的說明但推_半勺k 人 V匕括一"電帽蓋層其由包括位在 一中間介電層與一層間介電層之間的Si、C、〇與η原子的 材料所形成。 本發明新方法與由該方法形成的電子結構因此已簡潔說 在上面的描述及在圖1-7的附圖中。應該強調表示在圖Ο 的本發明電子結構的實施例僅用做本發明新方法的說明, 明顯地’其可以應用在無限多的電子裝置之製造。 雖然以一說明的方含>、+,士 & Λ為述本餐明,應該了解使用的術語 91262-951020.doc -30- 1281707 期望是說明文字的特性而不是限制。 · 進一步,雖然本發明已利用一較佳 ^ Μ BQ Λ 及终夕其他的星濟眘 施例s兄明,要重視的是那些熟知 ,體實 便應用這4b教導至Α他太 、食 將可以方 ―❹至其他本發明的可能變化。 【圖式簡單說明】 本無明的14些與其他目的、特徵與好處從下列詳細說明 及附圖將變得吏明顯,其中·· 圖1岐树明雙㈣料岐大、橫心風。 ㈣表發明雙相材料的第-相之鳆意共價陳圖示 表示。 圖本發明三相材料的放大、橫截面圖。 圖3是本發明平行板化學氣相沉積反應器的橫截面圖示。 圖4是本發明電子裝置的放大、橫戴面圖示,兵有一由多 相、超低Κ值薄膜形成層間介電層與一中間層介電層。 圖5是本發明圖4的電子結構的放大、橫戴面圖示,具有 一增加的擴散障壁介電帽蓋層沉積在本發明的多相、超低κ 值薄膜的頂端上。 圖6疋本發明圖5的電子結構的放大、橫截面圖示,具有 立曰加的RIE硬式遮罩/研磨終止介電帽蓋層及/介電帽蓋 擴散障壁層沉積在研磨終止層的頂端上。 圖7是本發明圖6的電子結構的放大、橫戴面圖示,具有 一增加的RIE硬式遮罩/研磨終止介電層沉積在斫磨終止層 的多相、超低Κ值薄膜的頂端上。 【圖式代表符號說明】 91262-951020.doc 31- 1281707 ίο 12 14 18 20 22 30 32 34 36 38 40 44 50 60 62 70 72 74 80 82 84 86 92 反應器 基板夾盤 氣體分配板 抽氣口 RF功率 基板 電子裝置 矽基板 絕緣材料層 第一金屬區域 第一絕緣層 第一區域導體 第二絕緣層 第二金屬區域 電子裝置 介電帽蓋層 電子裝置 介電帽蓋層 介電帽蓋層 電子裝置 介電材料層 中間層介電層 層間介電層 通孔 91262-951020.doc 1281707 94 交連 96 擴散障壁層 100 第一相 101 氫原子 102 氧原子 103 碳原子 104 矽原子 105 第二相 107 第三相 X 因子 Y 因子 ζ 因子
91262-951020.doc -33 -

Claims (1)

  1. 知修衡正% 1281^礙105173號專利申請案 中文申請專利範圍替換本(96年1月) 拾、申請專利範圍·· 1. 一種形成多相 步驟: 超低介電常數薄 膜的方法,其包括以下 沉積(PECVD)的反應器 放置基板在電漿輔助化學氣相 中; 應器中; 流入包含主要含有C斑Η馬工AAA >、11原子的有機分子之第二前驅物 氣體進入該反應器中; 流入包含至少含有:r他)q · ^ ^ 、 ~ 卜〇鍵的矽氧烷分子之第三前 驅物氣體進入該反應器中;及 沉積多相、超低κ值薄膜在該基板上。 2.如申請專利範圍第1項的方法,盆 '、中5玄弟一刖驅物氣體包 含含有Sl、C、〇與Η原子的單獨分子。 3 ·如申請專利範圍第1項的古1 廿 八八古…的其中該第-前驅物氣體包 含含有Sl、c、^H原子的分子混合物。 4·如申請專利範圍第1項的方、本,直 , 万法其進一步包含加入He到該 氣體混合物中。 5·如申請專利範圍第丨項的古 一 負的方法,其進一步包含加入C02或 I、 2的混合物到該氣體混合物中。 6. 如申請專利範圍第㈣ Γ ^ t 去,、中s亥第—刖驅物氣體是 含有心、。〇與η原子的矽氧烷分子。 7. 如申請專利範圍第6項的方、本,立由^ 烷。 、 法,/、中该矽氧烷是環矽氧 91262-960J16.doc ^〇17〇7 8 ★申睛專利範圍第7項的方 曱I # 7万法其中該環矽氧烷是選自四 Τ基壤四秒氧烧,十甲基環五 烷,- ^ ’乳况,八甲基壞四矽乳 二甲基環三矽氧烷及丄甲其一 組。 /、甲基祗二矽氧烷所組成的群 9 如申凊專利範圍第丨項 四甲其$ 方法八中该弟一前驅物氣體是 i 〇 土衣四矽氧烷或八甲基環四矽氧烷。 D申請專利範圍第1項的 i 卜 —半 / /、中该弟一前驅物氣體進 V匕括氮、氟或鍺的元素。 如申請專利範圍第1項 ^ ♦牴 、、',/、中該第二前驅物氣體包 仏給重要環系物種的稠環, 承夕 衣/、甲口亥稠%包括4、5、7或 史多原子的環。 令申晴專利範圍第1項的方 ,1 一、 合P /、 Μ弟一前驅物氣體包 3裱戊烯氧化物。 13::請專利範圍第丨項的方法’其中該第三前驅物氣體包 3各有3或4個Si-o鍵的矽氧烷分子。 如申請專利範圍第丨項的方苴 iS , ,、Τ σ亥弟二前驅物氣體是 乙自四甲基正石夕酸鹽(TM0S)、四乙基正石夕酸鹽(te〇s)、 埽二乙氧基㈣、婦丙基三甲氧基残、乙稀三甲氧 :石夕院、烯丙基三乙氧基㈣、苯基三乙氧基錢、及 本基三甲氧基矽烷所組成之群。 1 5·如申請專利範圍第丨項的方法, ^ y, 史穸包括熱處理該沉 積後的多相、超低K值薄膜。 1 6 ·如申請專利範圍第丨5 , /、甲该熱處理是在不低 於約300 C的溫度維持至少0.25小時的時n 丁 j于間下進行之退火 91262-960] 16.doc 1281707 步驟。 17. 如申^專利範圍第15項的方法,纟中該熱處理係以兩步驟 進灯’其中第一步驟係該薄膜是被加熱到不高於約3〇〇°C 的溫度維持第一時間’及在第二步驟,該薄膜是被加熱 到不低於約300 C的溫度維持第二時間,其中該第二時間 長於第一時間。 18. 如申清專利範圍第!項的方法,其進一步包含以電子束固 化4夕相、超低κ值薄膜,該電子束固化係在從約35〇〇c 至450 C的酿度維持從約i分鐘至約分鐘之時間下進 行0 其中該PECVD反應器是平 19.如申請專利範圍第1項的方法 行板反應器。 20·如申請專利範圍第19頊 .^ ^ 貝的方法,其中該平行板反應器具 有面積在約300cm2盘的}^ 一、、〕800 cm之間之基板夾盤,及在基 板與頂端電極之間約1 cm β ! π Βθ 21. 22. J cm及約10 cm之間之間隙。 如申請專利範圍第19項的方法 平行板反應器之RF功率的電極 如申請專利範圍第1項的方法, 下步驟: ’其進一步包括施加到該 〇 其中該沉積進一步包含以 設定該基板在25°C與400°C之η夕、、田择· U乏間之▲度,及設定從0.05 到4.0 W/cm2之RF功率密度。 23·如申請專利範圍第旧的方法,《中該沉積進一步包含咬 定該第一前驅物氣體的流率在約5 8_與約1〇〇〇阶j 間的步驟。 91262-960116.doc 1281707 24·如申請專利範 定命笛― 項的方法’其中該沉積進一步包含設 .,^ 體的'机率在約5與約50,〇〇〇 sccm之間 的步驟。 25.如申請專利範圍第1 h h卜一 員的方法,其中該沉積進一步包含設 €亥弟—月丨』驅物韻άΑ、、去、士 … 勺、"IL率在約5 seem與約1〇〇〇 sccm之 間的步驟。 26.1 申請專利範圍第1項的方法,纟中該沉積進-步包含設 疋。亥PECVD反應器在約5〇瓜丁㈣與約5_㈤丁抓之間之 氣壓。 27·如申凊專利範圍第1項的方法,纟中該第-前驅物氣體是 四甲基ί衣四石夕氧燒及該第二前驅物氣體是環戊烯氧化 物。 28. —種形成多相、超低介電常數薄膜的方法,其包括以下 步驟: 器 放置基板在電漿辅助化學氣相沉積(PECvd)的反應 中; 流入包含Si、C、〇與η原子的第一前驅物氣體進入該反 應器中; 流入包含主要含有C與Η原子的有機分子之第二前驅物 氣體進入該反應器中; 流入包含含有對電子束輻射敏感的反應性基分子之第 三前驅物氣體進入該反應器中; 沉積一多相、超低Κ值薄膜在該基板上;及 以電子束輻射固化該沉積的薄膜。 91262-960116.doc 1281707 29. 如申明專利乾圍第28項的方法,其中該第一前驅物氣覺 包含含有Si、C、〇與Η原子的單獨分子。 30. 如申.月專利範圍第28項的方法,其中該第一前驅物氣楚 包含含有Si、C、〇與Η原子的分子混合物。 31·如:請專利範圍第28項的方法,其進-步包含混合該第 一前驅物氣體與He。 32.如申請專利範圍第28項的方法,進—步包含混合該第一 前驅物氣體與C〇2或一叫與〇2混合物。 33·如申請專利範圍第28項的方法,其中該第-前驅物氣體 是含有Sl、C、°與Η原子的矽氧烷分子。 34. 士申π專利乾圍第33項的方法,其中該梦氧烧是環石夕氧 炫。 35. 如中請專利範圍第34項的方法,其中該料氧烧是選自 四甲基環四石夕氧烧,十甲基環五石夕氧烧,八甲基環四石夕 乳烷’二甲基環三石夕氧烷及六甲基環三石夕氧烷所組成的 群組。 3 6 ·如申清專利範圍第2 8 jp # f θ田且 貞的方法’其中該第-前驅物氣體 疋甲基每四石夕氧烧或八甲基環四石夕氧院。 3 7·如申清專利範圍第28項的方 惟一牛… 貝的方法,其中該第-前驅物氣體 進一步包括氮、氟或鍺的元素。 38. 如申請專利範圍第28項的方法, … 包括供給重要環f物# '、 ^ 一則驅物氣體 或更多原子的環。 …稠%包括4、5、7 39. 如申請專利範圍第28項的方法, Τ °亥弟二前驅物氣體 91262-960116.doc 1281707 包含環戊烯氧化物。 40·如申清專利範圍第28項的方法,其中該第三前驅物氣體 疋矽氧烷分子及該第三前驅物氣體的反應性基團是選自 乙烯基、烯丙基、苯基、乙炔基及其混合物所組成的群 組0 41.如申請專利範圍第28項的方法,其中該第三前驅物氣體 是選f 6稀三甲氧基石夕院、稀丙基三乙氧基石夕烧、苯基 三乙氧基矽烷、苯基三甲氧基矽烷及含有2未飽和基的矽 氧烧所組成之群。 42. 如巾請專利範圍f28項的方法,其中該第三前驅物氣體 疋k自乙烯基、烯丙基、苯基或乙块基及其混合物的石夕 烷與矽氧烷所組成的群組。 43. 如申請專利範圍第28項的方法,其中該第三前驅物氣體 疋4自含有未飽和碳氫基錢㈣氧㈣組成的群给。 44. 如申請專利範圍第28項的方法,i 义 是選自含有超過一未飽和c_c雙;中該第…物氣體 分子所組成的群組。 冑或三鍵的雙官能基有機 45. 如申請專利範圍第28項的方法, 是iP自1 3 τ ^ 八中忒弟二前驅物氣體 ,认戊二歸,t 月,基刀子及二環雙官能基分子所組成之群。 46. 如申請專利範圍第28項的方法,i 沉積後的多相、超低K值薄膜。八進—步包括熱處理該 47. 如申請專利範圍第46項的 於約3 0 0 C的溫度維持至少 方法,其中該熱處理是在不低 〇·25小時的時間下進行之退火 9I262-960116.doc 1281707 步驟。 48. 49. 50. 51. 52. 53. 54. 55. 如申請專利範圍第46項 驟進行,”第牛驟 其中該熱處理係以兩步 .步驟’該薄膜是被加熱 二時間,其中該第二時間 其中該第二時間至少長 …弟一步驟該薄膜是被加熱到不高於約幫 的溫度維持第一時間,及在第 到不低於約3〇〇°C的溫度維持第 長於第一時間。 如申請專利範圍第48項的方法 於第一時間10倍。 如申請專利範圍第28項的方法,纟中固化係在約赋至 5〇 c的血度維持從約!分鐘至約则分鐘之時間下進行。 如申請專利範圍第30項的方法,其中細⑽反應器是 平行板反應器。 如申睛專利範圍第5 1項的古、土 貝的方法,其中該平行板反應器具 有面積在約300 cm2盘的Rrm 2 ^ m ” 4 800 em2之間之基板夾盤,及在基 板與一頂端電極之間約1⑽及約H) c m之間之間隙。 ^申睛專利範圍第51項的方法,進—步包含施加到該平 行板反應器之RF功率的電極的步驟。 如申请專利範圍第28項的方法,其中該沉積進—步包含 以下步驟: 。又定4基板在25C與4〇〇°c之間之溫度;及設定從〇 〇5 到4.0 W/cm2之RF功率密度。 如申明專利範圍第28項的方法,其中該沉積進一步包含 設定該第一前驅物氣體的流率在約5 sccm與約i〇〇〇 sccm 之間的步驟。 91262-960116.doc 1281707 其中該沉積進一步包含 約5與約50,00ο seem 之 56.如申請專利範圍第28項的方法, 設定該第二前驅物氣體的流率在 間的步驟。 其中該沉積進一步包含 約5 seem與約1〇〇〇 seem 57·如申請專利範圍第28項的方法, 設定該第三前驅物氣體的流率在 之間的步驟。 58.如申請專利範圍第28項的方法,其中該沉積進—步包含 設定該PECVD反應器在約50 ^與之間 之氣壓。 59·如申請專利範圍第28項 日 ^只扪万/友,其中該第一前驅物氣體 疋甲& %四石夕氧烧及該第二前驅物氣體是環戍稀氧化 物0 60. 一種形成多相、超低介電常數薄膜的方法,其包括以下 步驟: 放置基板在電漿輔助化學氣相沉積(pEC VD)的反應器 中; 流入含有Si、c、0與H原子的第一前驅物氣體進入該反 應器中; 流入包含對電子束輻射敏感的反應性基分子之第二前 驅物氣體進入該反應器中; 沉積多相、超低K值薄膜在該基板上;及 以電子束輻射固化該沉積的薄膜。 6 1 ·如申請專利範圍第60項的方法,其中該第一前驅物氣體 是由包含含有Si、C、0與Η原子的單獨分子。 91262-960116.doc 1281707 62. 如申請專利範圍第6〇項 是由包含含有si、c、⑽狀—前驅物氣體 與11原子的分子混合物。 63. 如申請專利範圍第6〇項的 -前驅物《與I ,、進-步包含混合該第 64. 如申請專利範圍第6〇項的方法,盆 -前驅物氣體與⑶诚—⑺*/、進步包含混合該第 24 C〇2與〇2的混合物。 65·如申請專利範圍第6〇項的方法 县人古Q · n ^ t Τ 4弟一前驅物氣體 疋含有SpC、〇與η原子的石夕氧院。 66. 如申請專利範圍第65項的 烧。 ,、Τ 4矽氣烷是環矽氧 67. 如申請專利範圍第64項的方法, 四甲其俨W 具中5亥^矽氧烷是選自 四甲基%四矽孔烷,十 -田甘 /乳況八甲基環四矽 虱烷,二甲基環三矽氧烷及 群組。 Τ基衣二矽氧烷所組成的 68·如申請專利範圍第6〇項的方法, 是四甲基環四石夕氧烧或八…弟…物氣體 我1况次八甲基環四矽氧烷。 69·如申請專利範圍第6〇項的方法,其中 進一步包括氮、氟或鍺的元素。 月Μ區物乳體 7〇·如申請專利範圍第6G項的方法,其中該第二前㈣氣體 的該反應性基團是選自人 軋體 固疋k自含有乙烯基、烯丙基、 炔基及其混合物的矽栌你 本基或乙 勺夕烷與矽氧烷所組成的群組。 71·如申請專利範圍第6〇 是選自乙熵-”讨 古具中垓弟一則驅物氣體 、烯二乙氣基矽烷、烯丙基三甲氧基矽烷、乙嫌 三甲氧基矽烷、嫌兩其=7 7烷、乙烯 土一氧基矽烷、苯基三乙氧基矽 91262-960116.doc 1281707 炫:苯基三甲氧基石夕烧及含有2未飽和基的石夕氧烧所 之群 組成 72·如申請專利範圍第60項的复 ^ ^ ^ , / ,、進一步包括熱處理該 沉積後的多相、超低K值薄膜。 73.如申請專利範圍第72項的方法,其中該熱處理是 於約的溫度維持至少0.25小時的時間下進行之退火 步驟。 74·如申請專利範圍第72項的太、土 甘丄 . 的方法,其中該熱處理係以兩步 驟進行’其中第一步驟該續 θ μ ’專膜疋被加熱到不高於約30(TC 的溫度維持第一時間,及 在第一步驟,該薄膜是被加熱 到不低於約300°C的溫度錐技楚一 、、、持第一時間,其中該第二時間 長於第一時間。 75.如申請專利範圍第74項的 貝的方法,其中該第二時間至少長 於第一時間10倍。 76·如申請專利範圍第6〇 、勺方法,其中固化是在從約350°C 至約450°C的溫度維持你 汙攸、、勺1分鐘至約3 00分鐘之時間下 進行。 77·如申請專利範圍第60項的 只的方法,其中該PECVD反應器是 平行板反應器。 78·如申請專利範圍第77 貝的方法,其中該平行板反應器具 有面積在約300 cm2至約 3 800 cm2之間之基板夾盤,及在基 板與頂端電極之間約1 cm及約10 cm之間之間隙。 79·如申請專利範圍第7 ^ 員的方法,進一步包含施加到該平 行板反應器之RF功率的免 刀午的電極的步驟。 91262-960ll6.doc -10- 1281707 其中該沉積進一步包含 80.如申請專利範圍第60項的方法, 以下步驟: 設疋該基板在25°C盥400°Γ夕^ ,、υυϋ之間之溫度;及設定從〇.〇5 到4.0 W/cm2之RF功率密度。 8 1 ·如申請專利範圍第60項的方法, 只w乃床,其中該沉積進一步包含 設定該第一前驅物氣體的流率 肢“丨l手在約5 seem與約1000 sccm 之間的步驟。 其中該沉積進一步包含 約 5 seem與約 1〇〇 sccm 82·如申請專利範圍第60項的方法, 設定該第二前驅物氣體的流率在 之間的步驟。 83.如申請專利範圍第60項的方法,其中該沉積進一步包含 設定該PECVD反應器在約5〇 mT〇rr與約5_ mT〇rr之間 之氣壓。 84·如申請專利範圍第60項的 ^ ^ ^ α ^ Η日]万去,其中以電子束輻射固化 沉積薄膜的步驟是在真空環境下進行。 85·如申請專利範圍第6〇項的 只的方法,其中以電子束輻射固化 沉積薄膜的步驟是使用雷工i 疋從用逼子能量在約1 keV與約1〇〇 keV 之間進行。 其中以電子束輻射固化 在約2 keV與約30 keV之 86·如申請專利範圍第6〇項的方法, /儿積薄膜的步驟是使用電子能量 間進行。 ’其中以電子束輻射固化 射劑量在約50與約500微 87·如申請專利範圍第6〇項的方法 沉積薄膜的步驟是使用電子輻 居里/平方公分之間進行。 91262-960116.doc 1281707 88. 89. 90. 91. 92. 93. 94. 95. 如申請專利範圍第60項的方法,其中以電子束輻射固化 沉積薄膜的步驟是使用電子輻射劑量在約1〇〇與約2〇〇〇 微居里/平方公分之間進行。 一種多相、超低K值介電薄膜,其包含以、C、〇與1^原子 的’且該薄膜具有約2·4或更小之介電常數,I米尺寸的 孔隙或孔穴,約5或更大之彈性模數及約〇·7或更大之硬 度。 如申晴專利範圍第89項的多相、超低κ值介電薄膜,其具 有約2.2或更小之介電常數,奈米尺寸的孔隙或孔穴,約3 或更大之彈性模數,及約0.3或更大之硬度。 如申睛專利範圍第89項的多相、超低κ值介電薄膜,其中 口玄示米尺寸的孔隙或孔穴具有從約〇·5至約2〇奈米之孔 徑。 如申請專利範圍第89項的多相、超低κ值介電薄膜,其中 該薄膜具有氫化的氧化矽碳材料(Sic〇H)的第一相,該氫 化的氧化矽碳材料係由以、〇、〇與11在一共價鍵的網狀2 構中組成,及至少主要由(::與11原子組成的另一相。 如申請專利範圍第89項的多相、超低κ值介電薄膜,其中 在該薄膜頂端表面之緻密區域是利用調整電子束輻射 件來形成。 ' 〃 -種電子結構’其包含至少如t請專利範圍第89項的多 相、超低K值介電薄膜。 夕 一種電子結構,其包含至少如申請專利範圍第89項的一 多相、超低K值介電薄膜及進一步包含在該薄膜頂端表面 91262-960116.doc -12- 1281707 之緻密區域。 96. 如申請專利範圍第94項的電子結構,其中該多相、超低K 值介電薄膜是後段製程(BEOL)接線結構之中間層或層間 介電體。 97. 如申請專利範圍第94項的電子結構,其中該多相、超低K 值介電薄膜是BEOL接線結構的帽蓋或擴散障壁。 98. 如申請專利範圍第94項的電子結構,其中該多相、超低K 值介電薄膜是用在BEOL接線結構的硬式遮罩或研磨終 止層。 91262-960116.doc -13 -
TW93105173A 2003-03-18 2004-02-27 Ultra low k (ULK) SiCOH film and method TWI281707B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/390,801 US7288292B2 (en) 2003-03-18 2003-03-18 Ultra low k (ULK) SiCOH film and method

Publications (2)

Publication Number Publication Date
TW200428493A TW200428493A (en) 2004-12-16
TWI281707B true TWI281707B (en) 2007-05-21

Family

ID=33029679

Family Applications (1)

Application Number Title Priority Date Filing Date
TW93105173A TWI281707B (en) 2003-03-18 2004-02-27 Ultra low k (ULK) SiCOH film and method

Country Status (9)

Country Link
US (3) US7288292B2 (zh)
EP (1) EP1617957B1 (zh)
JP (3) JP2006521019A (zh)
KR (1) KR100724508B1 (zh)
CN (1) CN1787881B (zh)
AT (1) ATE479729T1 (zh)
DE (1) DE602004028922D1 (zh)
TW (1) TWI281707B (zh)
WO (1) WO2004083495A2 (zh)

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8293001B2 (en) 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US7384471B2 (en) 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
TWI282124B (en) * 2002-11-28 2007-06-01 Tosoh Corp Insulating film material containing an organic silane compound, its production method and semiconductor device
TWI240959B (en) 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US8137764B2 (en) 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
WO2005053009A1 (ja) * 2003-11-28 2005-06-09 Nec Corporation 多孔質絶縁膜及びその製造方法並びに多孔質絶縁膜を用いた半導体装置
US7341761B1 (en) * 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7547643B2 (en) * 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7491658B2 (en) * 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
DE102005007825B4 (de) 2005-01-10 2015-09-17 Interpane Entwicklungs-Und Beratungsgesellschaft Mbh Verfahren zur Herstellung einer reflexionsmindernden Beschichtung, reflexionsmindernde Schicht auf einem transparenten Substrat sowie Verwendung einer derartigen Schicht
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7253105B2 (en) * 2005-02-22 2007-08-07 International Business Machines Corporation Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
US7265437B2 (en) * 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US20080009141A1 (en) * 2006-07-05 2008-01-10 International Business Machines Corporation Methods to form SiCOH or SiCNH dielectrics and structures including the same
US8080282B2 (en) * 2006-08-08 2011-12-20 Asm Japan K.K. Method for forming silicon carbide film containing oxygen
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
KR100845941B1 (ko) * 2007-03-27 2008-07-14 성균관대학교산학협력단 저유전 상수값을 갖는 박막 제조 방법 및 이에 의하여제조된 박막
CN101126148B (zh) * 2007-07-27 2010-04-21 北京印刷学院 一种具有阻隔兼防护功能的纳米薄膜及其制做方法
US20090061649A1 (en) * 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
US20090061237A1 (en) * 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
KR100909757B1 (ko) * 2007-10-31 2009-07-29 주식회사 하이닉스반도체 반도체 소자의 층간절연막 형성 방법
KR100962044B1 (ko) * 2007-12-06 2010-06-08 성균관대학교산학협력단 저유전 플라즈마 중합체 박막 및 그 제조 방법
US20100143580A1 (en) * 2008-05-28 2010-06-10 American Air Liquide, Inc. Stabilization of Bicycloheptadiene
US20090324928A1 (en) * 2008-06-26 2009-12-31 Vijayakumar Ramachandrarao Forming ultra low dielectric constant porous dielectric films and structures formed thereby
CN101580410B (zh) * 2008-08-29 2012-08-29 广东大众农业科技股份有限公司 一种利用造纸污泥生产碱性有机肥的方法
US8298965B2 (en) * 2008-09-03 2012-10-30 American Air Liquide, Inc. Volatile precursors for deposition of C-linked SiCOH dielectrics
US20100151206A1 (en) 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
US8999734B2 (en) * 2009-03-10 2015-04-07 American Air Liquide, Inc. Cyclic amino compounds for low-k silylation
US8261158B2 (en) * 2009-03-13 2012-09-04 Fusion-Io, Inc. Apparatus, system, and method for using multi-level cell solid-state storage as single level cell solid-state storage
US8266503B2 (en) 2009-03-13 2012-09-11 Fusion-Io Apparatus, system, and method for using multi-level cell storage in a single-level cell mode
US20120032323A1 (en) * 2009-04-30 2012-02-09 Masahiro Matsumoto Semiconductor device and method of manufacturing the same
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
EP2319821A1 (en) 2009-11-06 2011-05-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Stabilization of bicycloheptadiene
US8481355B2 (en) * 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8854882B2 (en) 2010-01-27 2014-10-07 Intelligent Intellectual Property Holdings 2 Llc Configuring storage cells
US8315092B2 (en) * 2010-01-27 2012-11-20 Fusion-Io, Inc. Apparatus, system, and method for determining a read voltage threshold for solid-state storage media
US8661184B2 (en) 2010-01-27 2014-02-25 Fusion-Io, Inc. Managing non-volatile media
US8492239B2 (en) 2010-01-27 2013-07-23 International Business Machines Corporation Homogeneous porous low dielectric constant materials
US8314005B2 (en) * 2010-01-27 2012-11-20 International Business Machines Corporation Homogeneous porous low dielectric constant materials
US8380915B2 (en) 2010-01-27 2013-02-19 Fusion-Io, Inc. Apparatus, system, and method for managing solid-state storage media
KR20130043084A (ko) * 2010-02-17 2013-04-29 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 SiCOH 로우-K 필름의 증착 방법
US9997357B2 (en) * 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8927430B2 (en) 2011-07-12 2015-01-06 International Business Machines Corporation Overburden removal for pore fill integration approach
US8541301B2 (en) 2011-07-12 2013-09-24 International Business Machines Corporation Reduction of pore fill material dewetting
US8828489B2 (en) 2011-08-19 2014-09-09 International Business Machines Corporation Homogeneous modification of porous films
JP6105204B2 (ja) * 2012-02-10 2017-03-29 株式会社日立ハイテクサイエンス Tem観察用試料作製方法
US8804415B2 (en) 2012-06-19 2014-08-12 Fusion-Io, Inc. Adaptive voltage range management in non-volatile memory
US8753449B2 (en) * 2012-06-25 2014-06-17 Applied Materials, Inc. Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
US9196849B2 (en) * 2013-01-09 2015-11-24 Research & Business Foundation Sungkyunkwan University Polymer/inorganic multi-layer encapsulation film
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
US9058983B2 (en) 2013-06-17 2015-06-16 International Business Machines Corporation In-situ hardmask generation
US9371430B2 (en) 2013-08-19 2016-06-21 Research & Business Foundation Sungkyunkwan University Porous film with high hardness and a low dielectric constant and preparation method thereof
KR101506801B1 (ko) * 2013-08-19 2015-03-30 성균관대학교산학협력단 고강도 저유전 플라즈마 중합체 박막 및 그 제조 방법
WO2015116350A1 (en) 2014-01-29 2015-08-06 Applied Materials, Inc. Low temperature cure modulus enhancement
CN103996654B (zh) * 2014-06-09 2017-01-25 苏州大学 多相低介电常数材料层的制造方法
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US11749563B2 (en) * 2018-06-27 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interlayer dielectric layer
CN110158052B (zh) 2019-05-17 2021-05-14 江苏菲沃泰纳米科技股份有限公司 低介电常数膜及其制备方法
TWI814856B (zh) 2019-07-05 2023-09-11 聯華電子股份有限公司 半導體元件及其製作方法

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2917490A1 (de) * 1979-04-30 1980-11-13 Basf Ag Verfahren zur herstellung von aliphatischen und cycloaliphatischen di- und polyurethanen
US4473516A (en) * 1983-01-03 1984-09-25 Hoover Universal, Inc. Method and apparatus for injection molding plastic articles having solid exterior surfaces and porous interior cores
US4569814A (en) * 1984-07-03 1986-02-11 Motorola, Inc. Preforming of preheated plastic pellets for use in transfer molding
US4814137A (en) * 1988-02-16 1989-03-21 Westinghouse Electric Corp. High performance reliability fuel pellet
US5043199A (en) * 1988-10-31 1991-08-27 Fujitsu Limited Resin tablet for plastic encapsulation and method of manufacturing of plastic encapsulation using the resin tablet
NL9200127A (nl) * 1992-01-23 1993-08-16 Ireneus Johannes Theodorus Mar Werkwijze voor het in een vormholte persen van een door een reactie uithardende kunststof, een daarbij te gebruiken pilvormig pershulpmateriaal alsmede een houder uit dergelijk materiaal.
JPH06312793A (ja) * 1993-04-26 1994-11-08 Mk Seiko Co Ltd エンジンオイル交換装置
EP0742586A3 (en) * 1995-05-02 1998-03-11 Texas Instruments Incorporated Improvements in or relating to integrated circuits
US5888443A (en) * 1996-05-02 1999-03-30 Texas Instruments Incorporated Method for manufacturing prepackaged molding compound for component encapsulation
US6091157A (en) * 1997-12-05 2000-07-18 Advanced Micro Devices, Inc. Method to improve internal package delamination and wire bond reliability using non-homogeneous molding compound pellets
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6071457A (en) * 1998-09-24 2000-06-06 Texas Instruments Incorporated Bellows container packaging system and method
US6361837B2 (en) * 1999-01-15 2002-03-26 Advanced Micro Devices, Inc. Method and system for modifying and densifying a porous film
US6312793B1 (en) 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6440550B1 (en) * 1999-10-18 2002-08-27 Honeywell International Inc. Deposition of fluorosilsesquioxane films
JP2001115021A (ja) * 1999-10-18 2001-04-24 Asahi Kasei Corp シリカ前駆体/有機ポリマー組成物
US6203319B1 (en) * 1999-12-01 2001-03-20 Edward Stanley Lee Pellet-forming mold for dental filling materials
US6582777B1 (en) * 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6270846B1 (en) 2000-03-02 2001-08-07 Sandia Corporation Method for making surfactant-templated, high-porosity thin films
US6576568B2 (en) 2000-04-04 2003-06-10 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
WO2002007191A2 (en) 2000-07-13 2002-01-24 The Regents Of The Universty Of California Silica zeolite low-k dielectric thin films
JP3882914B2 (ja) * 2000-08-02 2007-02-21 インターナショナル・ビジネス・マシーンズ・コーポレーション 多相低誘電率材料およびその堆積方法
CN100386472C (zh) * 2000-10-25 2008-05-07 国际商业机器公司 作为在半导体器件中的层内和层间绝缘体的超低介电常数材料及其制造方法、以及包含该材料的电子器件
US6790789B2 (en) * 2000-10-25 2004-09-14 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US6441491B1 (en) 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6756323B2 (en) * 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6649540B2 (en) 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
KR100343938B1 (en) * 2000-11-29 2002-07-20 Samsung Electronics Co Ltd Preparation method of interlayer insulation membrane of semiconductor
US6572923B2 (en) 2001-01-12 2003-06-03 The Boc Group, Inc. Asymmetric organocyclosiloxanes and their use for making organosilicon polymer low-k dielectric film
SG98468A1 (en) * 2001-01-17 2003-09-19 Air Prod & Chem Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US20020132496A1 (en) 2001-02-12 2002-09-19 Ball Ian J. Ultra low-k dielectric materials
US6677680B2 (en) 2001-02-28 2004-01-13 International Business Machines Corporation Hybrid low-k interconnect structure comprised of 2 spin-on dielectric materials
JP2002280379A (ja) * 2001-03-15 2002-09-27 Asahi Kasei Corp 絶縁薄膜用の多孔性シリカ薄膜
US6780499B2 (en) * 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
JP2003142476A (ja) * 2001-11-01 2003-05-16 Asahi Kasei Corp 絶縁薄膜用の多孔性シリカ薄膜
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US7307343B2 (en) * 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US7122880B2 (en) * 2002-05-30 2006-10-17 Air Products And Chemicals, Inc. Compositions for preparing low dielectric materials
AU2003302222A1 (en) * 2002-07-22 2004-06-30 Massachusetts Institute Of Technolgoy Porous material formation by chemical vapor deposition onto colloidal crystal templates
TWI273090B (en) * 2002-09-09 2007-02-11 Mitsui Chemicals Inc Method for modifying porous film, modified porous film and use of same
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
JP4231996B2 (ja) 2002-11-14 2009-03-04 信越化学工業株式会社 エアバッグ目止め材用シリコーンゴム組成物
US7011890B2 (en) * 2003-03-03 2006-03-14 Applied Materials Inc. Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
WO2004106058A1 (en) * 2003-05-27 2004-12-09 Exxonmobil Chemical Patents Inc. Tie-layer materials for use with ionomer-based films and sheets as skins on other materials
US8137764B2 (en) * 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
WO2005053009A1 (ja) * 2003-11-28 2005-06-09 Nec Corporation 多孔質絶縁膜及びその製造方法並びに多孔質絶縁膜を用いた半導体装置
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050161060A1 (en) * 2004-01-23 2005-07-28 Johnson Andrew D. Cleaning CVD chambers following deposition of porogen-containing materials
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7229934B2 (en) * 2004-10-18 2007-06-12 International Business Machines Corporation Porous organosilicates with improved mechanical properties
US7202564B2 (en) * 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7265437B2 (en) * 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US20070009673A1 (en) * 2005-07-06 2007-01-11 Asm Japan K.K. Insulation film and method for manufacturing same

Also Published As

Publication number Publication date
ATE479729T1 (de) 2010-09-15
US20050276930A1 (en) 2005-12-15
KR100724508B1 (ko) 2007-06-07
EP1617957A2 (en) 2006-01-25
TW200428493A (en) 2004-12-16
JP2011082540A (ja) 2011-04-21
CN1787881B (zh) 2012-12-26
JP2012109589A (ja) 2012-06-07
CN1787881A (zh) 2006-06-14
US20080026203A1 (en) 2008-01-31
US20090297823A1 (en) 2009-12-03
JP2006521019A (ja) 2006-09-14
WO2004083495A3 (en) 2005-02-03
WO2004083495A2 (en) 2004-09-30
US7288292B2 (en) 2007-10-30
KR20050110649A (ko) 2005-11-23
EP1617957A4 (en) 2007-03-28
EP1617957B1 (en) 2010-09-01
DE602004028922D1 (de) 2010-10-14
JP5511781B2 (ja) 2014-06-04

Similar Documents

Publication Publication Date Title
TWI281707B (en) Ultra low k (ULK) SiCOH film and method
TWI324381B (en) Low k and ultra low k sicoh dielectric films and methods to form the same
JP4272424B2 (ja) 半導体素子のレベル内またはレベル間誘電体としての超低誘電率材料、その製造方法、およびそれを含む電子デバイス
KR100702508B1 (ko) 유전 물질 및 beol 상호 접속 구조체
US6541398B2 (en) Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6312793B1 (en) Multiphase low dielectric constant material
TW546252B (en) Hydrogenated oxidized silicon carbon material
US6790789B2 (en) Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
JP3882914B2 (ja) 多相低誘電率材料およびその堆積方法
TW200913067A (en) Improved low k porous SiCOH dielectric and integration with post film formation treatment
TWI244507B (en) Method of depositing carbon doped SiO2 films and fabricating metal interconnects
TW563202B (en) An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and an electronic device containing the same

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees