JPH0812847B2 - 半導体製造装置及び半導体装置の製造方法 - Google Patents

半導体製造装置及び半導体装置の製造方法

Info

Publication number
JPH0812847B2
JPH0812847B2 JP3090612A JP9061291A JPH0812847B2 JP H0812847 B2 JPH0812847 B2 JP H0812847B2 JP 3090612 A JP3090612 A JP 3090612A JP 9061291 A JP9061291 A JP 9061291A JP H0812847 B2 JPH0812847 B2 JP H0812847B2
Authority
JP
Japan
Prior art keywords
wafer
film
temperature
gas
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP3090612A
Other languages
English (en)
Other versions
JPH05251353A (ja
Inventor
和夫 前田
徳 徳増
裕子 西本
Original Assignee
株式会社半導体プロセス研究所
アルキヤンテック株式会社
キヤノン販売株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社半導体プロセス研究所, アルキヤンテック株式会社, キヤノン販売株式会社 filed Critical 株式会社半導体プロセス研究所
Priority to JP3090612A priority Critical patent/JPH0812847B2/ja
Priority to DE69229431T priority patent/DE69229431T2/de
Priority to EP92908472A priority patent/EP0537364B1/en
Priority to PCT/JP1992/000468 priority patent/WO1992019011A1/ja
Priority to US07/958,105 priority patent/US5314538A/en
Publication of JPH05251353A publication Critical patent/JPH05251353A/ja
Publication of JPH0812847B2 publication Critical patent/JPH0812847B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Formation Of Insulating Films (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

【発明の詳細な説明】
【0001】 (目次) ・産業上の利用分野 ・従来の技術(図10,図11) ・発明が解決しようとする課題 ・課題を解決するための手段 ・作用 ・実施例 (1)本発明の実施例の半導体製造装置 (a)第1の実施例(図1) (b)第2の実施例(図4〜図6) (2)本発明の実施例の半導体装置の製造方法 (c)第3の実施例(図2,図3) (d)第4の実施例(図7〜図9) ・発明の効果
【0002】
【産業上の利用分野】本発明は、半導体製造装置及び半
導体装置の製造方法に関し、より詳しくは、ウエハを外
気に触れさせることなく異なる種類の処理を連続的に行
うことにより膜質の改良された単層の膜や多層の膜を形
成することが可能な、所謂マルチステッププロセス装置
等の半導体製造装置及び半導体装置の製造方法に関す
る。
【0003】近年、VLSI(Very Large Integrate C
ercuit)半導体装置の製造装置においては、いくつかの
処理を外気に触れさせることなく連続して行うマルチプ
ロセスの概念を採り入れたものが主流となり、生成膜な
どの膜質の向上や多層膜間の密着性の向上を図ることが
できるようになっている。処理の組み合わせとして、例
えば、膜形成と膜形成後のエッチバック,酸化前処理と
酸化膜形成,又はバリアメタル膜/メタル膜の連続形成
等が上げられる。
【0004】
【従来の技術】このような処理が可能な半導体製造装置
として、代表的なものにマルチチャンバを用いた所謂マ
ルチチャンバプロセス装置,各処理部を近接して配置し
たマルチステッププロセス装置又はクラスタ装置等があ
る。
【0005】図10は、従来例のマルチチャンバを用い
て異なる種類の処理を連続的に行うことによりウエハ上
に絶縁膜を形成する半導体製造装置の構成図である。
【0006】図10において、1はマルチチャンバ内外
へのウエハの搬入・搬出の準備を行うためのカセットチ
ャンバ、3はウエハの搬入・搬出の際、チャンバ間の圧
力を整合するロードロックチャンバ、7aはカセットチ
ャンバ1とロードロックチャンバ2との間を開閉するバ
ルブ、4はウエハを搬送するロボット5が設置されたバ
ッファチャンバ、7bはロードロックチャンバ3とバッ
ファチャンバ4との間を開閉するバルブ、6a〜6eは
バッファチャンバ4を中心として放射状に設けられた処
理チャンバで、例えば、(1)処理チャンバ6aはプラ
ズマCVD(Chemical Vapor Deposition )法によるSi
O2膜形成(膜形成温度400 ℃)のために、(2)処理チ
ャンバ6bは減圧CVD法によるPSG膜形成(膜形成
温度400 ℃)のために、(3)処理チャンバ6cは形成
膜のエッチバック(室温)のために、(4)処理チャン
バ6dはプラズマCVD法によるSi3N4 膜形成(膜形成
温度400 ℃)のために、(5)処理チャンバ6eは減圧
CVD法によるSiO2膜形成(膜形成温度400 ℃)のため
に、それぞれ用いられる。なお、各処理チャンバ6a〜
6e内にはウエハ9を膜形成温度に保持するためヒータ
が設けられている。また、8a〜8eはバッファチャン
バ4とそれぞれの処理チャンバ6a〜6eとの間を開閉
するバルブである。また、2はウエハ9をカセットチャ
ンバ1からロードロックチャンバ3に備えられた不図示
のロボットに受け渡すロボットである。
【0007】上記の半導体製造装置を用いて、SiO2膜/
PSG膜からなる平坦な多層の絶縁膜を形成する場合、
ウエハ9は下記のようにチャンバ間を移動する。即ち、
処理チャンバ6a/処理チャンバ6b/処理チャンバ6
cの順序で各処理が行われるように、ロボット5がウエ
ハ9を各処理チャンバ6a,6b,6cへ搬入・搬出す
る。
【0008】
【発明が解決しようとする課題】ところで、ウエハ9を
膜形成温度に加熱・保持するための不図示のヒータは各
処理チャンバ6a,6b,6c内に設けられており、ウ
エハ9が上記の処理チャンバ6a,6b,6cを移動す
る間にウエハ9の温度は室温と膜形成温度との間で変動
する。例えば、上記の例では25℃/400 ℃/25℃/
400 ℃/25℃というように変動する。このため、ウエ
ハ9は一種の温度サイクルを受け、形成されたCVD膜
の膜質の低下や劣化,或いは形成膜へのストレスの発生
などが起こるという問題がある。
【0009】また、CVD膜を形成後にアニールを必要
とする工程では、CVD膜形成後、一旦ウエハを取り出
してカセットに収納し、その後、このカセットを移動し
て加熱炉に挿入して加熱処理を行う。このような場合の
ウエハの温度履歴を図11に示す。
【0010】この場合にも、ウエハ温度が室温から1000
℃まで図10に示す従来例以上に大きく変動するという
問題がある。
【0011】本発明は、かかる従来の問題点に鑑みてな
されたもので、異なる種類の処理を連続的に行う間、ウ
エハ温度の変動を低減することにより、熱歪み等の発生
を防止し、形成膜の膜質の変化や劣化を防止することが
できる半導体製造装置及び半導体装置の製造方法を提供
することを目的とするものである。
【0012】
【課題を解決するための手段】上記課題は、第1に、上
向きに反応ガスを放出するガス分散具を備えた膜形成部
と、前記形成された膜を後処理する処理手段が上向きに
設置されている処理部と、前記ガス分散具及び前記処理
手段と対面するように下向きにウエハを保持し、そのま
ま前記膜形成部と前記処理部の間を移動するウエハ保持
具と、前記ウエハ保持具の移動中を含め、前記ウエハを
加熱する、前記ウエハ保持具に設置された加熱手段とを
有することを特徴とする半導体製造装置によって達成さ
れ、第2に、前記処理手段は、上向きに赤外線を放射す
る赤外線照射手段、上向きに紫外線を放射する紫外線照
射手段、又は処理ガス供給手段であることを特徴とする
第1の発明に記載の半導体製造装置によって達成され、
第3に、前記膜形成部と前記処理部とを一組とし、これ
らが複数組順に並べられていることを特徴とする請求項
1又は請求項2に記載の半導体製造装置。第4に、膜形
成部で、第1の温度に加熱されたウエハを下向きに保持
し、反応ガスを上向きに放出して、前記ウエハを前記反
応ガスに曝して成膜する工程と、前記成膜後に前記ウエ
ハを加熱しながら処理部に移動させる工程と、前記処理
部で、赤外線照射により或いは前記加熱手段により前記
ウエハを第2の温度に加熱して、前記形成された膜を後
処理する工程とを有する半導体装置の製造方法によって
達成され、第5に、膜形成部で、第1の温度に加熱され
たウエハを下向きに保持し、反応ガスを上向きに放出し
て、前記ウエハを前記反応ガスに曝して成膜する工程
と、前記成膜後に前記ウエハを加熱しながら処理部に移
動させる工程と、前記処理部で、前記加熱手段により前
記ウエハを前記第2の温度に加熱し、かつ前記ウエハに
紫外線を照射して、或いは処理ガスを散布して前記形成
された膜の後処理を行う工程とを有する半導体装置の製
造方法によって達成される。
【0013】
【作用】本発明の半導体製造装置においては、第1に、
ウエハに反応ガスを供給するガス分散具を有する膜形成
部及び形成された膜の処理部と、ウエハを保持したまま
膜形成部及び処理部の間を順次移動することが可能なウ
エハ保持具とを有し、ウエハ保持具は、ウエハの移動中
を含めてウエハを加熱することが可能な加熱手段を有し
ている。
【0014】従って、膜形成部で膜の形成後、他の場所
で形成膜の後処理を行う場合、例えば、処理部で赤外線
照射種端、紫外線照射手段、或いは処理ガス供給手段に
より、膜のリフロー処理を行ったり、紫外線(UV)照
射処理を行ったり、オゾンガス雰囲気中でのアニール処
理を行ったりする場合、いずれも加熱手段によるウエハ
加熱によりウエハの移動中の自然冷却を防止してウエハ
の温度変動を低減することができる。これにより、ウエ
ハ表面の形成膜に熱歪みを抑制することができる。ま
た、成膜時の温度から直ちに後処理時の温度への設定が
行えるため、加熱又は冷却に時間を要せず、更に、ウエ
ハ温度を安定させ易い。
【0015】第2に、ウエハに反応ガスを供給するガス
分散具を有する複数の膜形成部と、ウエハ載置面にウエ
ハを保持したまま複数の膜形成部の間を順次移動するこ
とが可能なウエハ保持具とを有し、複数のガス分散具は
それぞれ異なる反応ガスの供給手段を有し、ウエハ保持
具はウエハの移動中を含めてウエハを加熱することが可
能な加熱手段を有している。
【0016】従って、異なる種類の複数の膜をウエハ上
に形成する場合に、一の膜の形成後、他の膜を形成する
ためウエハを移動させる間中、ウエハの温度を例えばほ
ぼ一の膜の形成温度に保持しておくことができる。これ
により、ウエハの移動中の自然冷却を防止してウエハの
温度の変動を低減し、形成膜への熱歪みの発生等を防止
して形成膜の膜質の変化や劣化を防止することができ
る。
【0017】本発明の半導体装置の製造方法において
は、第1に、加熱手段を有するウエハ保持具に保持され
たウエハを加熱手段により加熱して第1の温度に保持
し、ウエハの表面に膜を形成した後、膜の加熱処理を行
うため、赤外線照射により或いは加熱手段によりウエハ
を更に加熱してウエハの温度を第1の温度よりも高い第
2の温度に昇温している。
【0018】従って、従来のようにウエハの温度を下げ
ることなく連続して処理を行うことができるので、ウエ
ハの温度の変動を低減することができる。これにより、
形成膜への熱歪みの発生等を防止して形成膜の膜質の変
化や劣化を防止することができる。
【0019】第2に、加熱手段を有するウエハ保持具に
保持されたウエハを加熱手段により加熱して第3の温度
に保持し、ウエハの表面に膜を形成した後、第4の温度
に加熱されたウエハに紫外線照射して、或いは処理ガス
を散布して膜の処理を行うため、ウエハを加熱しなが
ら、ウエハ保持具を膜形成部から処理部に移動させてい
る。
【0020】従って、ウエハ温度は第3の温度と第4の
温度との間の変動で済む。このように、従来と異なり移
動の間にウエハが自然冷却されるのを防止することによ
り、ウエハの温度変動を低減することができるので、形
成膜の膜質の変化や劣化を防止することができる。
【0021】第3に、加熱手段を有するウエハ保持具に
保持されたウエハを加熱手段により加熱して第5の温度
に保持し、ウエハの表面に第1の膜を形成した後、ウエ
ハの温度を第6の温度に保持して第1の膜の上に第2の
膜を形成するため、ウエハ保持具を第1の膜形成部から
第2の膜形成部に移動させる間、ウエハを加熱してい
る。
【0022】従って、ウエハ温度は第5の温度と第6の
温度との間の変動で済む。このように、従来と異なり移
動の間にウエハが自然冷却されるのを防止することによ
り、ウエハ温度の変動を低減することができるので、形
成された膜の膜質の変化や劣化を防止することができ
る。
【0023】
【実施例】以下、図面を参照しながら本発明の実施例に
ついて説明する。
【0024】(1)本発明の実施例の半導体製造装置 (a)第1の実施例 図1(a),(b)は、本発明の第1の実施例の所謂マ
ルチステッププロセス装置で、図1(a)は平面図、図
1(b)は側面図を示す。
【0025】図1(a),(b)に示すマルチステップ
プロセス装置は、ロード/アンロード室10、CVD反
応室(膜形成部)11、赤外線加熱処理室(処理部)1
2がこのような並びで連接され、塵などによる汚染防止
のためフェースダウンで保持されたウエハ表面にCVD
法によりBPSG膜を形成し、更にリフロー処理するこ
とにより、ウエハ表面の平坦化を図ることができる。な
お、各室の間の仕切り壁や各室の間の開閉を行うための
バルブが設けられる場合もある。
【0026】図中符号16はロード/アンロード室10
に備えられたロボットで、ロード/アンロード室10外
からウエハ29を搬入・搬出する。
【0027】22はCVD反応室11に備えられたガス
分散具で、反応ガスを反応ガス導入口19から導入し、
ガスシャワー17のガス放出面18から上向きに反応ガ
スを放出する。そして、ガスシャワー17周辺部を囲む
ガス収集具20により反応の終わった反応ガスを集めて
ガス排出口21からCVD反応室11外に排気する。
【0028】28は赤外線加熱処理室12に備えられた
赤外線照射手段(処理手段)で、酸化防止のためウエハ
29に放出される不活性ガスを導入するガス導入口21
を有する赤外線ランプ保持具23により赤外線ランプ2
4が保持されている。ウエハ29表面に散布された不活
性ガスは赤外線ランプ保持具23の周囲に設けられたガ
ス収集具により集められ、ガス排出口27から赤外線加
熱処理室12外に放出される。
【0029】15は塵などによる汚染防止のためフェー
スダウンでウエハ29を保持するウエハ保持具で、ウエ
ハ29を保持したままロード/アンロード室10、CV
D反応室11、赤外線加熱処理室12の間を行き来す
る。また、ウエハ保持具15にはヒータ(加熱手段)1
4が埋め込まれ、ウエハ載置面13に載置されるウエハ
29を所定の温度に加熱・保持するようになっている。
【0030】以上のように、本発明の第1の実施例のマ
ルチステッププロセス装置においては、ウエハ29に反
応ガスを供給するガス分散具22を有するCVD反応室
11及び形成されたBPSG膜等の膜を加熱・リフロー
する赤外線加熱処理室12と、ウエハ29を保持したま
まCVD反応室11及び赤外線加熱処理室12の間を順
次移動することが可能なウエハ保持具15とを有し、ウ
エハ保持具15は、ウエハ29の移動中を含めてウエハ
29を加熱することが可能なヒータ14を有している。
【0031】従って、CVD反応室11でBPSG膜等
の膜を形成後、赤外線加熱処理室12で赤外線照射手段
28によりBPSG膜のリフロー処理を行う場合、CV
D反応室11と赤外線加熱処理室12との間でのウエハ
29の移動中に、ヒータ14による加熱調整によりウエ
ハの温度を一定の温度に保持しておくことができるの
で、ウエハ29温度の変動を低減することができる。
【0032】なお、第1の実施例では、CVD反応室1
1に赤外線加熱処理室12を連接しているが、赤外線加
熱処理室12の代わりにUV処理室(紫外線処理室)や
オゾン処理室を連接することもできる。これにより、ダ
ングリングボンドを埋めてBPSG膜等の膜を緻密化す
るとともに、ウエハ29の温度変動を低減することによ
り形成膜への熱歪みの発生を防止し、膜質の向上を図る
ことができる。
【0033】(b)第2の実施例 図2,図3(a)〜(c)及び図4は、本発明の第2の
実施例のマルチステッププロセス装置について説明する
図で、それぞれ図4は装置全体の斜視図、図3(a)〜
(c)は赤外線照射手段,紫外線照射手段及びガス分散
具の詳細を示す側面図,図4は装置全体の上面図を示
す。
【0034】図2,図3(a)〜(c)及び図6におい
て、図1(a),(b)と異なるところは、CVD反応
室及び各処理室が回転軸を中心とする円周に沿って並べ
られており、これに従ってヒータ(加熱手段)を有する
ウエハ保持具も円周に沿って移動するようになっている
ことである。このマルチステッププロセス装置では、例
えばリフローにより平坦化されたBPSG膜をSiO2膜で
挟んだ3層構造の絶縁膜を形成することが可能である。
【0035】図2,図3(a)〜(c)及び図4におい
て、37a〜37fは図3(a)〜(c)に示す、互いに分
離されたウエハ保持具で、各ウエハ保持具37a〜37fは
アーム34a〜34fにより回転軸33と固定されている。
そして、回転軸33を回転することにより各ウエハ保持
具37a〜37fのウエハ載置面41a〜41fを含む一平面上
で回転軸33の回りにウエハ保持具37a〜37fのウエハ
載置面41a〜41fが回転するようになっている。また、
各ウエハ保持具37a〜37fは不図示の排気装置と接続さ
れ、不図示の吸引口や窒素ガスの導入口とパイプ状のア
ーム34a〜34fを介して連通しているチャックにより、
ウエハ32a〜32f をウエハ載置面41a〜41fに固定した
り、固定されたウエハ32a〜32f をウエハ載置面41a〜
41fから離脱したりする。また、各ウエハ保持具37a〜
37fには個別にヒータ(加熱手段)42a〜42fが埋め込
まれ、各ヒータ42a〜42fに独立して電力を供給し、各
ウエハ保持具37a〜37fに載置されたウエハ32a〜32f
の加熱・保温を個別に行うことができるようになってい
る。
【0036】38b〜38fは、ウエハ保持具37b〜37fの
ウエハ載置面41b〜41fと対向するように設けられた、
第1の膜形成部のガス分散具/第1の処理部の赤外線照
射手段(処理手段)/第2の膜形成部のガス分散具/第
2の処理部の紫外線照射手段(処理手段)/第3の膜形
成部のガス分散具で、ウエハ保持具37b〜37fとは分離
され、かつ回転軸33を中心とする円周に沿って不図示
の装置の基台に固定して設けられている。
【0037】各ガス分散具38b,38d,38fは、図3
(c)のガス分散具38fに代表して示すように、ウエハ
32b,32d,32fに反応ガスを供給するガスシャワー43
b,43d,43fと、反応の終わったガスを収集するガス
収集具45b,45d,45fとを有し、ガスシャワー43b,
43d,43fに反応ガス導入口39b,39d,39fが接続さ
れ、ガス収集具45b,45d,45fにガス排出口40b,40
d,40fが接続されている。更に、図6に示すように、
各ガス分散具38b,38d,38fのガス放出部は回転軸3
3を中心とする放射方向に直角の方向の複数のスリット
に分割されて設けられている。
【0038】また、赤外線照射手段38cは、図3(a)
に示すように、ウエハ32cを加熱するための赤外線ラン
プ47cと、赤外線ランプ47cを保持する赤外線ランプ保
持具46cと、酸化防止のためウエハに放出された不活性
ガスを収集するガス収集具45cとを有し、赤外線ランプ
保持具46cにガス導入口39cが接続され、ガス収集具45
cにガス排出口40cが接続されている。更に、紫外線照
射手段38eは、図3(b)に示すように、ウエハ32eを
加熱するための水銀ランプ49eと、水銀ランプ49eを保
持する水銀ランプ保持具48eと、酸化防止のためウエハ
に放出された不活性ガスを収集するガス収集具45eとを
有し、水銀ランプ保持具48eにガス導入口39eが接続さ
れ、ガス収集具45eにガス排出口40eが接続されてい
る。
【0039】更に、ヒータ42aと接続されている図4の
スリップリング35a,35bは、回転軸33に設けられ、
回転軸33とともに回転するスリップリング35a,35b
の正・負の極性と対応するように接触している一対の集
電子36a,36bに接続されている。そして、一対の集電
子36a,36bは不図示の電源と接続され、スリップリン
グ35a,35bを介してヒータ42a〜42fに電力を供給で
きるようになっている。なお、図4では1つのウエハ保
持具37aのヒータ42aと接続しているスリップリング35
a,35bのみ図示しているが、回転軸33には他のウエ
ハ保持具37b〜37fのヒータ42b〜42fと接続している
スリップリングも設けられている。また、何れのスリッ
プリング35a,35bも回転軸33の回転を妨げないよう
にロータリコネクタが用いられている。
【0040】なお、ウエハ保持具37aの位置は、図2に
示すように、エレベータ31が設置されたローダ/アン
ローダ部となっており、エレベータ31とウエハ保持具
37aとの間でウエハ32aの受渡しが行われるのみで、膜
形成は行われない。
【0041】以上のような第2の実施例のマルチステッ
ププロセス装置においては、ガス分散具38b,38d,38
fを複数、かつ互いに分離して設けることにより、異な
る種類の多層膜の形成が可能になる。また、赤外線照射
手段38cや紫外線照射手段38eが設けられているので、
平坦な絶縁膜の形成,形成膜の平坦化のための処理及び
形成膜の膜質の向上のための処理を連続して行うことが
できる。
【0042】なお、上記の第2の実施例では、処理手段
として赤外線照射手段38cや紫外線照射手段38eが設け
られているが、これらの代わりにオゾン等の処理ガス供
給手段が設けられてもよいし、更に処理部を増やし、赤
外線照射手段38cや紫外線照射手段38eに追加して処理
ガス供給手段が設けられることも可能である。
【0043】また、膜形成部と処理部とが混在して設け
られているが、膜形成部だけが設けられることもでき
る。
【0044】更に、フェースダウンのウエハ載置面41a
〜41fを有しているが、フェースアップのウエハ載置面
を有しているものについても可能である。
【0045】(2)本発明の実施例の半導体装置の製造
方法 (c)第3の実施例 次に、図1(a),(b)のマルチステッププロセス装
置を用いて、平坦化されたBPSG膜をウエハ表面に形
成する第3の実施例の製造方法について図5(a)〜
(c),図6を参照しながら説明する。図5(a)〜
(c)は製造方法について説明する断面図、図3は移動
中のウエハの温度を含む膜形成処理中のウエハの温度の
履歴を示す図である。
【0046】まず、図5(a)に示す、ポリシリコン膜
からなる配線層51a,51bがSiO2膜50の上にの形成さ
れたSi基板(ウエハ)29を、図1(b)に示すロボッ
ト16によりロード/アンロード室10に搬入した後、
ウエハ保持具15のウエハ載置面13にフェースダウン
で載置する。
【0047】次に、ウエハ保持具15に埋め込まれたヒ
ータ14に電流を流してヒータ14を発熱させ、ウエハ
29を加熱してウエハ温度(第1の温度)を400℃に
保持する。
【0048】次いで、ウエハ保持具15を移動し、ウエ
ハ29をCVD反応室11に搬送する。このとき、搬送
中もヒータ14には電流が流され、ウエハ温度が400
℃に保持されるようにヒータ14を発熱させている。続
いて、TEOS/3.5 重量%のリンを含むTMP(Tri-
Mechyl-Phosphate)/4.0 重量%のボロンを含むTMB
(Tri-Mechyl-Borate )/O3 の混合ガスを反応ガス導
入口19からガスシャワー17に導入すると、混合ガス
はガス放出面18からウエハ29の表面に放出されるの
で、この状態で所定の時間保持すると、所定の膜厚のB
PSG膜52が形成される(図5(b))。
【0049】次いで、ウエハ保持具15を赤外線加熱処
理室12に移動する。このとき、前記の移動時と同様
に、ウエハの搬送中もヒータ14には電流が流され、ウ
エハ温度が400℃に保持されるようにヒータ14を発
熱させている。
【0050】続いて、赤外線加熱処理室12に搬入され
たウエハ29はガス導入口25から導入された窒素ガス
中で赤外線ランプ24により更に加熱され、温度(第2
の温度)800 ℃に保持される。その結果、BPSG膜5
2は溶融・流動し、ウエハ29の表面が平坦化する(図
5(c))。このとき、CVD装置から加熱処理炉に移
しかえるためにウエハが自然冷却された後、加熱処理炉
中で再度加熱処理を行う従来の方法と比較して、加熱処
理温度を約100 〜200 ℃低下させることができた。これ
は、ウエハ29温度を所定の温度以上に保持しているの
で、BPSG膜52の膜質が変化したり、BPSG膜5
2の吸湿を防止することができるためと考えられる。
【0051】以上のように、第3の実施例の製造方法に
おいては、図6のウエハ温度の履歴に示すように、ヒー
タ14を有するウエハ保持具15に保持されたウエハ2
9をヒータ14により加熱し、温度400 ℃に保持してウ
エハ29の表面にBPSG膜52を形成した後、BPS
G膜52のリフロー処理を行うため、ヒータ加熱に加え
て赤外線照射によりウエハ29を加熱し、ウエハ29の
温度を約400 ℃から約800 ℃に昇温している。
【0052】従って、BPSG膜52を形成した後にウ
エハ29の温度を下げることなく連続してBPSG膜5
2のリフロー処理を行うことができるので、ウエハ29
の温度の変動を低減することができる。これにより、B
PSG膜52の平坦化を図るとともに、BPSG膜52
への熱歪みの発生等を防止してBPSG膜52の膜質の
変化や劣化を防止することができる。
【0053】なお、第3の実施例では、ウエハ29の温
度を約800 ℃に昇温するため赤外線ランプ24によりウ
エハ29を加熱しているが、ウエハ保持具15に埋め込
まれたヒータ14を用いてウエハ29を加熱することも
できる。
【0054】また、BPSG膜52の形成後に、BPS
G膜52のリフロー処理を行っているが、BPSG膜5
2の形成後にウエハ29の加熱を続けたまま搬送し、一
定の温度に保持されたウエハ29に対して連続してBP
SG膜52のUV照射処理(紫外線照射処理)やオゾン
雰囲気中でのアニール処理を行うこともできる。これに
より、ダングリングボンドを埋めてBPSG膜52を緻
密化するとともに、処理時と搬送時との間のウエハ29
の温度変動を低減してBPSG膜52への熱歪みの発生
を防止し、膜質の向上を図ることができる。
【0055】(d)第4の実施例 次に、図2のマルチステッププロセス装置を用いて、本
発明の第4の実施例の3層の絶縁膜を形成する方法につ
いて図7(a)〜(d),図8(e)〜(g),図9を
参照しながら説明する。
【0056】図7(a)〜(d),図8(e)〜(g)
は製造方法について説明する断面図、図9は移動中のウ
エハの温度を含む膜形成処理中のウエハの温度の履歴を
示す図である。この場合、図8(f)に示すように、ウ
エハ保持具37aが回転軸33の回りを一回りする間に所
定膜厚のSiO2膜55a/BPSG膜56a/SiO2膜57の3
層構造の絶縁膜がウエハ上に形成されるようになってい
る。なお、最下層のSiO2膜55aはBPSG膜56a中のボ
ロン(B)やリン(P)がポリシリコン層又はシリサイ
ド層54a,54bに導入されて、抵抗値が変動するのを防
止するために形成され、最上層のSiO2膜57はBPSG
膜56aの吸湿を防止するために形成される。
【0057】まず、不図示のカセットステーションから
図2に示すロボット30により、図7(a)に示すSiO2
膜53上にポリシリコン層又はシリサイド層54a,54b
の形成された第1のウエハ32aをローダ/アンローダ部
に搬送し、エレベータ31に載置する。
【0058】次いで、エレベータ31を上昇させて、ウ
エハ保持具37aのウエハ載置面41aに第1のウエハ32a
を接触させるとともに、不図示の吸引口から排気し、吸
引口と連通しているチャックにより第1のウエハ32aを
ウエハ載置面41aに載置・固定する。このとき、各ウエ
ハ保持具37a〜37fのヒータ42a〜42fと対応するスリ
ップリング35a,35b,…/集電子36a,36b,…を介
してすべてのウエハ保持具37a〜37fのヒータ42a〜42
fに電力を供給し、すべてのウエハ保持具37a〜37fの
ウエハ載置面41a〜41fの温度を約400 ℃に保持する。
【0059】次に、第1のウエハ32aの温度が約400 ℃
に達した後、回転軸33を回転し、ガス分散具38fの直
上の位置にウエハ保持具37aを停止する。続いて、ガス
シャワー43fから反応ガスとしてTEOS−O3 の混合
ガスを放出する。このとき、SiO2膜55が成長しはじめ
るが、その成長レートは約2000Åとなり、約1分間この
状態を保持することにより、目標とする膜厚の約2000Å
のSiO2膜55が第1のウエハ32a上に形成される(図7
(b))。なお、この間、上記と同様にして第2のウエ
ハ32bをローダ/アンローダ部に位置するウエハ保持具
37bに載置し、第2のウエハ32bの温度をヒータ42bに
より約400 ℃に加熱しておく。
【0060】次に、回転軸33を回転し、ガス分散具38
f/紫外線照射手段38eの直上の位置にそれぞれウエハ
保持具37b,37aを停止する。このとき、第1及び第2
のウエハ32a,32bを移動中にもヒータ42a,42bによ
り加熱し、図9に示すように、第1及び第2のウエハ32
a,32bを約400 ℃の温度に保持しておく。この状態
で、紫外線照射手段38eの水銀ランプ49eを点灯して第
1のウエハ32a上のSiO2膜55に紫外線照射する。その
結果、SiO2膜55のダングリングボンドを埋めてSiO2
55aを緻密化することができる(図7(c))。一方、
ガスシャワー43fから反応ガスとしてTEOS−O3
混合ガスを放出し、約1分間この状態を保持すると、第
2のウエハ32b上には目標とする膜厚の約2000ÅのSiO2
膜が形成される。更に、この間、上記と同様にして第3
のウエハ32cをローダ/アンローダ部に位置するウエハ
保持具37cに載置し、第3のウエハ32cの温度を約400
℃にヒータ42cにより加熱しておく。
【0061】次いで、回転軸33を回転し、ガス分散具
38f/紫外線照射手段38e/ガス分散具38dの直上の位
置にそれぞれウエハ保持具37c,37b,37aを停止す
る。このとき、第1,第2及び第3のウエハ32a,32
b,32cを移動中にもヒータ42a〜42cにより加熱し、
図9に示すように、第1,第2及び第3のウエハ32a,
32b,32cを約400 ℃の温度に保持しておく。この状態
で、直ちに、ガス分散具38dのガスシャワー43dから反
応ガスとしてTEOS−O3 /TMP/TMBの混合ガ
スを放出し、約3分間この状態を保持すると、第1のウ
エハ32aのSiO2膜55上には目標とする膜厚の約6000Å
のBPSG膜56が形成される(図7(d))。一方、
水銀ランプ49eを点灯して第2のウエハ32b上のSiO2
に紫外線照射する。その結果、SiO2膜のダングリングボ
ンドを埋めてSiO2膜を緻密化することができる。更に、
ガス分散具38fから反応ガスを放出することにより第3
のウエハ32c上には目標とする膜厚の約2000ÅのSiO2
が形成される。更に、この間、上記と同様にして第4の
ウエハ32dをローダ/アンローダ部に位置するウエハ保
持具37dに載置し、第4のウエハ32dの温度を約400 ℃
にヒータ42dにより加熱しておく。
【0062】次に、回転軸33を回転し、ガス分散具38
f/紫外線照射手段38e/ガス分散具38d/赤外線照射
手段38cの直上の位置にそれぞれウエハ保持具37d,37
c,37b,37aを停止する。このとき、第1,第2,第
3及び第4のウエハ32a,32b,32c,32dを移動中に
もヒータ42a〜42dにより加熱し、図9に示すように、
第1,第2,第3及び第4のウエハ32a,32b,32c,
32dを約400 ℃の温度に保持しておく。続いて、第1の
ウエハ32a上のBPSG膜56をリフローするために、
赤外線ランプ38cを点灯し、図9に示すように、ウエハ
温度を約400 ℃から約800 ℃に上昇させ、保持する。こ
の状態を所定の時間保持すると、BPSG膜56は溶融
・流動しウエハ表面の凹凸が均される(図7(e))。
なお、第1のウエハ32aの処理と同時に第2,第3及び
第4のウエハ32b,32c,32dについても所定の処理が
なされる。更に、この間、上記と同様にして第5のウエ
ハ32eをローダ/アンローダ部に位置するウエハ保持具
37eに載置し、第4のウエハ32eの温度を約400 ℃にヒ
ータ42eにより加熱しておく。
【0063】次いで、回転軸33を回転し、ガス分散具
38f/紫外線照射手段38e/ガス分散具38d/赤外線照
射手段38c/ガス分散具38bの直上の位置にそれぞれウ
エハ保持具37e,37d,37c,37b,37aを停止する。
このとき、第1,第2,第3,第4及び第5のウエハ32
a,32b,32c,32d,32eは移動中にもヒータ42a〜
42eにより加熱し、図9に示すように、第1,第2,第
3,第4及び第5のウエハ32a,32b,32c,32d,32
eを約400 ℃の温度に保持しておく。続いて、ガス分散
具38bのガスシャワー43bから反応ガスとしてTEOS
−O3 の混合ガスを放出し、約1分間この状態を保持す
ると、第1のウエハ32a上には目標とする膜厚の約2000
ÅのSiO2膜57が形成される(図7(f))。なお、第
1のウエハ32aの処理と同時に第2,第3,第4及び第
5のウエハ32b,32c,32d,32eについても所定の処
理がなされる。更に、この間、上記と同様にして第6の
ウエハ32fをローダ/アンローダ部に位置するウエハ保
持具37fに載置し、第6のウエハ32fの温度を約400 ℃
にヒータ42fにより加熱しておく。
【0064】次いで、回転軸33を回転し、ガス分散具
38f/紫外線照射手段38e/ガス分散具38d/赤外線照
射手段38c/ガス分散具38b/エレベータ31の直上の
位置にそれぞれウエハ保持具37f,37e,37d,37c,
37b,37aを停止する。続いて、エレベータ31を上昇
し、ウエハ保持具37aのチャックと対応する吸引口を閉
じるとともに、窒素ガスの導入口のバルブを開けて窒素
ガスをチャックに送ると第1のウエハ32aがウエハ載置
面41aから離脱して、エレベータ31上に載置される。
次に、ロボット30により第1のウエハ32aをカセット
ステーションに搬出する。なお、この間、第1のウエハ
32aの処理と同時に第2,第3,第4,第5及び第6の
ウエハ32b,32c,32d,32e,32fについても所定の
処理がなされる。
【0065】このようにして、第2,第3,第4,第5
及び第6のウエハ32b,32c,32d,32e,32fについ
ても第1のウエハ32aと同様な処理を行い、再び第2,
第3,第4及び第5のウエハ32b,32c,32d,32eが
回転軸33の回りを一回りしてローダ/アンローダ部に
帰ってきたときにこれらのウエハ上には目標とする膜厚
のSiO2膜/BPSG膜/SiO2膜の3層の膜が形成されて
いる。この間ウエハの温度はヒータ42b〜42eにより加
熱され、常に一定の温度に保持されているので、温度変
動による形成膜への熱歪みの発生を防止することができ
る。このようにして、次々に所定膜厚のSiO2膜/BPS
G膜/SiO2膜の3層の膜がウエハ上に形成されてカセッ
トステーションに蓄積されていく。
【0066】その後、下層の配線層54a,54b上のSiO2
膜57及BPSG膜56aに不図示のビアホールを形成し
た後、Al膜からなる上層の配線層58を形成すると、
半導体装置が完成する(図7(g))。
【0067】以上のように、第4の実施例の半導体装置
の製造方法によれば、ヒータ42a〜42fを有するウエハ
保持具37a,37b,37c,37d,37e,37fに保持され
た第1,第2,第3,第4,第5及び第6のウエハ32
a,32b,32c,32d,32e,32fをヒータ42a〜42f
により加熱して温度約400 ℃に保持したまま、ガス分散
具38b,38d,38fと各処理部38c,38eとの間を移動
し、ウエハ32a,32b,32c,32d,32e,32fの表面
に紫外線照射されたSiO2膜55a/赤外線照射により平坦
化されたBPSG膜56a/SiO2膜57を形成している。
【0068】従って、図9に示すように、ウエハ温度は
400 ℃と800 ℃との間の変動で済む。このように、従来
と異なり移動の間にウエハ32a,32b,32c,32d,32
e,32fが自然冷却されるのを防止することにより、ウ
エハ温度の変動を低減することができるので、熱歪みを
低減する等、形成膜の膜質の変化や劣化を防止すること
ができる。
【0069】なお、第4の実施例では、最下層のSiO2
として紫外線照射されたSiO2膜55aを形成しているが、
オゾン雰囲気中でのアニール処理のなされたSiO2膜を形
成することもできる。また、第4の実施例の膜構造に限
らず、膜形成,赤外線処理,紫外線処理,オゾン雰囲気
中でのアニール処理等を組み合わせて他の構造の膜形成
を行うことが可能である。
【0070】更に、BPSG膜のリフロー処理のため
に、赤外線照射による加熱を行っているが、ヒータのみ
を用いて加熱処理することも可能である。
【0071】また、膜形成と形成膜の処理とを行ってい
るが、形成膜の処理を行わずにSiO2膜/BPSG膜/Si
O2膜の異なる種類の多層膜の形成のみを行うことも可能
である。この場合も、ウエハ保持具を膜形成部の間を移
動させる間、ウエハを加熱することにより、ウエハ温度
の変動を低減することができるので、形成された絶縁膜
の熱歪みの低減を図ることができる。
【0072】更に、膜形成の間中、保持されたウエハ保
持具37a〜37fの位置を中心として放射方向にオシレー
ションユニットによりウエハ保持具37a〜37fを直線往
復運動を行わせることにより、ウエハ32a〜32f上への
反応ガスの供給を均一化し、均一な膜厚や膜質のSiO2
/BPSG膜/SiO2膜を形成することができる。
【0073】
【発明の効果】以上のように、本発明の半導体製造装置
においては、第1に、ウエハに反応ガスを供給するガス
分散具を有する膜形成部及び形成された膜の処理部と、
ウエハを保持したまま膜形成部及び処理部の間を順次移
動することが可能なウエハ保持具とを有し、ウエハ保持
具は、ウエハの移動中を含めてウエハを加熱することが
可能な加熱手段を有している。
【0074】第2に、ウエハに反応ガスを供給するガス
分散具を有する複数の膜形成部と、ウエハ載置面にウエ
ハを保持したまま複数の膜形成部の間を順次移動するこ
とが可能なウエハ保持具とを有し、複数のガス分散具は
それぞれ異なる反応ガスの供給手段を有し、ウエハ保持
具はウエハの移動中を含めてウエハを加熱することが可
能な加熱手段を有している。
【0075】従って、いずれの場合も、ウエハの移動中
のウエハの自然冷却を防止してウエハ温度の変動を低減
し、これにより、形成された膜の膜質の変化や劣化を防
止することができる。
【0076】本発明の半導体装置の製造方法において
は、第1に、加熱手段を有するウエハ保持具に保持され
たウエハを加熱手段により加熱して第1の温度に保持
し、ウエハの表面に膜を形成した後、膜の加熱処理を行
うため、赤外線照射により或いは加熱手段によりウエハ
を更に加熱してウエハの温度を第1の温度よりも高い第
2の温度に昇温している。
【0077】第2に、加熱手段を有するウエハ保持具に
保持されたウエハを加熱手段により加熱して第3の温度
に保持し、ウエハの表面に膜を形成した後、第4の温度
に加熱されたウエハに紫外線照射して、或いは処理ガス
を散布して膜の処理を行うため、ウエハを加熱しなが
ら、ウエハ保持具を膜形成部から処理部に移動させてい
る。
【0078】第3に、加熱手段を有するウエハ保持具に
保持されたウエハを加熱手段により加熱して第5の温度
に保持し、ウエハの表面に第1の膜を形成した後、ウエ
ハの温度を第6の温度に保持して第1の膜の上に第2の
膜を形成するため、ウエハ保持具を第1の膜形成部から
第2の膜形成部に移動させる間、ウエハを加熱してい
る。
【0079】従って、いずれの場合にも、ウエハの移動
中の自然冷却を防止し、ウエハ温度の変動を低減するこ
とができるので、形成された膜への熱歪みの発生等を防
止して形成された膜の膜質の変化や劣化を防止すること
ができる。
【図面の簡単な説明】
【図1】本発明の第1の実施例のマルチステッププロセ
ス装置について説明する構成図である。
【図2】本発明の第2の実施例のマルチステッププロセ
ス装置について説明する斜視図である。
【図3】本発明の第2の実施例のマルチステッププロセ
ス装置の膜形成部及び処理部について説明する構成図で
ある。
【図4】本発明の第2の実施例のマルチステッププロセ
ス装置について説明する上面図である。
【図5】本発明の第3の実施例の膜形成方法について説
明する断面図である。
【図6】本発明の第3の実施例の膜形成方法におけるウ
エハ温度の履歴について説明する図である。
【図7】本発明の第4の実施例の膜形成方法について説
明する断面図(その1)である。
【図8】本発明の第4の実施例の膜形成方法について説
明する断面図(その2)である。
【図9】本発明の第4の実施例の膜形成方法におけるウ
エハ温度の履歴について説明する図である。
【図10】従来例のマルチチャンバプロセス装置につい
て説明する構成図である。
【図11】従来例の膜形成方法におけるウエハ温度の履
歴について説明する図である。
【符号の説明】
1 カセットチャンバ、 2,5,16,30 ロボット、 3 ロードロックチャンバ、 4 バッファチャンバ、 6a〜6e 処理チャンバ、 7a,7b,8a〜8e バルブ、 9,29,32a〜32f Si基板(ウエハ)、 10 ロード/アンロード室、 11 CVD室(膜形成部)、 12 赤外線加熱処理室(処理部)、 13,41a〜41f ウエハ載置面、 14,42a〜42f ヒータ(加熱手段)、 15,37a〜37f ウエハ保持具、 17,43b,43d,43f ガスシャワー、 18,44b,44d,44f ガス放出面、 19,39b,39d,39f 反応ガス導入口、 20,26,45b〜45f ガス収集具、 21,27,40b〜40f ガス排出口、 22,38b,38d,37f ガス分散具、 23,46c 赤外線ランプ保持具、 24,47c 赤外線ランプ、 25,39c,39e ガス導入口、 28,38c 赤外線照射手段(処理手段)、 31 エレベータ、 33 回転軸、 34a〜34f アーム、 35a,35b スリップリング、 36a,36b 集電子、 38e 紫外線照射手段(処理手段)、 48e 水銀ランプ保持具、 49e 水銀ランプ、 50,53,55,55a,57 SiO2膜、 51a,51b,58 配線層、 52,52a,56,56a BPSG膜、 54a,54b ポリシリコン層又はシリサイド層。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 徳増 徳 東京都港区港南2ー13ー29 株式会社半導 体プロセス研究所内 (72)発明者 西本 裕子 東京都港区港南2ー13ー29 株式会社半導 体プロセス研究所内 (56)参考文献 特開 昭61−136234(JP,A)

Claims (5)

    【特許請求の範囲】
  1. 【請求項1】上向きに反応ガスを放出するガス分散具を
    備えた膜形成部と、 前記形成された膜の後処理をする処理手段が上向きに設
    置されている処理部と、 前記ガス分散具及び前記処理手段と対面するように下向
    きにウエハを保持し、そのまま前記膜形成部と前記処理
    部の間を移動させるウエハ保持具と、 前記ウエハ保持具の移動中を含め、前記ウエハを加熱す
    る、前記ウエハ保持具に設置された加熱手段とを有する
    ことを特徴とする半導体製造装置。
  2. 【請求項2】前記処理手段は、上向きに赤外線を放射す
    る赤外線照射手段、上向きに紫外線を放射する紫外線照
    射手段、又は処理ガス供給手段であることを特徴とする
    請求項1記載の半導体製造装置。
  3. 【請求項3】前記膜形成部と前記処理部とを一組とし、
    これらが複数組順に並べられていることを特徴とする請
    求項1又は請求項2に記載の半導体製造装置。
  4. 【請求項4】膜形成部で、第1の温度に加熱されたウエ
    ハを下向きに保持し、反応ガスを上向きに放出して、前
    記ウエハ表面を前記反応ガスに曝して成膜する工程と、 前記成膜後に前記ウエハを加熱しながら処理部に移動さ
    せる工程と、 前記処理部で、赤外線照射により或いは前記加熱手段に
    より前記ウエハを第2の温度に加熱して、前記形成され
    た膜を後処理する工程とを有する半導体装置の製造方
    法。
  5. 【請求項5】膜形成部で、第1の温度に加熱されたウエ
    ハを下向きに保持し、反応ガスを上向きに放出して、前
    記ウエハを前記反応ガスに曝して成膜する工程と、 前記成膜後に前記ウエハを加熱しながら処理部に移動さ
    せる工程と、 前記処理部で、前記加熱手段により前記ウエハを前記第
    2の温度に加熱し、かつ前記ウエハに紫外線を照射し
    て、或いは処理ガスを散布して前記形成された膜の後処
    理を行う工程とを有する半導体装置の製造方法。
JP3090612A 1991-04-22 1991-04-22 半導体製造装置及び半導体装置の製造方法 Expired - Lifetime JPH0812847B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP3090612A JPH0812847B2 (ja) 1991-04-22 1991-04-22 半導体製造装置及び半導体装置の製造方法
DE69229431T DE69229431T2 (de) 1991-04-22 1992-04-14 Einrichtung und vorrichtung zur herstellung eines halbleiterbauelementes
EP92908472A EP0537364B1 (en) 1991-04-22 1992-04-14 Apparatus and method for manufacturing semiconductor device
PCT/JP1992/000468 WO1992019011A1 (en) 1991-04-22 1992-04-14 Apparatus and method for manufacturing semiconductor device
US07/958,105 US5314538A (en) 1991-04-22 1992-04-14 Apparatus for manufacturing semiconductor device and method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP3090612A JPH0812847B2 (ja) 1991-04-22 1991-04-22 半導体製造装置及び半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPH05251353A JPH05251353A (ja) 1993-09-28
JPH0812847B2 true JPH0812847B2 (ja) 1996-02-07

Family

ID=14003307

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3090612A Expired - Lifetime JPH0812847B2 (ja) 1991-04-22 1991-04-22 半導体製造装置及び半導体装置の製造方法

Country Status (5)

Country Link
US (1) US5314538A (ja)
EP (1) EP0537364B1 (ja)
JP (1) JPH0812847B2 (ja)
DE (1) DE69229431T2 (ja)
WO (1) WO1992019011A1 (ja)

Families Citing this family (279)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5753542A (en) 1985-08-02 1998-05-19 Semiconductor Energy Laboratory Co., Ltd. Method for crystallizing semiconductor material without exposing it to air
US5821175A (en) * 1988-07-08 1998-10-13 Cauldron Limited Partnership Removal of surface contaminants by irradiation using various methods to achieve desired inert gas flow over treated surface
WO1992009103A1 (en) * 1990-11-16 1992-05-29 Kabushiki-Kaisha Watanabe Shoko Device and method for carrying thin plate-like substrate
US5578520A (en) 1991-05-28 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Method for annealing a semiconductor
US5766344A (en) * 1991-09-21 1998-06-16 Semiconductor Energy Laboratory Co., Ltd. Method for forming a semiconductor
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
JP2809018B2 (ja) * 1992-11-26 1998-10-08 日本電気株式会社 半導体装置およびその製造方法
US7097712B1 (en) * 1992-12-04 2006-08-29 Semiconductor Energy Laboratory Co., Ltd. Apparatus for processing a semiconductor
JP3165304B2 (ja) * 1992-12-04 2001-05-14 株式会社半導体エネルギー研究所 半導体装置の作製方法及び半導体処理装置
US5516732A (en) * 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
CN1052566C (zh) * 1993-11-05 2000-05-17 株式会社半导体能源研究所 制造半导体器件的方法
US6897100B2 (en) * 1993-11-05 2005-05-24 Semiconductor Energy Laboratory Co., Ltd. Method for processing semiconductor device apparatus for processing a semiconductor and apparatus for processing semiconductor device
US5643366A (en) * 1994-01-31 1997-07-01 Applied Materials, Inc. Wafer handling within a vacuum chamber using vacuum
US5531835A (en) * 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5990006A (en) * 1997-02-10 1999-11-23 Micron Technology, Inc. Method for forming materials
US5960158A (en) 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US6013134A (en) 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
JP3624113B2 (ja) * 1998-03-13 2005-03-02 キヤノン株式会社 プラズマ処理方法
US5930456A (en) 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US5970214A (en) 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
US6162299A (en) * 1998-07-10 2000-12-19 Asm America, Inc. Multi-position load lock chamber
US6210484B1 (en) 1998-09-09 2001-04-03 Steag Rtp Systems, Inc. Heating device containing a multi-lamp cone for heating semiconductor wafers
US6072157A (en) * 1998-12-11 2000-06-06 Euv Llc Thermophoretic vacuum wand
US6771895B2 (en) * 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US6281141B1 (en) 1999-02-08 2001-08-28 Steag Rtp Systems, Inc. Process for forming thin dielectric layers in semiconductor devices
NL1011856C2 (nl) 1999-04-21 2000-10-24 Asm Internat B V Floating wafer reactor alsmede werkwijze voor het regelen van de temperatuur daarvan.
WO2001003167A1 (fr) * 1999-07-02 2001-01-11 Tokyo Electron Limited Installation, procede et dispositif de fabrication de semi-conducteurs
DE19936081A1 (de) * 1999-07-30 2001-02-08 Siemens Ag Vorrichtung und Verfahren zum Temperieren eines Mehrschichtkörpers, sowie ein unter Anwendung des Verfahrens hergestellter Mehrschichtkörper
EP1139404A1 (en) * 2000-03-31 2001-10-04 Applied Materials, Inc. Low thermal budget solution for PMD application using SACVD layer
US20020195056A1 (en) * 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
US6495805B2 (en) * 2000-06-30 2002-12-17 Tokyo Electron Limited Method of determining set temperature trajectory for heat treatment system
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US6541353B1 (en) * 2000-08-31 2003-04-01 Micron Technology, Inc. Atomic layer doping apparatus and method
US6599368B1 (en) 2000-10-05 2003-07-29 Applied Materials, Inc. System architecture of semiconductor manufacturing equipment
US6528427B2 (en) 2001-03-30 2003-03-04 Lam Research Corporation Methods for reducing contamination of semiconductor substrates
TWI287253B (en) * 2002-09-30 2007-09-21 Adv Lcd Tech Dev Ct Co Ltd Substrate processing apparatus and substrate processing method
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
JP2004273893A (ja) * 2003-03-11 2004-09-30 Eiko Engineering Co Ltd 複数処理ステーションを有する真空処理装置
DE10320597A1 (de) * 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
DE10323295B4 (de) * 2003-05-21 2009-04-16 Von Ardenne Anlagentechnik Gmbh Vakuumbeschichtungsanlage und Verfahren zur Beschichtung von Substraten
US7162881B2 (en) * 2004-04-07 2007-01-16 Nikon Corporation Thermophoretic wand to protect front and back surfaces of an object
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
GB0410743D0 (en) * 2004-05-14 2004-06-16 Vivactiss Bvba Holder for wafers
JP2006093557A (ja) * 2004-09-27 2006-04-06 Sharp Corp 気相成長装置
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8454750B1 (en) * 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
JP2012129471A (ja) * 2010-12-17 2012-07-05 Tatsumo Kk 基板処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10954597B2 (en) 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) * 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59181648A (ja) * 1983-03-31 1984-10-16 Toshiba Corp 半導体装置の製造方法
US4846623A (en) * 1986-10-08 1989-07-11 Dainippon Screen Mfg. Co., Ltd. Wafer transferring device
US5174881A (en) * 1988-05-12 1992-12-29 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming a thin film on surface of semiconductor substrate
JP2982178B2 (ja) * 1989-06-20 1999-11-22 松下電器産業株式会社 鉛蓄電池用極板の製造法
JP2717108B2 (ja) * 1989-07-21 1998-02-18 東京エレクトロン株式会社 レジスト処理方法
US5174827A (en) * 1989-07-26 1992-12-29 Consorzio Ce.Te.V Centro Tecnologie Del Vuoto Double chamber vacuum apparatus for thin layer deposition
US5067218A (en) * 1990-05-21 1991-11-26 Motorola, Inc. Vacuum wafer transport and processing system and method using a plurality of wafer transport arms
US5118642A (en) * 1991-01-24 1992-06-02 Daidousanso Co., Ltd. Method for producing semiconductors
JPH0812846B2 (ja) * 1991-02-15 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置
JPH0669034A (ja) * 1992-08-17 1994-03-11 Daido Steel Co Ltd 棒状多極磁石の着磁コイルとその着磁装置

Also Published As

Publication number Publication date
DE69229431T2 (de) 2000-03-16
WO1992019011A1 (en) 1992-10-29
EP0537364A4 (en) 1996-01-10
EP0537364B1 (en) 1999-06-16
US5314538A (en) 1994-05-24
JPH05251353A (ja) 1993-09-28
DE69229431D1 (de) 1999-07-22
EP0537364A1 (en) 1993-04-21

Similar Documents

Publication Publication Date Title
JPH0812847B2 (ja) 半導体製造装置及び半導体装置の製造方法
KR101814243B1 (ko) 반응관, 기판 처리 장치 및 반도체 장치의 제조 방법
US6365518B1 (en) Method of processing a substrate in a processing chamber
JP2729106B2 (ja) ウェファ処理クラスタ・ツール・バッチ予熱及び脱気方法及び装置
KR101107096B1 (ko) 반도체 디바이스의 제조 방법 및 기판 처리 장치
TWI335618B (en) Substrate processing apparatus using a batch processing chamber
KR101177366B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
JP3023982B2 (ja) 成膜方法
JP5787488B2 (ja) 半導体装置の製造方法及び基板処理装置
WO2006137287A1 (ja) 半導体装置の製造方法および基板処理装置
WO2007018139A1 (ja) 半導体装置の製造方法および基板処理装置
JP3769426B2 (ja) 絶縁膜形成装置
TW201619431A (zh) 基板處理裝置、半導體裝置的製造方法及程式
WO2007074678A1 (ja) 成膜装置、成膜方法、プリコート層、および、プリコート層の形成方法
JPH09186111A (ja) 成膜処理装置
JPS63133521A (ja) 半導体基板の熱処理装置
JP4738671B2 (ja) Cvd成膜方法
WO2007132884A1 (ja) 半導体装置の製造方法および基板処理装置
JP4563113B2 (ja) シリコン酸化膜の形成方法、半導体デバイスの製造方法および基板処理装置
WO2003001579A1 (fr) Dispositif et procede de traitement de substrat
JP5286565B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
CN1279589C (zh) 基板的处理方法和基板的处理装置
JP2012104569A (ja) 半導体装置の製造方法及び基板処理装置
JPH11204535A (ja) 半導体基板の熱処理方法及び装置
JP4876337B2 (ja) 処理システム

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19960723