KR101814243B1 - 반응관, 기판 처리 장치 및 반도체 장치의 제조 방법 - Google Patents

반응관, 기판 처리 장치 및 반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR101814243B1
KR101814243B1 KR1020150040153A KR20150040153A KR101814243B1 KR 101814243 B1 KR101814243 B1 KR 101814243B1 KR 1020150040153 A KR1020150040153 A KR 1020150040153A KR 20150040153 A KR20150040153 A KR 20150040153A KR 101814243 B1 KR101814243 B1 KR 101814243B1
Authority
KR
South Korea
Prior art keywords
exhaust
exhaust slit
gas
slit
tube
Prior art date
Application number
KR1020150040153A
Other languages
English (en)
Other versions
KR20150110419A (ko
Inventor
다카후미 사사키
가츠히로 모리미츠
에이스케 니시타니
데츠오 야마모토
마사나오 후쿠다
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20150110419A publication Critical patent/KR20150110419A/ko
Application granted granted Critical
Publication of KR101814243B1 publication Critical patent/KR101814243B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/54Providing fillings in containers, e.g. gas fillings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 발명은 가스 공급 효율을 높일 수 있는 구조를 제공한다. 내부에서 소정의 원료 가스를 반응시켜서 복수의 기판을 처리하는 처리실을 형성하는 구조이며, 상기 반응관은, 원통 형상으로 형성되어서 상단이 폐색되고, 하단이 개구되어 있는 아우터 튜브와, 상기 아우터 튜브의 내부에 설치되고, 상기 소정의 원료 가스를 배기하는 제1 및 제2 배기 슬릿을 가지고, 상기 제1 배기 슬릿은 상기 복수의 기판이 배열된 기판 배열 영역에 위치하고, 상기 제2 배기 슬릿은 상기 기판 배열 영역보다 하방의 영역에 위치하는, 이너 튜브를 갖는다.

Description

반응관, 기판 처리 장치 및 반도체 장치의 제조 방법{REACTION TUBE, SUBSTRATE PROCESSING APPARATUS, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE}
본 발명은 반도체 디바이스의 제조 방법 및 기판 처리 장치에 관한 것이며, 특히, 기판(웨이퍼) 위에 금속막을 형성하는 공정을 구비하는 반응관, 기판 처리 장치 및 반도체 장치의 제조 방법에 관한 것이다.
반도체 장치(디바이스)를 제조하는 기판 처리 장치의 하나로서, 기판으로서의 반도체 웨이퍼를 복수매 적층하여 처리하는 뱃치식 종형 기판 처리 장치가 있다. 이 뱃치식 종형 기판 처리 장치에서는, 소정 매수의 웨이퍼가 소정의 간격(웨이퍼 적층 간격 피치)을 두고 기판 보유 지지구로서의 보트에 복수단으로 보유 지지되고, 웨이퍼를 보유 지지한 보트가 반응실을 형성하는 반응관에 반출입됨으로써 기판 처리 프로세스를 행하도록 구성되는 것이 일반적이다. 여기서, 웨이퍼 적층 간격 피치와, 웨이퍼 외측 테두리와 반응관 내벽의 거리(갭)의 비율이 큰 경우, 원료가 적극적으로 웨이퍼 위로 흐르지 않아, 원료가 불필요하게 소비되어버려, 성막 비용의 증대를 초래해버린다는 문제가 있었다. 또한, 상술한 바와 같은 문제는, 최근의 기판 직경의 증대에 수반해서 현저해지므로, 조속히 해결 수단을 강구할 필요가 있다. 이러한 문제의 해결 수단으로서 예를 들어, 특허문헌 1에 기재되어 있는 방법이 있다.
그러나, 특허문헌 1에 기재된 기술은, 가스의 공급 유량을 컨트롤러에 의해 유량 제어함으로써 성막 비용의 증대를 방지하는 기술이며, 상술한 장치 구성에 의한 과제에 대해서는 검토되어 있지 않다.
또한, 종형의 기판 처리 장치에서는, 가스 공급(가스 치환)이나 진공 배기를 최대한 신속하게 행할 것도 요구되고 있다.
일본 특허 공개 제2013-225660호 공보
본 발명은 가스 공급 효율을 높일 수 있는 구조를 제공한다
상기 과제를 해결하기 위하여 본 발명의 일 형태에 의하면, 내부에서 소정의 원료 가스를 반응시켜서 복수의 기판을 처리하는 처리실을 형성하는 반응관으로서, 원통 형상으로 형성되어서 상단이 폐색되고, 하단이 개구되어 있는 아우터 튜브와, 상기 아우터 튜브의 내부에 설치되고, 상기 소정의 원료 가스를 배기하는 제1 및 제2 배기 슬릿을 가지고, 상기 제1 배기 슬릿은 상기 복수의 기판이 배열된 기판 배열 영역에 위치하고, 상기 제2 배기 슬릿은 상기 기판 배열 영역보다 하방의 영역에 위치하는, 이너 튜브를 포함하는 구조가 제공된다.
본 발명에 따르면, 가스 공급 효율을 높이면서, 진공 배기 효율도 좋고, 처리 기판의 면내, 면간에서의 막 두께, 막질, 전기 특성의 균일성도 좋은 반응실 구조를 제공하는 것이 가능하게 된다.
도 1은 본 발명의 일 실시 형태에 따른 기판 처리 장치(101)의 개략 구성도이다.
도 2는 본 발명의 일 실시 형태에 따른 처리 로(202)의 측면 단면도이다.
도 3은 본 발명의 일 실시 형태에서의 제어 플로우를 도시하는 도면이다.
도 4의 (a)는 본 발명의 일 실시 형태에서의 이너 튜브, 아우터 튜브를 투과한 반응관을 도시하는 도면이다. 도 4의 (b)는 도 4의 (a)에 노즐, 웨이퍼, 단열판을 설치한 경우의 반응관을 도시하는 도면이다.
도 5는 본 발명의 일 실시 형태에 따른 이너 튜브의 사시도이다.
도 6은 본 발명의 일 실시 형태에 따른 처리 가스가 슬릿을 지나, 배기관으로부터 배출되는 모습을 나타낸다.
도 7은 본 발명의 일 실시 형태에 따른 흘러 떨어지는 가스의 유속과 하부 개구의 관계를 도시하는 도면이다.
도 8은 일반적인 뱃치식 종형 기판 처리 장치를 도시하는 개략도이다.
기판 처리 장치로서의 뱃치식 종형 기판 처리 장치를 도 8을 사용하여 설명한다. 반응 로는, 반응관을 갖고, 이 반응관에 의해 형성되는 반응실(처리실) 내에 기판 보유 지지구로서의 보트(B)가 삽입된다. 보트(B)는, 복수매의 기판으로서의 반도체 웨이퍼(실리콘 웨이퍼)를 대략 수평 상태에서 간극(기판 피치 간격)(P)을 두고 복수단으로 보유 지지하도록 구성되어 있다. 또한, 반도체 웨이퍼의 주연부와 반응관의 내벽의 거리를 갭(G)으로서 나타내고 있다. 도 8에서, 가스 공급 노즐을 A, 가스의 흐름을 화살표 Y, 웨이퍼가 보유 지지되어 있는 영역(W)과 그 영역의 하부의 단열 영역(D), 가스 배기관(H)으로 나타내고 있다. 단일관 구조의 반응 로(원료 가스 가로 분출, 하방 배기, 도 8 참조)에서는, 웨이퍼 적층 간격 피치(P)와 웨이퍼 외측 테두리와 반응관 내벽의 거리 갭(G)의 비율(G/P)이 크기 때문에, 원료 가스는, 적극적으로 웨이퍼 위로 수송되지 않아, 원료 가스를 불필요하게 사용할 우려가 있다. 또한, 단일관 구조의 반응 로에서는, 복수단으로 배치된 복수의 웨이퍼 중, 상단(a)에 비해 하단(b)에 배치된 웨이퍼 위에 형성된 막이 더 모폴로지(막질)가 나쁘고, Rs(시트 저항값)가 높은 경향으로 되어 막 두께의 웨이퍼 면내 균일성이 나쁘다. 이에 반해, 원료 가스 공급 이벤트에 있어서, 반응실 내로의 원료 가스 공급과 진공 배기를 촘촘히 반복함으로써 막질이 개선되고, 복수단으로 배치된 웨이퍼의 상하 Rs값도 정렬되는 방향으로 된다. 이로부터, 다음의 메커니즘을 추정할 수 있다.
즉, 원료 가스 공급 시에는, 동시에 웨이퍼 위에 부생성물이 생성된다. 단일관 구조의 반응 로에 있어서 원료 가스 공급시에 진공 배기를 사이에 넣지 않는 경우, 이 부생성물의 농도가 복수단으로 배치된 웨이퍼의 상단에서부터 하단에 걸쳐서 높아지기 때문에, 하단에서 막질이 악화되고, Rs도 높아진다. 원료 가스 공급시에 진공 배기를 사이에 두면, 상하의 모폴로지와 Rs 분포가 개선되기 때문에, 부생성물이 영향을 주고 있는 것이 분명하다.
<본 발명의 일 실시 형태>
이하에, 본 발명의 일 실시 형태에 대하여 설명한다.
(1) 기판 처리 장치의 구성
먼저, 본 실시 형태에 따른 기판 처리 장치(101)의 구성에 대해서, 도 1, 도 2를 참조하면서 설명한다. 도 1은, 본 발명의 일 실시 형태에 따른 기판 처리 장치(101)의 개략 구성도이다. 도 2는, 본 발명의 일 실시 형태에 따른 처리 로(202)의 측면 단면도이다. 또한, 본 실시 형태에 따른 기판 처리 장치(101)는, 예를 들어 웨이퍼 등의 기판에 산화, 확산 처리, 박막 형성 처리 등을 행하는 종형의 장치로서 구성되어 있다.
(전체 구성)
도 1에 도시한 바와 같이, 기판 처리 장치(101)는, 뱃치식 종형 열처리 장치로서 구성되어 있다. 기판 처리 장치(101)는, 내부에 처리 로(202) 등의 주요부가 설치되는 하우징(111)을 구비하고 있다. 하우징(111) 내로의 기판 반송 용기(웨이퍼 캐리어)로서는, 포드(FOUP(후프)라고도 함)(110)가 사용된다. 포드(110) 내에는, 실리콘(Si) 또는 탄화실리콘(SiC) 등으로 구성된 기판으로서의 웨이퍼(기판)(200)가, 예를 들어 25매 수납되도록 구성되어 있다. 하우징(111)의 정면측에는, 포드 스테이지(114)가 배치되어 있다. 포드(110)는, 덮개가 폐쇄된 상태에서 포드 스테이지(114) 위에 적재되도록 구성되어 있다.
하우징(111) 내의 정면측(도 1의 우측)이며 포드 스테이지(114)에 대향하는 위치에는, 포드 반송 장치(118)가 설치되어 있다. 포드 반송 장치(118)의 근방에는, 포드 적재 선반(105) 및 도시하지 않은 포드 오프너 및 웨이퍼 매수 검출기가 설치되어 있다. 포드 적재 선반(105)은, 포드 오프너의 상방에 배치되어, 포드(110)를 복수개 적재한 상태에서 보유 지지하도록 구성되어 있다. 웨이퍼 매수 검출기는, 포드 오프너에 인접하여 설치된다. 포드 반송 장치(118)는, 포드를 보유 지지한 채 승강 가능한 포드 엘리베이터(118a)와, 반송 기구로서의 포드 반송 기구(118b)로 구성되어 있다. 포드 반송 장치(118)는, 포드 엘리베이터(118a)와 포드 반송 기구(118b)의 연속 동작에 의해, 포드 스테이지(114)와 포드 적재 선반(105)과 포드 오프너의 사이에서 포드(110)를 반송하도록 구성되어 있다. 포드 오프너는, 포드(110)의 덮개를 열도록 구성되어 있다. 웨이퍼 매수 검출기는, 덮개가 열린 포드(110) 내의 웨이퍼(200)의 매수를 검지하도록 구성되어 있다.
하우징(111) 내에는, 웨이퍼 이동 탑재기(125), 기판 보유 지지구로서의 보트(217)가 설치되어 있다. 웨이퍼 이동 탑재기(125)는, 아암(핀셋)(125c)을 갖고, 도시하지 않은 구동 수단에 의해, 상하 방향으로의 승강과 수평 방향으로의 회전 동작이 가능한 구조로 되어 있다. 아암(125c)은, 예를 들어 5매의 웨이퍼를 동시에 취출할 수 있도록 구성되어 있다. 아암(125c)을 움직이게 함으로써, 포드 오프너의 위치에 놓인 포드(110) 및 보트(217) 사이에서, 웨이퍼(200)가 반송되도록 구성되어 있다.
이어서, 본 실시 형태에 따른 기판 처리 장치(10)의 동작에 대하여 설명한다.
먼저, 도시하지 않은 공정 내 반송 장치에 의해, 웨이퍼(200)가 수직 자세로 되어 포드(110)의 웨이퍼 출납구가 상측 방향을 향하도록, 포드 스테이지(114) 위에 포드(110)가 적재된다. 그 후, 포드(110)는, 포드 스테이지(114)에 의해, 하우징(111)의 후방을 향해 세로 방향으로 90° 회전시켜진다. 그 결과, 포드(110) 내의 웨이퍼(200)는 수평 자세가 되고, 포드(110)의 웨이퍼 출납구는 하우징(111) 내의 후방을 향한다.
이어서, 포드(110)는, 포드 반송 장치(118)에 의해, 포드 적재 선반(105)의 지정된 선반 위치에 자동으로 반송되어 전달되어 일시적으로 보관된 후, 포드 적재 선반(105)으로부터 포드 오프너로 이동 탑재되거나, 또는 직접 포드 오프너에 반송된다.
포드(110)가 포드 오프너로 이동 탑재되면, 포드(110)는, 포드 오프너에 의해 덮개를 열 수 있다. 그리고, 덮개가 열린 포드(110)는, 웨이퍼 매수 검출기에 의해 포드(110) 내의 웨이퍼 매수가 검지된다. 웨이퍼(200)는, 웨이퍼 이동 탑재기(125)의 아암(125c)에 의해, 웨이퍼 출납구를 통해 포드(110) 내로부터 픽업되어, 웨이퍼 이동 탑재기(125)의 반송 동작에 의해 보트(217)에 장전(차지)된다. 보트(217)에 웨이퍼(200)를 전달한 웨이퍼 이동 탑재기(125)는, 포드(110)로 복귀되어, 다음의 웨이퍼(200)를 보트(217)에 장전한다.
미리 지정된 매수의 웨이퍼(200)가 보트(217)에 장전되면, 도시하지 않은 노구 셔터에 의해 폐쇄되어 있던 처리 로(202)의 하단부가, 노구 셔터에 의해 개방된다. 계속해서, 시일 캡(219)이 보트 엘리베이터(115)(도 2 참조)에 의해 상승됨으로써, 웨이퍼(200)군을 보유 지지한 보트(217)가 처리 로(202) 내에 반입(보트 로드)된다. 로드 후에는, 처리 로(202)에서 웨이퍼(200)에 임의의 처리가 실시된다. 관련된 처리에 대해서는 후술한다. 처리 후, 웨이퍼(200) 및 보트(217)는, 처리 로(202)로부터 반출(보트 언로드)되어, 상술한 수순과는 역의 수순으로 웨이퍼(200)가 보트(217)로부터 탈장(디스차지)되어, 하우징(111)의 외부로 반출된다.
(처리 로의 구성)
계속해서, 본 실시 형태에 따른 처리 로(202)의 구성에 대해서, 도 2를 사용하여 설명한다.
(처리실)
도 2에 도시한 바와 같이, 처리 로(202)는, 반응관으로서의 프로세스 튜브(203)를 구비하고 있다. 프로세스 튜브(203)는, 내부 반응관으로서의 이너 튜브(204)와, 그 외측에 설치된 외부 반응관으로서의 아우터 튜브(205)를 구비하고 있다. 이너 튜브(204)는, 예를 들어 석영(SiO2) 또는 탄화실리콘(SiC) 등의 내열성 재료에 의해 구성되어 있다. 이너 튜브(204)는, 상단 및 하단이 개구된 원통 형상으로 형성되어 있다. 이너 튜브(204) 내의 통 중공부에는, 기판으로서의 웨이퍼(200) 위에 박막을 형성하는 처리를 행하는 처리실(201)이 형성되어 있다. 처리실(201)은, 웨이퍼(200)를 보트(217)에 의해 수평 자세로 수직 방향으로 다단으로 정렬 보유 지지한 상태에서 수용 가능하게 구성되어 있다. 아우터 튜브(205)는, 이너 튜브(204)와 동심원 형상으로 설치되어 있다. 아우터 튜브(205)는, 내경이 이너 튜브(204)의 외경보다 크고, 상단이 폐색되고 하단이 개구된 원통 형상으로 형성되어 있다. 아우터 튜브(205)는, 예를 들어 석영 또는 탄화실리콘 등의 내열성 재료에 의해 구성되어 있다.
(히터)
프로세스 튜브(203)의 외측에는, 프로세스 튜브(203)의 측벽면을 둘러싸는 동심원 형상으로, 가열부로서의 히터(206)가 설치되어 있다. 히터(206)는 원통 형상으로 형성되어 있다. 히터(206)는, 도시하지 않은 보유 지지판으로서의 히터 베이스에 지지됨으로써 수직으로 설치되어 있다. 프로세스 튜브(203) 내(예를 들어 이너 튜브(204)와 아우터 튜브(205)의 사이나, 이너 튜브(204)의 내측 등)에는, 온도 검출기로서의 온도 센서(263)가 설치되어 있다. 히터(206) 및 온도 센서(263)에는, 후술하는 온도 제어부(238)가 전기적으로 접속되어 있다. 온도 제어부(238)는, 처리실(201) 내의 온도가 소정의 온도 분포가 되도록, 온도 센서(263)에 의해 검출된 온도 정보에 기초하여 히터(206)에 대한 통전 상태를 소정의 타이밍에서 제어하도록 구성되어 있다.
(매니폴드)
아우터 튜브(205)의 하방에는, 아우터 튜브(205)와 동심원 형상으로 매니폴드(209)가 배치되어 있다. 매니폴드(209)는, 예를 들어 스테인리스 등에 의해 구성되어 있다. 매니폴드(209)는, 상단 및 하단이 개구된 원통 형상으로 형성되어 있다. 매니폴드(209)는, 이너 튜브(204)의 하단부와 아우터 튜브(205)의 하단부에 각각 걸림 결합하도록 설치되거나, 이너 튜브(204)의 하단부와 아우터 튜브(205)의 하단부를 각각 지지하도록 설치되어 있다. 또한, 매니폴드(209)와 아우터 튜브(205)의 사이에는, 시일 부재로서의 O링(220a)이 설치되어 있다. 매니폴드(209)가 도시하지 않은 히터 베이스에 지지됨으로써, 프로세스 튜브(203)는, 수직으로 설치된 상태로 되어 있다. 주로, 프로세스 튜브(203)와 매니폴드(209)에 의해 반응 용기가 형성되어 있다.
(보트)
처리실(201) 내에는, 기판 보유 지지구로서의 보트(217)가 매니폴드(209)의 하단 개구의 하방측으로부터 반입되도록 구성되어 있다. 보트(217)는, 복수매의 기판으로서의 웨이퍼(200)를, 수평 자세이며 서로 중심을 맞춘 상태에서, 소정의 간격으로 배열시켜서 보유 지지하도록 구성되어 있다. 보트(217)는, 예를 들어 석영이나 탄화실리콘 등의 내열성 재료에 의해 구성되어 있다. 보트(217)의 하부이며, 웨이퍼 처리 영역보다 하방에는, 원판 형상을 한 단열 부재로서의 단열판(216)이 수평 자세로 다단으로 복수매 배치되어 있다. 단열판(216)은, 예를 들어 석영이나 탄화실리콘 등의 내열성 재료에 의해 구성되어 있다. 단열판(216)은, 히터(206)로부터의 열을 매니폴드(209)측에 전달하기 어렵게 하도록 구성되어 있다.
(캐리어 가스 공급계)
매니폴드(209)의 측벽에는, 캐리어 가스로서 예를 들어 질소(N2) 가스를 처리실(201) 내에 공급하는 노즐(230b) 및 노즐(230c)이 처리실(201) 내에 연통하도록 설치되어 있다. 노즐(230b) 및 노즐(230c)은, 예를 들어 석영 등에 의해 구성되어 있다. 노즐(230b) 및 노즐(230c)은, 적어도 1개씩 설치되어 있고, 프로세스 튜브(203)의 내벽과 웨이퍼(200)의 사이에서의 원호 형상의 공간에서, 프로세스 튜브(203)의 내벽을 따른 웨이퍼(200)의 적재 방향으로 연장되어 있다. 노즐(230b) 및 노즐(230c)의 측면에는, 가스를 공급하는 다수의 가스 공급 구멍이 형성되어 있다. 가스 공급 구멍은, 하부에서부터 상부에 걸쳐 각각 동일하거나, 또는, 크기에 경사를 둔 개구 면적을 갖고, 또한 동일한 개구 피치로 형성되어 있다. 노즐(230b) 및 노즐(230c)의 상류단은, 가스 공급관(232a)의 하류단에 접속되어 있다. 가스 공급관(232a)에는, 상류측부터 순서대로 캐리어 가스원(300a), 유량 제어기(유량 제어 수단)로서의 매스 플로우 컨트롤러(241a) 및 밸브(310a)가 설치되어 있다. 상기 구성에 의해, 가스 공급관(232a)을 통해 처리실(201) 내에 공급하는 캐리어 가스의 공급 유량, 처리실(201) 내의 캐리어 가스의 농도나 분압을 제어할 수 있다.
밸브(310a), 매스 플로우 컨트롤러(241a)에는, 후술하는 가스 유량 제어부(235)가 전기적으로 접속되어 있다. 가스 유량 제어부(235)는, 처리실(201) 내로의 캐리어 가스 공급의 개시나 정지, 공급 유량 등을 소정의 타이밍에서 제어하도록 구성되어 있다.
주로, 밸브(310a), 매스 플로우 컨트롤러(241a), 가스 공급관(232a), 노즐(230b)에 의해, 본 실시 형태에 따른 캐리어 가스 공급계가 구성된다. 또한, 캐리어 가스원(300a)을 포함시켜 캐리어 가스 공급계라고 생각해도 된다.
(Ti 원료 가스 공급계)
매니폴드(209)의 측벽에는, 원료 가스(금속 함유 가스)의 일례로서 예를 들어 Ti 원료(사염화티타늄(TiCl4)이나 테트라키스디메틸아미노티타늄(TDMAT, Ti[N(CH3)2]4), 테트라키스디에틸아미노티타늄(TDEAT, Ti[N(CH2CH3)2]4))을 처리실(201) 내에 공급하는 노즐(230b)이 처리실(201) 내에 연통하도록 설치되어 있다. 노즐(230b)은, 예를 들어 석영 등에 의해 구성되어 있다. 노즐(230b)은, 적어도 1개 설치되어 있고, 프로세스 튜브(203)의 내벽과 웨이퍼(200)의 사이에서의 원호 형상의 공간에서, 프로세스 튜브(203)의 내벽을 따른 웨이퍼(200)의 적재 방향으로 연장되어 있다. 노즐(230b)의 측면에는, 가스를 공급하는 다수의 가스 공급 구멍이 형성되어 있다. 가스 공급 구멍은, 하부에서부터 상부에 걸쳐 각각 동일하거나, 또는, 크기에 경사를 둔 개구 면적을 갖고, 또한 동일한 개구 피치로 형성되어 있다. 노즐(230b)의 상류단은, 가스 공급관(232b)의 하류단에 접속되어 있다. 가스 공급관(232b)에는, 상류측에서부터 순서대로 Ti 원료 가스원(300b), 유량 제어기(유량 제어 수단)로서의 매스 플로우 컨트롤러(241b) 및 밸브(310b)가 설치되어 있다. 상기 구성에 의해, 처리실(201) 내에 공급하는 Ti 원료 가스의 공급 유량, 처리실(201) 내의 Ti 원료 가스의 농도나 분압을 제어할 수 있다.
밸브(310b), 매스 플로우 컨트롤러(241b)에는, 후술하는 가스 유량 제어부(235)가 전기적으로 접속되어 있다. 가스 유량 제어부(235)는, 처리실(201) 내로의 Ti 원료 가스 공급의 개시나 정지, 공급 유량 등을 소정의 타이밍에서 제어하도록 구성되어 있다.
주로, 밸브(310b), 매스 플로우 컨트롤러(241b), 가스 공급관(232b), 노즐(230b)에 의해, 본 실시 형태에 따른 Ti 원료 가스 공급계가 구성된다. 또한, Ti 원료 가스원(300b)을 포함시켜 Ti 원료 가스 공급계라고 생각해도 된다.
(질화 원료 가스 공급계)
매니폴드(209)의 측벽에는, 개질 원료(반응 가스 또는 리액턴트)의 일례로서 예를 들어 질화 원료인 암모니아(NH3), 질소(N2), 아산화질소(N2O), 모노메틸히드라진(CH6N2) 등의 가스를 처리실(201) 내에 공급하는 노즐(230c)이 처리실(201) 내에 연통하도록 설치되어 있다. 노즐(230c)은, 예를 들어 석영 등에 의해 구성되어 있다. 노즐(230c)은, 적어도 1개 설치되어 있고, 프로세스 튜브(203)의 내벽과 웨이퍼(200)의 사이에서의 원호 형상의 공간에서, 프로세스 튜브(203)의 내벽을 따른 웨이퍼(200)의 적재 방향으로 연장되어 있다. 노즐(230c)의 측면에는, 가스를 공급하는 다수의 가스 공급 구멍이 형성되어 있다. 가스 공급 구멍은, 하부에서부터 상부에 걸쳐 각각 동일하거나, 또는, 크기에 경사를 둔 개구 면적을 갖고, 또한 동일한 개구 피치로 형성되어 있다. 노즐(230c)의 상류단은, 가스 공급관(232c)의 하류단에 접속되어 있다. 가스 공급관(232c)에는, 상류측에서부터 순서대로 불활성 가스원(300c), 유량 제어기(유량 제어 수단)로서의 매스 플로우 컨트롤러(241c) 및 밸브(310c)가 설치되어 있다. 상기 구성에 의해, 처리실(201) 내에 공급하는 질소 가스의 공급 유량, 처리실(201) 내의 질소 가스의 농도나 분압을 제어할 수 있다.
밸브(310c), 매스 플로우 컨트롤러(241c)에는, 후술하는 가스 유량 제어부(235)가 전기적으로 접속되어 있다. 가스 유량 제어부(235)는, 처리실(201) 내로의 불활성 가스 공급의 개시나 정지, 공급 유량 등을 소정의 타이밍에서 제어하도록 구성되어 있다.
주로, 밸브(310c), 매스 플로우 컨트롤러(241c), 가스 공급관(232c), 노즐(230c)에 의해, 본 실시 형태에 따른 질화 원료 가스 공급계가 구성된다. 또한, 질소 원료 가스원(300c)을 포함시켜 질소 원료 가스 공급계라고 생각해도 된다.
그리고, 주로, Ti 원료 가스 공급계, 질화 원료 가스 공급계 및 캐리어 가스 공급계에 의해, 본 실시 형태에 따른 가스 공급계가 구성된다.
(배기계)
매니폴드(209)의 측벽에는, 처리실(201) 내를 배기하는 배기관(231)이 설치되어 있다. 배기관(231)은 매니폴드(209)의 측면부를 관통하고 있고, 이너 튜브(204)와 아우터 튜브(205)의 간극에 의해 형성되는 통 형상 공간(250)의 하단부에 연통하고 있다. 배기관(231)의 하류측(매니폴드(209)와의 접속측과 반대측)에는, 상류측에서부터 순서대로 압력 검출기로서의 압력 센서(245), 압력 조정 장치로서의 APC(Auto Pressure Controller) 밸브(242), 진공 펌프(246)가 설치되어 있다.
압력 센서(245) 및 APC 밸브(242)에는, 후술하는 압력 제어부(236)가 전기적으로 접속되어 있다. 압력 제어부(236)는, 압력 센서(245)에 의해 검지한 압력 정보에 기초하여, 처리실(201) 내의 압력이 소정의 타이밍에서 소정의 압력(진공도)이 되도록, APC 밸브(242)의 개방도를 제어하도록 구성되어 있다. 또한, APC 밸브(242)는, 밸브를 개폐하여 처리실(201) 내의 진공 배기·진공 배기 정지를 할 수 있고, 또한 밸브 개방도를 조절하여 압력 조정 가능하게 되어 있는 개폐 밸브이다.
주로, 배기관(231), 압력 센서(245), APC 밸브(242)에 의해, 본 실시 형태에 따른 배기계가 구성된다. 또한, 진공 펌프(246)를 배기계에 포함시켜 생각해도 되고, 나아가, 트랩 장치나 제해 장치를 배기계에 포함시켜 생각해도 된다.
(시일 캡)
매니폴드(209)의 하단 개구에는, 반응 용기를 기밀하게 폐색하는 것이 가능한 노구 덮개로서의 시일 캡(219)이 설치되어 있다. 시일 캡(219)은, 예를 들어 스테인리스 등의 금속에 의해 구성되어 있고, 원반 형상으로 형성되어 있다. 시일 캡(219)의 상면에는, 매니폴드(209)의 하단과 접합하는 시일 부재로서의 O링(220b)이 설치되어 있다. 시일 캡(219)은, 매니폴드(209)의 하단에, 반응 용기의 수직 방향 하측으로부터 접촉하도록 구성되어 있다.
(회전 기구)
시일 캡(219)의 하방(즉 처리실(201)측과는 반대측)에는, 보트(217)를 회전시키는 회전 기구(254)가 설치되어 있다. 회전 기구(254)가 구비하는 회전축(255)은 시일 캡(219)을 관통하도록 설치되어 있다. 회전축(255)의 상단부는, 보트(217)를 하방으로부터 지지하고 있다. 회전 기구(254)를 작동시킴으로써, 보트(217) 및 웨이퍼(200)를 처리실(201) 내에서 회전시키는 것이 가능하게 구성되어 있다. 또한, 회전축(255)이 처리 가스에 의해 영향을 받기 어려워지도록, 회전축(255)의 근방에 불활성 가스를 흘려서, 처리 가스로부터 보호하도록 하고 있다.
(보트 엘리베이터)
시일 캡(219)은, 프로세스 튜브(203)의 외부에 수직으로 설치된 승강 기구로서의 보트 엘리베이터(115)에 의해, 수직 방향으로 승강되도록 구성되어 있다. 보트 엘리베이터(115)를 작동시킴으로써, 보트(217)를 처리실(201) 내외로 반출입(보트 로드 또는 보트 언로드)시키는 것이 가능하게 구성되어 있다.
회전 기구(254) 및 보트 엘리베이터(115)에는, 구동 제어부(237)가 전기적으로 접속되어 있다. 구동 제어부(237)는, 회전 기구(254) 및 보트 엘리베이터(115)가 소정의 동작을 하도록 소정의 타이밍에서 제어하도록 구성되어 있다.
(컨트롤러)
상술한 가스 유량 제어부(235), 압력 제어부(236), 구동 제어부(237) 및 온도 제어부(238)는, 기판 처리 장치(101) 전체를 제어하는 주 제어부(239)에 전기적으로 접속되어 있다. 주로, 가스 유량 제어부(235), 압력 제어부(236), 구동 제어부(237), 온도 제어부(238) 및 주 제어부(239)에 의해, 본 실시 형태에 따른 제어부로서의 컨트롤러(240)가 구성되어 있다.
컨트롤러(240)는, 기판 처리 장치(101)의 전체 동작을 제어하는 제어부(제어 수단)의 일례이며, 매스 플로우 컨트롤러(241a, 241b, 241c)의 유량 조정, 밸브(310a, 310b, 310c)의 개폐 동작, APC 밸브(242)의 개폐 및 압력 센서(245)에 기초하는 압력 조정 동작, 온도 센서(263)에 기초하는 히터(206)의 온도 조정 동작, 진공 펌프(246)의 기동·정지, 보트 회전 기구(254)의 회전 속도 조절, 보트 엘리베이터(115)의 승강 동작 등을 각각 제어하도록 되어 있다.
이하에 본 발명의 반응관에 대하여 도면을 사용하여 설명한다.
<반도체 장치의 제조 방법>
이어서, 상술한 기판 처리 장치의 처리 로(202)를 사용하여, 반도체 장치(디바이스)의 제조 공정의 일 공정으로서, 대규모 집적 회로(Large Scale Integration; LSI)를 제조할 때 등에, 기판 위에 절연막을 성막하는 방법의 예에 대하여 설명한다. 또한, 이하의 설명에서, 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(240)에 의해 제어된다.
[제1 실시 형태]
본 실시 형태에서는, 금속막으로서 질화티타늄막을 기판 위에 형성하는 방법에 대하여 설명한다.
먼저 원료 가스와 반응 가스를 교대로 공급하여 기판 위에 질화티타늄막을 형성한다.
본 실시 형태에서는, 티타늄(Ti) 함유 원료로서, TiCl4, 질화 가스로서 NH3을 사용하는 예에 대하여 설명한다. 또한, 이 예에서는, 제1 가스 공급계에 의해 티타늄 함유 가스 공급계(제1 원소 함유 가스 공급계)가 구성되고, 제2 가스 공급계에 의해 질소 함유 가스 공급계(제2 원소 함유 가스 공급계)가 구성된다.
도 3은, 본 실시 형태에서의 제어 플로우의 일례를 나타낸다.
먼저, 복수매의 웨이퍼(200)가 보트(217)에 장전(웨이퍼 차지)되면, 복수매의 웨이퍼(200)를 지지한 보트(217)는, 보트 엘리베이터(115)에 의해 들어 올려져서 처리실(201) 내에 반입(보트 로드)된다. 이 상태에서, 시일 캡(219)은, O링(220b)을 개재하여 반응관(203)의 하단을 시일한 상태로 된다. 또한, 성막 프로세스에서는, 컨트롤러(240)가 기판 처리 장치(101)를 하기와 같이 제어한다. 즉, 히터(206)를 제어하여 처리실(201) 내를 예를 들어 300℃ 내지 550℃의 범위의 온도이며, 바람직하게는 450℃ 이하, 보다 바람직하게는 450℃로 유지한다. 그 후, 복수매의 웨이퍼(200)를 보트(217)에 장전하여, 보트(217)를 처리실(201)에 반입한다. 그 후, 보트(217)를 보트 구동 기구(254)에 의해 회전시켜, 웨이퍼(200)를 회전시킨다. 그 후, 진공 펌프(246)를 작동시킴과 함께 APC 밸브(242)를 개방하여 처리실(201) 내를 진공화하고, 웨이퍼(200)의 온도가 450℃에 달하여 온도 등이 안정되면, 처리실(201) 내의 온도를 450℃로 유지한 상태에서 후술하는 스텝을 순차 실행한다.
(스텝 11)
스텝 11에서는, TiCl4를 흘린다. TiCl4는 상온에서 액체이며, 처리실(201)에 공급하기 위해서는, 가열하여 기화시키고 나서 공급하는 방법, 도시하지 않은 기화기를 사용하여 캐리어 가스라고 불리는 He(헬륨), Ne(네온), Ar(아르곤), N2(질소) 등의 불활성 가스를 TiCl4 용기 중에 통과시켜, 기화하고 있는 분을 그 캐리어 가스와 함께 처리실(201)에 공급하는 방법 등이 있는데, 예로서 후자의 케이스로 설명한다.
가스 공급관(232b)에 TiCl4를, 가스 공급관(232b)과 접속되어 있는 캐리어 가스 공급관(232a)에 캐리어 가스(N2)를 흘린다. 가스 공급관(232b)의 밸브(310b), 노즐(230b)에 접속되는 캐리어 가스 공급관(232a)의 밸브(310a), 및 배기관(231)의 APC 밸브(242) 각각을 모두 개방한다. 캐리어 가스는, 캐리어 가스 공급관(232a)으로부터 흘러, 매스 플로우 컨트롤러(241a)에 의해 유량 조정된다. TiCl4는, 가스 공급관(232b)으로부터 흘러, 매스 플로우 컨트롤러(241b)에 의해 유량 조정되며, 도시하지 않은 기화기에 의해 기화되어, 유량 조정된 캐리어 가스를 혼합하여, 노즐(230b)의 가스 공급 구멍으로부터 처리실(201) 내에 공급되면서 배기관(231)으로부터 배기된다. 이때, APC 밸브(242)를 적정하게 조정하여 처리실(201) 내의 압력을 20 내지 50Pa의 범위로, 예를 들어 30Pa로 유지한다. 매스 플로우 컨트롤러(241b)로 제어하는 TiCl4의 공급량은 1.0 내지 2.0g/min이다. TiCl4에 웨이퍼(200)를 노출하는 시간은 3 내지 10초간이다. 이때 히터(206)의 온도는, 웨이퍼의 온도가 300℃ 내지 550℃의 범위이며, 예를 들어 450℃가 되도록 설정되어 있다.
이때, 처리실(201) 내에 흘리고 있는 가스는, TiCl4와 N2, Ar 등의 불활성 가스만이며, NH3은 존재하지 않는다. 따라서, TiCl4는 기상 반응을 일으키지 않고, 웨이퍼(200)의 표면이나 하지막과 표면 반응(화학 흡착)하여, 원료(TiCl4)의 흡착층 또는 Ti층(이하, Ti 함유층)을 형성한다. TiCl4의 흡착층이란, 원료 분자의 연속적인 흡착층 외에, 불연속인 흡착층도 포함한다. Ti층이란, Ti에 의해 구성되는 연속적인 층 외에, 이들이 겹쳐서 생긴 Ti박막도 포함한다. 또한, Ti에 의해 구성되는 연속적인 층을 Ti박막이라고 하는 경우도 있다.
동시에, 가스 공급관(232c)의 도중에 연결되어 있는 캐리어 가스 공급관(232a)으로부터, 밸브(310a)를 개방하여 불활성 가스를 흘리면, NH3측으로 TiCl4가 돌아 들어가는 것을 방지할 수 있다.
(스텝 12)
가스 공급관(232b)의 밸브(310b)를 폐쇄하여 처리실로의 TiCl4의 공급을 정지한다. 이때 배기관(231)의 APC 밸브(242)는 개방한 상태로 두고, 진공 펌프(246)에 의해 처리실(201) 내를 20Pa 이하가 될 때까지 배기하고, 잔류 TiCl4를 처리실(201) 내로부터 배제한다. 이때 N2 등의 불활성 가스를 처리실(201) 내에 공급하면, 더욱 잔류 TiCl4를 배제하는 효과가 높아진다.
(스텝 13)
스텝 13에서는, NH3을 흘린다. 가스 공급관(232c)에 NH3을, 가스 공급관(232c)과 접속되는 캐리어 가스 공급관(232a)에 캐리어 가스(N2)를 흘린다. 가스 공급관(232c)의 밸브(310c), 캐리어 가스 공급관(232a)의 밸브(310a), 및 배기관(231)의 APC 밸브(242) 각각을 모두 개방한다. 캐리어 가스는, 캐리어 가스 공급관(232a)으로부터 흘러, 매스 플로우 컨트롤러(241a)에 의해 유량 조정된다. NH3은, 가스 공급관(232c)으로부터 흘러, 매스 플로우 컨트롤러(241c)에 의해 유량 조정되고, 유량 조정된 캐리어 가스를 혼합하여, 노즐(230c)의 가스 공급 구멍으로부터 처리실(201) 내에 공급되면서 배기관(231)으로부터 배기된다. NH3을 흘릴 때는, APC 밸브(242)를 적정하게 조절하여 처리실(201) 내 압력을 50 내지 1000Pa의 범위로, 예를 들어 60Pa로 유지한다. 매스 플로우 컨트롤러(241c)로 제어하는 NH3의 공급 유량은 1 내지 10slm이다. NH3에 웨이퍼(200)를 노출하는 시간은 10 내지 30초간이다. 이때의 히터(206)의 온도는, 300℃ 내지 550℃의 범위의 소정의 온도이며, 예를 들어 450℃가 되도록 설정되어 있다.
동시에, 가스 공급관(232b)의 도중에 연결되어 있는 캐리어 가스 공급관(232a)으로부터, 개폐 밸브(310a)를 개방하여 불활성 가스를 흘리면, TiCl4측으로 NH3이 돌아 들어가는 것을 방지할 수 있다.
NH3의 공급에 의해, 웨이퍼(200) 위에 화학 흡착된 Ti 함유층과 NH3이 표면 반응(화학 흡착)하여, 웨이퍼(200) 위에 질화티타늄막이 형성된다.
(스텝 14)
스텝 14에서는, 가스 공급관(232c)의 밸브(310c)를 폐쇄하여, NH3의 공급을 멈춘다. 또한, 배기관(231)의 APC 밸브(242)는 개방한 상태로 두고, 진공 펌프(246)에 의해, 처리실(201)을 20Pa 이하로 배기하여, 잔류 NH3을 처리실(201)로부터 배제한다. 또한, 이 때에는, N2 등의 불활성 가스를, NH3 공급 라인인 가스 공급관(232c) 및 TiCl4 공급 라인인 가스 공급관(232b)으로부터 각각 처리실(201)에 공급하여 퍼지하면, 잔류 NH3을 배제하는 효과가 더욱 높아진다.
상기 스텝 11 내지 14를 1 사이클로 하여, 적어도 1회 이상 행함으로써 웨이퍼(200) 위에 소정 막 두께의 질화티타늄막을 성막한다. 이 경우, 각 사이클 중에서, 상기와 같이, 스텝 11에서의 Ti 함유 원료 가스에 의해 구성되는 분위기와, 스텝 13에서의 질화 가스에 의해 구성되는 분위기 각각의 분위기가 처리실(201) 내에서 혼합되지 않도록 처리하는 것에 유의한다.
또한, 질화티타늄막의 막 두께는, 사이클 수를 제어하여, 1 내지 5nm 정도로 조정하면 된다. 이때에 형성되는 질화티타늄막은, 표면이 매끄러운 모양(스무드)이며 또한 치밀한 연속막으로 된다.
또한, 질화티타늄막을 형성한 후, 이 질화티타늄막에 대하여 질소 함유 가스, 수소 함유 가스, 불활성 가스 등을 사용해서 어닐 처리를 행해도 된다.
이하에, 질소 함유 가스로서 NH3을 사용한 어닐 처리에 대하여 설명한다.
질화티타늄막이 형성된 웨이퍼(200)를 NH3의 분위기에 노출시킴으로써 질화티타늄막의 개질을 행한다. 구체적으로는, 가스 공급관(232c)에 NH3을, 가스 공급관(232c)과 접속되는 캐리어 가스 공급관(232a)에 캐리어 가스(N2)를 흘린다. 가스 공급관(232c)의 밸브(310c), 가스 공급관(232c)과 접속되는 캐리어 가스 공급관(232a)의 밸브(310a), 및 배기관(231)의 APC 밸브(242)를 모두 개방한다. 캐리어 가스는, 캐리어 가스 공급관(232a)으로부터 흘러, 매스 플로우 컨트롤러(241a)에 의해 유량 조정된다. NH3은, 가스 공급관(232c)으로부터 흘러, 매스 플로우 컨트롤러(241c)에 의해 유량 조정되고, 유량 조정된 캐리어 가스를 혼합하여, 노즐(230c)의 가스 공급 구멍으로부터 처리실(201) 내에 공급되면서 배기관(231)으로부터 배기된다.
NH3을 흘릴 때는, APC 밸브(242)를 적정하게 조절하여 처리실(201) 내 압력을 50 내지 1000Pa의 범위로, 예를 들어 150Pa로 유지한다. 매스 플로우 컨트롤러(241c)로 제어하는 NH3의 공급 유량은 1 내지 91slm이다. NH3에 웨이퍼(200)를 노출하는 시간은 1 내지 10분간이다. 이때의 히터(206)의 온도는, 300 내지 550℃의 범위의 소정의 온도이며, 예를 들어 450℃가 되도록 설정되어 있다. 이렇게 어닐시의 온도를 성막 시의 온도와 동일한 온도로 설정하면, 보다 처리 시간이 단축되어 스루풋이 향상된다. 동시에, 가스 공급관(232b)의 도중에 연결되어 있는 캐리어 가스 공급관(232a)으로부터, 개폐 밸브(310a)를 개방하여 불활성 가스를 흘리면, TiCl4측으로 NH3이 돌아 들어가는 것을 방지할 수 있다.
NH3의 공급에 의해, 막 중에 잔류하는 염소(Cl)를 효율적으로 제거하여, 막의 고품질화를 도모할 수 있다는 효과가 있다. NH3을 사용한 경우에는, NH3의 H와 Cl이 결합하여, HCl이 되어 제거되는 것이라 생각된다.
또한 교대 공급법에 의해 질화티타늄막을 형성한 후, 이 질화티타늄막에 대하여 질소 함유 가스, 수소 함유 가스, 불활성 가스 등을 사용해서 플라즈마 처리를 행해도 된다. 예를 들어 질소 함유 가스로서 NH3을 플라즈마로 활성화(플라즈마 여기)시켜서 흘림으로써, 보다 에너지가 높은 반응물을 생성할 수 있고, 이 반응물에 의해 개질 처리를 행함으로써, 디바이스 특성이 향상되는 등의 효과도 생각할 수 있다. 또한, NH3은 열로 활성화시켜서 공급하는 것이, 소프트한 반응을 발생시킬 수 있어, 상술한 개질 처리를 소프트하게 행할 수 있다.
또한, 상술한 어닐 처리와 플라즈마 처리는 동시에 행해도 된다. 즉, 상술한 어닐시의 온도로 히터(206)를 설정하면서, 예를 들어 NH3을 플라즈마로 활성화시켜서 흘림으로써, 질화티타늄막에 대하여 처리를 행한다. 단, 어닐시의 온도로 히터(206)를 유지하여, 열 에너지에 의해 NH3을 활성화시키는 시간과, 플라즈마에 의해 NH3을 활성화시키는 시간이 동일한 길이일 필요는 없다.
또한, 어닐 처리 및 플라즈마 처리 중 적어도 한쪽에 사용하는 가스는, 질소 함유 가스, 수소 함유 가스, 불활성 가스 등이면 되고, 질소 함유 가스로서는 예를 들어 N2, NH3 또는 모노메틸히드라진(CH6N2) 등을 사용할 수 있고, 수소 함유 가스로서는 예를 들어 H2 등을 사용할 수 있고, 불활성 가스로서는 예를 들어 아르곤(Ar)이나 헬륨(He) 등을 사용할 수 있다. N2, NH3을 사용하는 경우에는, 성막 공정에서 사용되는 가스종이므로, 새롭게 가스를 공급하기 위한 기구를 설치할 필요가 없기 때문에, 더욱 바람직하다.
이하에 본 발명의 기판 처리 장치의 처리 로에 대해서, 도 4, 도 5를 사용하여 더욱 상세하게 설명한다. 도 4의 (a)는, 본 발명의 일 실시 형태에서의 이너 튜브, 아우터 튜브를 투과한 반응관을 도시하는 도면이다. 도 4의 (b)는, 도 4의 (a)에 노즐, 웨이퍼, 단열판을 설치한 경우의 반응관을 도시하는 도면이다. 도 5는, 이너 튜브(204)의 사시도이다. 본 발명에서의 프로세스 튜브(203)는, 이너 튜브(204)와, 아우터 튜브(205)로 주로 구성되어 있다. 이너 튜브(204)에는, 기판 배열된 영역의 높이 방향으로 제1 슬릿(301)과, 기판 배열 영역의 하방 영역(단열판(216)이 적재되어 있는 영역)에 제2 슬릿(302)이 개구되어 있다. 제1 슬릿(301)의 개구부는, 개구 중심 각도가 60도 내지 90도인 것이 바람직하다. 제2 슬릿(302)은, 제1 슬릿(301)보다 작은 개구 면적을 갖고 있다. 제2 슬릿(302)의 개구 형상은 직사각형으로 되어 있지만, 원형이어도 되고, 다각형이어도 된다.
도 6은, 처리실(201) 내에 공급된 각종 가스가 슬릿(301)을 지나, 배기관(231)으로부터 배출되는 모습을 나타낸 것이다. 노즐(230b, 230c) 등으로부터 공급된 각종 가스는, 적층된 복수의 웨이퍼(200) 사이를 통과하여, 슬릿(301)(개구 각도(θ)가 60도 내지 90도가 바람직함)으로부터 이너 튜브(204)밖으로 배출된다. 이너 튜브(204)로부터 배출된 처리 가스(303)는, 이너 튜브(204)와 아우터 튜브(205)의 사이를 지나, 이너 튜브(204)의 외벽 및 아우터 튜브(205)의 내벽을 따라 돌아 들어가, 배기관(231)으로부터 처리 로로부터 배출된다. 그러나, 이때, 모든 처리 가스가 웨이퍼(200) 사이를 지나, 슬릿(301)으로부터 이너 튜브(204) 외부로 배출되는 것은 아니다. 원활하게 웨이퍼(200) 사이를 지나지 못하고, 특히 노즐측의 웨이퍼(200)와 이너 튜브(204)의 사이의 넓은 간극으로부터 이너 튜브(204)의 하부 방향으로 흘러 떨어져버리는 가스도 있다.
이 흘러 떨어져버리는 가스의 흘러 떨어지는 강도는, 상술한 웨이퍼(200)와 이너 튜브(204)의 사이의 갭이 좁은 것이 더 강해진다. 슬릿이 하나이고, 이너 튜브(204)의 상부로부터 하부까지 슬릿이 연결되어 있는 스트레이트 슬릿인 경우, 공급된 가스의 흘러 떨어지는 강도가 가장 강해진다. 이에 반해, 이 슬릿이 기판 배열 영역에만 형성되어 있는 경우, 또는, 제1 슬릿(301)이 기판 배열 영역에 형성되고, 제2 슬릿(302)이 하부 영역(단열 영역)에 형성되고, 또한 제2 슬릿(302)을 완전 폐쇄(풀 클로즈)한 경우에는, 이 흘러 떨어지는 강도가 약해지는 경향이 있다.
그러나, 제2 슬릿(302)을 풀 클로즈한 경우, 도시하지 않은 가스 공급 노즐로부터 회전축(255)을 가스로부터 보호하기 위한 불활성 가스가 단열 영역에 공급되고 있기 때문에(회전축 퍼지), 이 불활성 가스는 제1 슬릿(301)의 하방 부분으로부터 배기되게 된다. 이 때문에, 회전축 퍼지를 목적으로 사용되는 불활성 가스와 기판 처리 시의 원료 가스가 혼합되게 되고, 그 결과, 기판 배열 영역의 하단 영역에 적재되어 있는 프로덕트 웨이퍼의 원료 가스 농도를 낮추어버린다는 단점이 발생하였다. 따라서, 가스의 흘러 떨어지는 강도를 억제하기 위해서는, 제2 슬릿(302)은 풀 클로즈로 하는 것이 더 좋지만, 풀 클로즈로 한 경우에는, 회전축 퍼지 가스의 영향이 생겨버린다. 따라서, 본 발명에서는, 제2 슬릿(302)을 약간 개방하기로 하였다.
흘러 떨어지는 가스의 유속과 제2 슬릿(302)(하부 개구)의 등가 직경(어떤 직경의 원의 면적에 상당하는 슬릿 개구 면적)의 관계를 도 7에 나타내었다. 도 7에 의하면, 갭(반도체 웨이퍼의 주연부와 반응관의 내벽과의 거리)이 13mm인 경우와 갭이 20mm인 경우에는, 갭이 좁은 갭 13mm가 가스 흘러 떨어짐 유속이 더 빠른 것을 알 수 있다. 또한, 갭 13mm인 경우나 갭 20mm인 경우도, 하부 개구(제2 슬릿(302))의 등가 직경이 약 50mm에 있어서, 가스 흘러 떨어짐 유속은 거의 상한으로 되어 있다. 이로부터, 갭의 크기에 관계없이, 하부 개구(제2 슬릿(302))의 등가 직경은 어느 정도의 크기에서 가스 흘러 떨어짐 유속은 상한이 된다는 것을 알 수 있다. 환언하면, 하부 개구는 어느 정도의 개구 면적에서, 가스 흘러 떨어짐 유속은 상한이 된다는 경향이 있음을 이해할 수 있다.
이와 같이, 이너 튜브(204)의 측면의 기판이 배열된 영역에 제1 슬릿(301)을 형성하고, 이 제1 슬릿(301)의 하방인, 단열판이 배열된, 하부 영역에 제1 슬릿(301)보다 개구 면적이 작은 제2 슬릿(302)을 형성하였다. 이러한 구조로 함으로써, 웨이퍼로의 원료 공급 효율을 개선함과 함께, 막 두께, 막질, 전기 특성 균일성을 향상시킨다. 또한, 하부 영역에서의 원료 가스의 고임이 해소되어, 회전축 퍼지에 사용된 불활성 가스도 효율적으로 처리 로 외부로 배출할 수 있다.
또한, 교대 공급법에 의한 질화티타늄막의 막 두께는, 사이클 수를 제어하여, 1 내지 5nm 정도로 조정하면 된다. 이때에 형성되는 질화티타늄막은, 표면이 매끄러운 모양(스무드)이며 또한 치밀한 연속막이 된다.
또한, 교대 공급법에 의해 질화티타늄막을 형성한 후, 이 질화티타늄막에 대해 불활성 가스인 아르곤(Ar)이나 헬륨(He) 등을 사용하여 어닐 또는 플라즈마 처리를 행해도 된다.
또한, 질소 원자를 포함하는 가스로서, N2, NH3 또는 모노메틸히드라진(CH6N2) 등을 사용해서 질화티타늄막을 어닐 또는 플라즈마 처리해도 된다.
또한, 수소 원자를 포함하는 가스로서, H2 등을 사용하여 질화티타늄막을 어닐 또는 플라즈마 처리해도 된다.
본 발명에 따르면, 예를 들어 기판 온도 450℃에서, 표면이 매끄럽고 치밀한 저항률이 낮은 질화티타늄막을, 보다 고속으로 형성할 수 있다.
또한, 저온에서 고품질의 박막을 형성하는 것이 가능하게 되기 때문에, 서멀 버짓의 저감이 가능하게 된다.
또한, 교대 공급법으로 형성되는 막을, 예를 들어 질화티타늄막과 질화알루미늄막과 같이 조성이 상이한 라미네이트 상의 극박막 적층막과, 라미네이트막 중 적어도 1개의 구성막과 동일한 조성을 갖는 박막의, 양자로 이루어지는 적층막을 양질이면서 또한 높은 생산성으로 제공하는 것이 가능하게 된다.
또한, 실시예로서 TiCl4 및 NH3을 사용한 질화티타늄막의 형성에 대하여 설명했지만, 이에 한정하지 않고, SiOx막을 비롯한 다른 막종이어도 적용 가능하다.
[본 발명의 바람직한 형태]
이하에, 본 발명의 바람직한 형태에 대하여 부기한다.
(부기 1)
본 발명의 일 형태에 의하면,
내부에서 소정의 원료 가스를 반응시켜서 복수의 기판을 처리하는 처리실을 형성하는 반응관으로서,
상기 반응관은, 원통 형상으로 형성되어서 상단이 폐색되고, 하단이 개구되어 있는 아우터 튜브와,
상기 아우터 튜브의 내부에 설치되고, 상기 소정의 원료 가스를 배기하는 제1 및 제2 배기 슬릿을 가지고, 상기 제1 배기 슬릿은 상기 복수의 기판이 배열된 기판 배열 영역에 위치하고, 상기 제2 배기 슬릿은 상기 기판 배열 영역보다 하방의 영역에 위치하는, 이너 튜브
를 포함하는 반응 용기가 제공된다.
(부기 2)
본 발명의 다른 형태에 의하면,
복수의 기판을 처리하는 처리실을 형성하고, 원통 형상으로 형성되어서 상단이 폐색되고 하단이 개구되어 있는 아우터 튜브와, 상기 아우터 튜브의 내부에 설치되고, 상기 소정의 원료 가스를 배기하는 제1 및 제2 배기 슬릿을 가지고, 상기 제1 배기 슬릿은 상기 복수의 기판이 배열된 기판 배열 영역에 위치하고, 상기 제2 배기 슬릿은 상기 기판 배열 영역보다 하방의 영역에 위치하는, 이너 튜브로 구성되는 반응관과,
상기 반응관 내에 처리 가스를 공급하는 처리 가스 공급부와,
상기 처리 가스를 상기 반응관으로부터 배기하는 가스 배기부
를 포함하는 기판 처리 장치가 제공된다.
(부기 3)
본 발명의 다른 형태에 의하면,
복수의 기판을 처리하는 처리실을 형성하고, 원통 형상으로 형성되어서 상단이 폐색되고 하단이 개구되어 있는 아우터 튜브와, 상기 아우터 튜브의 내부에 설치되고, 상기 소정의 원료 가스를 배기하는 제1 및 제2 배기 슬릿을 가지고, 상기 제1 배기 슬릿은 상기 복수의 기판이 배열된 기판 배열 영역에 위치하고, 상기 제2 배기 슬릿은 상기 기판 배열 영역보다 하방의 영역에 위치하는, 이너 튜브로 구성되는 반응관에 복수의 기판을 반송하는 공정과,
상기 반응관 내에 소정의 처리 가스를 공급하여 상기 복수의 기판을 처리하는 공정과,
상기 소정의 처리 가스를 상기 이너 튜브에 설치된 상기 제1 및 제2 배기 슬릿을 통해 배기하는 공정
을 포함하는 반도체 장치의 제조 방법이 제공된다.
(부기 4)
본 발명의 다른 형태에 의하면,
복수의 기판을 처리하는 처리실을 형성하고, 원통 형상으로 형성되어서 상단이 폐색되고 하단이 개구되어 있는 아우터 튜브와, 상기 아우터 튜브의 내부에 설치되고, 상기 소정의 원료 가스를 배기하는 제1 및 제2 배기 슬릿을 가지고, 상기 제1 배기 슬릿은 상기 복수의 기판이 배열된 기판 배열 영역에 위치하고, 상기 제2 배기 슬릿은 상기 기판 배열 영역보다 하방의 영역에 위치하는, 이너 튜브로 구성되는 반응관에 복수의 기판을 반송하는 공정과,
상기 반응관 내에 소정의 처리 가스를 공급하여 상기 복수의 기판을 처리하는 공정과,
상기 소정의 처리 가스를 상기 이너 튜브에 설치된 상기 배기 슬릿을 통해 배기하는 공정
을 포함하는 기판 처리 방법이 제공된다.
(부기 5)
상기 제1 배기 슬릿 및 상기 제2 배기 슬릿은 각각 이격하여 형성되는, 부기 1 내지 4에 기재된 반응관, 기판 처리 장치, 반도체 장치의 제조 방법, 기판 처리 방법이 제공된다.
(부기 6)
상기 제1 배기 슬릿은, 개구 중심각이 60도 내지 90도인, 부기 1 내지 5에 기재된 반응관, 기판 처리 장치, 반도체 장치의 제조 방법, 기판 처리 방법이 제공된다.
(부기 7)
상기 제2 배기 슬릿은 상기 제1 배기 슬릿보다 개구 면적이 작아지도록 형성되는, 부기 1 내지 6에 기재된 반응관, 기판 처리 장치, 반도체 장치의 제조 방법, 기판 처리 방법이 제공된다.
101: 기판 처리 장치 200: 웨이퍼
201: 처리실 202: 처리 로
203: 프로세스 튜브 204: 이너 튜브
205: 아우터 튜브 206: 히터
217: 보트 231: 배기관
242: APC 밸브 246: 진공 펌프
254: 회전 기구 240: 컨트롤러
301: 제1 슬릿 302: 제2 슬릿

Claims (17)

  1. 내부에서 소정의 원료 가스를 반응시켜서 복수의 기판을 처리하는 처리실을 형성하는 반응관으로서,
    원통 형상으로 형성되어서 상단이 폐색되고, 하단이 개구되어 있는 아우터 튜브와,
    상기 아우터 튜브의 내부에 설치되고, 상기 소정의 원료 가스를 배기하는 제1 및 제2 배기 슬릿을 갖는 이너 튜브 - 상기 제1 배기 슬릿 및 상기 제2 배기 슬릿은 상기 이너 튜브의 측면에 형성되고, 상기 제1 배기 슬릿은 상기 복수의 기판이 배열된 기판 배열 영역에 위치하고, 상기 제2 배기 슬릿은 상기 기판 배열 영역보다 하방의 단열 영역에 위치함 -
    를 포함하고,
    상기 제2 배기 슬릿은 적어도 상기 기판 배열 영역보다도 하방의 영역에 공급된 불활성 가스를 배기하도록 상기 제1 배기 슬릿보다 개구 면적이 작아지도록 형성되는, 반응관.
  2. 제1항에 있어서,
    상기 제1 배기 슬릿 및 상기 제2 배기 슬릿은 각각 이격하여 형성되는, 반응관.
  3. 제1항에 있어서,
    상기 제1 배기 슬릿은 개구 중심각이 60도 내지 90도인, 반응관.
  4. 삭제
  5. 제1항에 있어서,
    상기 제2 배기 슬릿은 등가 직경이 50mm 이하가 되도록 구성되는, 반응관.
  6. 복수의 기판을 처리하는 처리실을 형성하고, 원통 형상으로 형성되어서 상단이 폐색되고 하단이 개구되어 있는 아우터 튜브와, 상기 아우터 튜브의 내부에 설치되고, 소정의 원료 가스를 배기하는 제1 및 제2 배기 슬릿을 갖는 이너 튜브로 구성되는 반응관과 - 상기 제1 배기 슬릿 및 상기 제2 배기 슬릿은 상기 이너 튜브의 측면에 형성되고, 상기 제1 배기 슬릿은 상기 복수의 기판이 배열된 기판 배열 영역에 위치하고, 상기 제2 배기 슬릿은 상기 기판 배열 영역보다 하방의 단열 영역에 위치함 -,
    상기 반응관 내에 처리 가스를 공급하는 처리 가스 공급부와,
    상기 처리 가스를 상기 반응관으로부터 배기하는 가스 배기부
    를 포함하고,
    상기 제2 배기 슬릿은 적어도 상기 기판 배열 영역보다도 하방의 영역에 공급된 불활성 가스를 배기하도록 상기 제1 배기 슬릿보다 개구 면적이 작아지도록 형성되는, 기판 처리 장치.
  7. 제6항에 있어서,
    상기 제1 배기 슬릿 및 상기 제2 배기 슬릿은 각각 이격하여 형성되는, 기판 처리 장치.
  8. 제6항에 있어서,
    상기 제1 배기 슬릿은 개구 중심각이 60도 내지 90도인, 기판 처리 장치.
  9. 삭제
  10. 제6항에 있어서,
    상기 제2 배기 슬릿은 등가 직경이 50mm 이하가 되도록 구성되는, 기판 처리 장치.
  11. 제7항에 있어서,
    상기 복수의 기판을 보유 지지해서 배열시키는 기판 보유 지지구를 회전시키는 회전축에 불활성 가스를 공급하는 불활성 가스 공급부를 더 포함하는, 기판 처리 장치.
  12. 복수의 기판을 처리하는 처리실을 형성하고, 원통 형상으로 형성되어서 상단이 폐색되고 하단이 개구되어 있는 아우터 튜브와, 상기 아우터 튜브의 내부에 설치되고, 소정의 원료 가스를 배기하는 제1 및 제2 배기 슬릿을 갖는 이너 튜브로 구성되는 반응관에 복수의 기판을 반송하는 공정과 - 상기 제1 배기 슬릿 및 상기 제2 배기 슬릿은 상기 이너 튜브의 측면에 형성되고, 상기 제1 배기 슬릿은 상기 복수의 기판이 배열된 기판 배열 영역에 위치하고, 상기 제2 배기 슬릿은 상기 기판 배열 영역보다 하방의 단열 영역에 위치함 -,
    상기 반응관 내에 소정의 처리 가스를 공급하여 상기 복수의 기판을 처리하는 공정과,
    상기 소정의 처리 가스를 상기 이너 튜브에 형성된 상기 제1 및 제2 배기 슬릿을 통해 배기하는 공정
    을 포함하고,
    상기 제2 배기 슬릿은 적어도 상기 기판 배열 영역보다도 하방의 영역에 공급된 불활성 가스를 배기하도록 상기 제1 배기 슬릿보다 개구 면적이 작아지도록 형성되는, 반도체 장치의 제조 방법.
  13. 제12항에 있어서,
    상기 제1 배기 슬릿 및 상기 제2 배기 슬릿은 각각 이격하여 형성되는, 반도체 장치의 제조 방법.
  14. 제12항에 있어서,
    상기 제2 배기 슬릿은 개구 중심각이 60도 내지 90도인, 반도체 장치의 제조 방법.
  15. 삭제
  16. 제12항에 있어서,
    상기 제2 배기 슬릿은 등가 직경이 50mm 이하가 되도록 구성되는, 반도체 장치의 제조 방법.
  17. 제13항에 있어서,
    상기 복수의 기판을 보유 지지해서 배열시키는 기판 보유 지지구를 회전시키는 회전축에 불활성 가스를 공급하는 공정을 더 포함하는, 반도체 장치의 제조 방법.
KR1020150040153A 2014-03-24 2015-03-23 반응관, 기판 처리 장치 및 반도체 장치의 제조 방법 KR101814243B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014060039A JP6270575B2 (ja) 2014-03-24 2014-03-24 反応管、基板処理装置及び半導体装置の製造方法
JPJP-P-2014-060039 2014-03-24

Publications (2)

Publication Number Publication Date
KR20150110419A KR20150110419A (ko) 2015-10-02
KR101814243B1 true KR101814243B1 (ko) 2018-01-02

Family

ID=54142793

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150040153A KR101814243B1 (ko) 2014-03-24 2015-03-23 반응관, 기판 처리 장치 및 반도체 장치의 제조 방법

Country Status (3)

Country Link
US (1) US9412582B2 (ko)
JP (1) JP6270575B2 (ko)
KR (1) KR101814243B1 (ko)

Families Citing this family (305)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
WO2016042663A1 (ja) * 2014-09-19 2016-03-24 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
TWI642137B (zh) * 2015-08-04 2018-11-21 日商日立國際電氣股份有限公司 Substrate processing apparatus, reaction container, and manufacturing method of semiconductor device
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6462161B2 (ja) * 2016-02-09 2019-01-30 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
JP6710130B2 (ja) * 2016-09-13 2020-06-17 東京エレクトロン株式会社 基板処理装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6782350B2 (ja) 2017-02-15 2020-11-11 株式会社Kokusai Electric 基板処理装置、反応管、半導体装置の製造方法及びプログラム
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
KR101910085B1 (ko) * 2017-06-08 2018-10-22 주식회사 유진테크 기판처리장치
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6894521B2 (ja) 2017-09-25 2021-06-30 株式会社Kokusai Electric 基板処理装置、石英反応管、クリーニング方法並びにプログラム
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) * 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11127607B2 (en) * 2019-11-11 2021-09-21 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Heat processing system
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
RU198545U1 (ru) * 2020-02-26 2020-07-15 Общество с ограниченной ответственностью "Сенсор Микрон" Устройство для соединения полупроводниковых пластин
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP2022047594A (ja) 2020-09-14 2022-03-25 キオクシア株式会社 基板処理装置および半導体装置の製造方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4282539B2 (ja) * 2004-04-28 2009-06-24 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US20120190215A1 (en) * 2010-07-29 2012-07-26 Tokyo Electron Limited Film deposition method and film deposition apparatus
US20140174352A1 (en) * 2012-12-21 2014-06-26 Yong-Kyu Joo Apparatus for processing wafers

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH021116A (ja) * 1988-03-09 1990-01-05 Tel Sagami Ltd 熱処理装置
JP2007158358A (ja) * 2006-12-27 2007-06-21 Hitachi Kokusai Electric Inc 基板処理装置
JP4645616B2 (ja) * 2007-03-30 2011-03-09 東京エレクトロン株式会社 成膜装置
JP2010258265A (ja) 2009-04-27 2010-11-11 Koyo Thermo System Kk 熱処理装置
JP5545061B2 (ja) * 2010-06-18 2014-07-09 東京エレクトロン株式会社 処理装置及び成膜方法
JP5589878B2 (ja) 2011-02-09 2014-09-17 東京エレクトロン株式会社 成膜装置
JP6105967B2 (ja) 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4282539B2 (ja) * 2004-04-28 2009-06-24 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US20120190215A1 (en) * 2010-07-29 2012-07-26 Tokyo Electron Limited Film deposition method and film deposition apparatus
US20140174352A1 (en) * 2012-12-21 2014-06-26 Yong-Kyu Joo Apparatus for processing wafers

Also Published As

Publication number Publication date
JP6270575B2 (ja) 2018-01-31
KR20150110419A (ko) 2015-10-02
JP2015183224A (ja) 2015-10-22
US20150270125A1 (en) 2015-09-24
US9412582B2 (en) 2016-08-09

Similar Documents

Publication Publication Date Title
KR101814243B1 (ko) 반응관, 기판 처리 장치 및 반도체 장치의 제조 방법
KR101107096B1 (ko) 반도체 디바이스의 제조 방법 및 기판 처리 장치
US9472398B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
US9238257B2 (en) Method of manufacturing semiconductor device, cleaning method, and substrate processing apparatus
TWI483313B (zh) 半導體裝置之製造方法及基板處理裝置
JP5787488B2 (ja) 半導体装置の製造方法及び基板処理装置
JP2008258595A (ja) 基板処理装置
JP2010050439A (ja) 基板処理装置
KR101015985B1 (ko) 기판 처리 장치
JP2008091805A (ja) 半導体装置の製造方法、及び基板処理装置
US20220157628A1 (en) Substrate processing apparatus, substrate suppport and method of manufacturing semiconductor device
JP2013151722A (ja) 半導体装置の製造方法
JP2011222677A (ja) 基板処理装置
JP2012172171A (ja) 基板処理装置及び薄膜成膜方法
JP2009123950A (ja) 基板処理装置
KR100935289B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP5421812B2 (ja) 半導体基板の成膜装置及び方法
JP2007266185A (ja) 基板処理装置及び半導体装置の製造方法
JP2006066557A (ja) 基板処理装置
JP2012023138A (ja) 基板処理装置
JP2009130108A (ja) 基板処理装置及び半導体装置の製造方法
JP2010080728A (ja) 基板処理装置
JP2012233265A (ja) 半導体装置の製造方法及び基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant