WO1992019011A1 - Apparatus and method for manufacturing semiconductor device - Google Patents

Apparatus and method for manufacturing semiconductor device Download PDF

Info

Publication number
WO1992019011A1
WO1992019011A1 PCT/JP1992/000468 JP9200468W WO9219011A1 WO 1992019011 A1 WO1992019011 A1 WO 1992019011A1 JP 9200468 W JP9200468 W JP 9200468W WO 9219011 A1 WO9219011 A1 WO 9219011A1
Authority
WO
WIPO (PCT)
Prior art keywords
wafer
film
temperature
gas
heating
Prior art date
Application number
PCT/JP1992/000468
Other languages
English (en)
French (fr)
Inventor
Kazuo Maeda
Noboru Tokumasu
Yuhko Nishimoto
Original Assignee
Semiconductor Process Laboratory Co., Ltd.
Canon Sales Co., Inc.
Alcan-Tech Co., Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Process Laboratory Co., Ltd., Canon Sales Co., Inc., Alcan-Tech Co., Inc. filed Critical Semiconductor Process Laboratory Co., Ltd.
Priority to DE69229431T priority Critical patent/DE69229431T2/de
Priority to EP92908472A priority patent/EP0537364B1/en
Priority to US07/958,105 priority patent/US5314538A/en
Publication of WO1992019011A1 publication Critical patent/WO1992019011A1/ja

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Definitions

  • the present invention relates to a semiconductor device manufacturing apparatus and a semiconductor device manufacturing method.
  • the present invention provides a so-called multi-step process apparatus capable of forming a single-layer film or a multi-layer film with improved film quality by continuously performing different types of processing without exposing the wafer to outside air.
  • the present invention relates to a semiconductor device manufacturing apparatus and a semiconductor device manufacturing method. Background art
  • VLSI Very Large Integrate Circuit
  • semiconductor device manufacturing equipment that adopts the concept of a multi-process in which some processes are performed continuously without exposure to the outside air has become mainstream. And the adhesion between the multilayer films can be improved. Examples of the combination of processes include film formation and etchback after film formation, pre-oxidation treatment and oxide film formation, or continuous formation of barrier metal film and metal film.
  • Typical examples of a semiconductor device manufacturing apparatus capable of performing such processing include a so-called multi-chamber process apparatus using a multi-chamber, a multi-step process apparatus or a class apparatus in which processing units are arranged close to each other. is there.
  • FIG. 10 is a configuration diagram of an apparatus for manufacturing a semiconductor device in which an insulating film is formed on a wafer by continuously performing different types of processing using a conventional multi-chamber.
  • reference numeral 1 denotes a cassette chamber for preparing wafers to be loaded / unloaded into / out of the multi-chamber
  • 3 denotes a load lock chamber for matching pressure between the chambers when loading / unloading wafers
  • 7a Is a valve that opens and closes between the cassette chamber 1 and the load lock chamber 2
  • 4 is a buffer that has a port port 5 for transferring wafers
  • 7b is a valve that opens and closes between the nozzle 3 and the nozzle 4
  • 6a to 6e are processing chambers provided radially around the buffer chamber 4, for example,
  • treatment chamber 6 a is for plasma CVD Si0 2 film formed by the (Chemical Vapor Depos ition) method (film formation temperature 400 ° C),
  • Processing chamber 6b is used for PSG film formation (film formation temperature 400 ° C) by low pressure CVD.
  • the processing chamber 6 c is used for etching back (room temperature)
  • the processing chamber 6 d is used for forming a Si 3 N 4 film (at a film forming temperature of 400) by plasma CVD.
  • the processing chamber 6 e is for Si0 2 film formed by ⁇ C VD method (film formation temperature 400 ° C),
  • a heater (not shown) is provided in each of the processing chambers 6a to 6e to maintain the wafer 9 at a film forming temperature.
  • Reference numerals 8a to 8e denote valves for opening and closing between the buffer chamber 4 and the respective processing channels ⁇ 6a to 6e.
  • Reference numeral 2 denotes a robot for transferring the wafer 9 from the cassette chamber 1 to a robot (not shown) provided in the mouthpiece chamber 3.
  • the wafer 9 moves between Ji Yanba as follows. That is,
  • the robot 5 carries the wafer 9 into and out of each of the processing chambers 6a, 6b, and 6c so that each processing is performed in the order of the processing chamber 6a, the Z processing chamber 6b, and the Z processing chamber 6c.
  • a heater for heating and holding the wafer 9 at the film forming temperature is provided in each of the processing chambers 6a, 6b, and 6c. While moving c, the temperature of the wafer 9 fluctuates between room temperature and the film formation temperature. For example, in the above example, it fluctuates as follows: 25 ° C 400 ° C / 25 ° C Z400 V / 25 ° C. For this reason, the wafer 9 is subjected to a kind of temperature cycle, and there is a problem that the quality of the formed CVD film is deteriorated or deteriorated, or stress is generated on the formed film.
  • FIG. 11 shows the temperature history of the wafer in such a case.
  • the present invention has been made in view of the above-mentioned conventional problems, and reduces the fluctuation of the wafer temperature while continuously performing different types of processing, thereby preventing the occurrence of thermal distortion and the like, and forming a film. It is an object of the present invention to provide a semiconductor device manufacturing apparatus and a semiconductor device manufacturing method capable of preventing a change or deterioration of the film quality. Disclosure of the invention
  • the semiconductor device manufacturing apparatus includes: firstly, a film forming unit having a gas dispersing tool for supplying a reaction gas from a gas releasing surface, and a processing unit having processing means for the formed film; Or, a wafer capable of sequentially moving between the film forming unit and the processing unit while holding the wafer on the wafer mounting surface facing the processing unit and holding the wafer on the wafer mounting surface. And a heating means capable of heating the wafer held on the wafer mounting surface, including during movement of the wafer.
  • the processing means described in paragraph 1 is an infrared irradiation means, an ultraviolet irradiation means, or a processing gas supply means.
  • the film is reflowed in the processing unit by an infrared irradiation unit, an ultraviolet irradiation unit, or a processing gas supply unit.
  • UV ( (UV) Irradiation treatment or annealing treatment in an ozone gas atmosphere in each case, reduce the temperature fluctuation of the wafer by preventing the natural cooling during the movement of the wafer by heating the wafer by the heating means.
  • a plurality of film forming units having a gas dispersing device for supplying a reaction gas from a gas release surface; and a wafer holding surface on a wafer mounting surface facing the gas release surface;
  • a wafer holder capable of sequentially moving between the plurality of film forming units while holding the wafer on the surface, wherein the plurality of gas dispersers each have a different reactive gas supply means,
  • the wafer holder has heating means capable of heating the wafer, including during movement of the wafer.
  • the method for manufacturing a semiconductor device of the present invention comprises the steps of: first heating a wafer mounted on a wafer mounting surface of a wafer holder having heating means by the heating means to maintain the wafer at a first temperature; Supplying a reaction gas to the surface of the wafer to form a film on the surface of the wafer; and heating the wafer by infrared irradiation or by the heating means to raise the temperature of the wafer to the first temperature. Raising the temperature to a second temperature higher than the temperature, and performing a heat treatment of the film.
  • the processing can be continuously performed without lowering the wafer temperature as in the related art, so that the fluctuation in the wafer temperature can be reduced.
  • the wafer is placed on the wafer mounting surface of a wafer holder having heating means. Heating the heated wafer by the heating means to maintain the wafer at a third temperature, supplying a reactive gas to the surface of the wafer in a film forming unit, and forming a film on the surface of the wafer; After the wafer holder is moved to the processing section while heating the wafer, the temperature of the wafer is maintained at the third temperature or the fourth temperature by adjusting the heating of the heating means. After that, a step of irradiating the wafer with ultraviolet rays or spraying a processing gas to perform the processing of the film is provided. This allows the wafer temperature to fluctuate between the third temperature and the fourth temperature. In this way, unlike the conventional case, by preventing the wafer from being naturally cooled during the movement, the temperature fluctuation of the wafer can be reduced, so that the quality and quality of the formed film can be prevented from being changed or deteriorated. it can.
  • the wafer mounted on the wafer mounting surface of the wafer holder having the heating means is heated by the heating means to be maintained at the fifth temperature, and the first film forming section performs the first film formation.
  • Forming a second film This allows the wafer temperature to fluctuate between the fifth temperature and the sixth temperature. By preventing the wafer from cooling down naturally during movement unlike the conventional method, fluctuations in the wafer temperature can be reduced, thus preventing a change or deterioration in the quality of the formed film. can do.
  • FIG. 1 is a configuration diagram illustrating a multi-step process device according to a first embodiment of the present invention
  • FIG. 2 is a perspective view illustrating a multi-step process device according to a second embodiment of the present invention.
  • FIG. 3 is a diagram showing a membrane of a multi-step process apparatus according to a second embodiment of the present invention.
  • FIG. 4 is a configuration diagram illustrating a forming unit and a processing unit.
  • FIG. 4 is a top view illustrating a multi-step process apparatus according to a second embodiment of the present invention.
  • FIG. FIG. 6 is a cross-sectional view illustrating a film forming method according to the third embodiment.
  • FIG. 6 is a diagram illustrating a history of a wafer temperature in the film forming method according to the third embodiment of the present invention.
  • FIG. 8 is a cross-sectional view (part 1) illustrating a film forming method according to a fourth embodiment of the present invention.
  • FIG. 8 is a cross-sectional view (part 1) illustrating a film forming method according to the fourth embodiment of the present invention.
  • 9) is a diagram for explaining the history of the wafer temperature in the film forming method according to the fourth embodiment of the present invention
  • FIG. 10 is a diagram for explaining a conventional multi-chamber process apparatus.
  • FIG. 11 is a diagram showing a conventional method of forming a film.
  • 4 is a diagram illustrating the history of the wafer temperature in FIG. BEST MODE FOR CARRYING OUT THE INVENTION
  • FIG. 1 (a) and 1 (b) show a so-called multi-step process apparatus according to a first embodiment of the present invention, wherein FIG. 1 (a) is a plan view and FIG. 1 (b) is a side view.
  • the multi-step process apparatus shown in Figs. 1 (a) and (b) has a Z-unloading chamber 10, a CVD reaction chamber (film forming section) 11, and an infrared heating processing chamber (processing section) 12.
  • a BPSG film is formed by CVD on the wafer surface that is connected in such an arrangement and is held down in a feed-down manner to prevent contamination by dust, etc., and can be planarized by performing a reflow process. .
  • a partition wall between each room and a valve for opening and closing each room may be provided.
  • reference numeral 16 denotes a robot provided in the load Z-unloading chamber 10 for loading and unloading the wafer 29 from outside the load Z-unloading chamber 10.
  • reaction 2 2 is a gas dispersing device provided in the reaction chamber 1 1 ( ⁇ 0), which introduces the reaction gas from the reaction gas inlet 19 and discharges the reaction gas upward from the gas discharge surface 18 of the gas shower 17 Then, the reacted gas after the reaction is collected by a gas collector 20 surrounding the periphery of the gas shower 17 and exhausted from the gas outlet 21 to the outside of the CVD reaction chamber 11.
  • Reference numeral 28 denotes an infrared irradiation means (processing means) provided in the infrared heating processing chamber 12, which holds an infrared lamp having a gas inlet 21 for introducing an inert gas released to the wafer 29 to prevent oxidation.
  • the infrared lamp 24 is held by the fixture 23.
  • Inert gas scattered on the surface of the wafer 29 is collected by a gas collector provided around the infrared lamp holder 23 and discharged to the outside of the infrared heat treatment chamber 12 from the gas outlet 27. .
  • Reference numeral 15 denotes a wafer holder for holding the wafer 29 down in a feed-down manner to prevent contamination due to dust and the like.
  • the load unloading chamber 10 holding the wafer 29, the CVD reaction chamber 11, the infrared heating chamber 1 2 Come and go between A wafer (heating means) 14 is embedded in the wafer holder 15 so that the wafer 29 placed on the wafer mounting surface 13 is heated and held at a predetermined temperature. .
  • the CVD reaction chamber 11 having the gas dispersing device 22 for supplying the reaction gas to the wafer 29 and the formed BPSG film and the like Heating film • Infrared heating chamber 12 for reflow and wafer holder 15 that can move sequentially between CVD reaction chamber 11 and infrared heating chamber 12 while holding wafer 29
  • the wafer holder 15 has a heater 14 capable of heating the wafer 29 including during the movement of the wafer 29.
  • the CVD reaction chamber 11 when a film such as a BPSG film is formed in the CVD reaction chamber 11 and then reflow treatment of the BPSG film is performed by the infrared irradiation means 28 in the infrared heat treatment chamber 12, the CVD reaction chamber 11 and the infrared heat treatment chamber 1 Between 2 During the movement of the wafer 29 in the wafer, the temperature of the wafer can be kept at a constant temperature by the heating adjustment by the heater 14, so that the wafer 2
  • a UV processing chamber ultraviolet processing chamber
  • an ozone processing chamber can be connected instead of the infrared heat processing chamber 12.
  • dangling bonds are buried to densify the film such as the BPSG film, and the temperature fluctuation of the wafer 29 is reduced, thereby preventing the occurrence of thermal strain in the formed film and improving the film quality. it can.
  • FIG. 2 and 3 (a) to (c) and FIG. 4 are views for explaining a multi-step process apparatus according to a second embodiment of the present invention, and FIG. 4 is a perspective view of the entire apparatus.
  • Fig. 3 (a) to (c) are side views showing details of the infrared irradiation means, ultraviolet irradiation means and gas dispersing device, and
  • Fig. 4 is a top view of the whole apparatus.
  • FIG. 1 (a) and (b) are different from FIG. 1 (a) and (b) in that the CVD reaction chamber and each processing chamber are circles centered on the rotation axis. It is arranged along the circumference, and accordingly, the wafer holder having a heater (heating means) also moves along the circumference.
  • the wafer holder having a heater also moves along the circumference.
  • reference numerals 37a to 37f denote wafer holders separated from each other as shown in FIGS. 3 (a) to (c).
  • 37a to 37f are fixed to the rotating shaft 33 by arms 34a to 34f. Then, by rotating the rotation shaft 33, the wafer holders 37a to 37a to 37f around the rotation shaft 33 on one plane including the wafer mounting surfaces 41a to 41f of the wafer holders 37a to 37f.
  • the 37 f wafer mounting surfaces 41 a to 41 f rotate.
  • each wafer holder 37a-37i Is connected to an exhaust unit (not shown), and the wafers 32a to 32f are placed on the wafer mounting surface by a chuck that communicates with a suction port and a nitrogen gas inlet (not shown) through pipe-shaped arms 34a to 34f.
  • the fixed wafers 41a to 41i and the fixed wafers 32a to 32f are detached from the wafer mounting surfaces 41a to 41f.
  • heaters (heating means) 42a to 42f are individually embedded in each of the wafer holders 37a to 37f, and power is supplied independently to each of the heaters 42a to 42f, and the heaters 42a to 42f are mounted on the respective wafer holders 37a to 37f.
  • the wafers 32a to 32f can be individually heated and kept warm.
  • 38b to 38f are gas dispersing devices of the first film forming unit provided so as to face the wafer mounting surfaces 41b to 41f of the wafer holders 37b to 37f.
  • Ultraviolet irradiation means of second processing part (processing means) / Gas dispersing tool of third film forming part, wafer holders 37b to 37f And is fixed to a base of a device (not shown) along a circumference around the rotation axis 33.
  • Each of the gas dispersing devices 38b, 38d, and 38f includes a gas shower 43b, which supplies a reactive gas to the wafers 32b, 32d, and 32f, as shown by the gas dispersing device 38f in FIG. 3 (c).
  • 43d, 43f, and gas collectors 45b, 45d, 45 ⁇ for collecting the reacted gas, and the reaction gas inlets 39b, 39d, 39f are connected to the gas showers 43b, 43d, 43f.
  • Gas outlets 40b, 40d, 40f are connected to gas collectors 45b, 45d, 45f.
  • the gas discharge part of each gas dispersing device 38b, 38d, 38f is divided into a plurality of slits in the direction perpendicular to the radial direction about the rotation axis 33. It is provided.
  • the infrared irradiation means 38c includes an infrared lamp 47c for heating the wafer 32c, an infrared lamp holder 46c for holding the infrared lamp 47c, Therefore, a gas collecting device 45c for collecting the inert gas released to the wafer is provided.
  • the gas inlet 39c is connected to the infrared lamp holding device 46c, and the gas collecting device 45c is connected to the gas collecting device 45c.
  • Discharge port 40c is connected.
  • the ultraviolet irradiation means 38e includes a mercury lamp 49e for heating the wafer 32e, a mercury lamp holder 48e for holding the mercury lamp 49e, and oxidation prevention.
  • a gas collecting device 45 e for collecting the inert gas discharged to the wafer a gas inlet 39 e is connected to the mercury lamp holder 48 e, and a gas outlet 40 e is connected to the gas collecting device 45 e. It is connected.
  • slip rings 35a, 35b of FIG. 4 connected to the heater 42a are provided on the rotating shaft 33, and correspond to the positive and negative polarities of the slip rings 35a, 35b rotating with the rotating shaft 33. Is connected to the pair of current collectors 36a and 36b that are in contact with each other. The pair of current collectors 36a and 36b are connected to a power source (not shown) so that power can be supplied to the heaters 42a to 42f via the slip rings 35a and 35b.
  • FIG. 4 shows only the slip rings 35a and 35b connected to the heater 42a of one wafer holder 37a, the rotating shaft 33 has the other wafer holders 37b to 37f connected to the heater ring 37a. Ship rings connected to 42b-42f are also provided.
  • each of the slip rings 35a and 35b is used as a connector so as not to hinder the rotation of the rotating shaft 33.
  • the position of the wafer holder 37a is a loader Z unloader section in which the elevator 31 is installed, and the position of the elevator 31 and the wafer holder 37a is different. Only the transfer of the wafer 32a is performed between them, and no film formation is performed.
  • different types of multilayer films can be formed by providing a plurality of gas dispersing devices 38b, 38d, and 38 mm separately from each other. become.
  • the infrared irradiation means 38c and the ultraviolet irradiation means 38e are provided, a process for forming a flat insulating film, a process for flattening the formed film, and a process for improving the film quality of the formed film are continuously performed. You can do it.
  • an infrared irradiation means is used as the processing means.
  • a processing gas supply means such as ozone may be provided instead of them, and the number of processing units is further increased, and infrared irradiation means 38c and ultraviolet irradiation means 38 It is also possible to provide processing gas supply means in addition to e.
  • the film forming section and the processing section are provided in a mixed manner, only the film forming section may be provided. .
  • the semiconductor device has the face-down wafer mounting surfaces 41a to 41f.
  • a device having a face-up wafer mounting surface may be used.
  • FIGS. (C) are cross-sectional views for explaining a manufacturing method
  • FIG. 5 (a) to 5 (c) are cross-sectional views for explaining a manufacturing method
  • FIG. 3 is a diagram showing a history of a wafer temperature during a film forming process including a moving wafer temperature.
  • the wafer is placed on the wafer mounting surface 13 of the wafer holder 15 face down.
  • the wafer holder 15 is moved, and the wafer 29 is transferred to the CVD reaction chamber 11. At this time, even during the transfer, current flows through the heater 14 and the heater 14 generates heat so that the wafer temperature is maintained at 400 ° C. Subsequently, TMP (Tri-Me) containing 3.5% by weight of TE ⁇ SZ When a mixed gas of TMB (Tri-Mechyl-Borate) / Oz containing 4.0 wt.% boron is introduced into the gas chamber 17 from the reaction gas inlet 19, the mixed gas flows from the gas discharge surface 18 Since the BPSG film is released to the surface of the wafer 29, if this state is maintained for a predetermined time, a BPSG film 52 having a predetermined thickness is formed (FIG. 5 (b)).
  • TMP Tri-Me
  • a mixed gas of TMB (Tri-Mechyl-Borate) / Oz containing 4.0 wt.% boron is introduced into the gas chamber 17 from the reaction gas inlet 19, the
  • the wafer holder 15 is moved to the infrared heat treatment chamber 12. At this time, similarly to the above-described movement, a current is supplied to the heater 14 even during the transfer of the wafer, and the heater 14 generates heat so that the wafer temperature is maintained at 400 ° C.
  • the wafer 29 carried into the infrared heat treatment chamber 12 is further heated by the infrared lamp 24 in nitrogen gas introduced from the gas inlet 25, and is maintained at a temperature (second temperature) 800. Is done.
  • the B30 film 52 melts and flows, and the surface of the wafer 29 is flattened (FIG. 5 (c)).
  • the heat treatment temperature is reduced by about 100 to 200 compared to the conventional method in which the wafer is naturally cooled to transfer from the CVD device to the heat treatment furnace and then heat treated again in the heat treatment furnace. I was able to make it. This is presumably because the temperature of the wafer 29 is maintained at a predetermined temperature or higher, so that the film quality of the BPSG film 52 can be changed or the BPSG film 52 can be prevented from absorbing moisture.
  • the wafer 29 held by the wafer holder 15 having the heater 14 is cooled. After heating by overnight, maintaining the temperature at 400 ° C and forming the BPSG film 52 on the surface of the wafer 29, in order to perform the reflow treatment of the BPSG film 52, in addition to heating the heater, it was irradiated by infrared irradiation.
  • the wafer 29 is heated, and the temperature of the wafer 29 is raised to about 800 ° C after about 400.
  • the BPSG film 52 can be continuously reflowed without lowering the temperature of the wafer 29, so that the temperature fluctuation of the wafer 29 can be reduced. ⁇ ⁇ ⁇ You can. this Thereby, the BPSG film 52 can be flattened, and the BPSG film 52 can be prevented from being thermally strained, thereby preventing the BPSG film 52 from changing or deteriorating in film quality.
  • the wafer 29 is heated by the infrared lamp 24 to raise the temperature of the wafer 29 to about 800, but the wafer 29 is embedded in the wafer holder 15.
  • the wafer 29 can also be heated using the heater 14.
  • the BPSG film 52 was formed, the reflow process of the BPSG film 52 was performed, but after the formation of the BPSG film 52, the wafer 29 was conveyed while continuing to be heated and maintained at a constant temperature.
  • the BPSG film 52 can be continuously subjected to UV irradiation treatment (ultraviolet irradiation treatment) or annealing treatment in an ozone atmosphere for the wafer 29.
  • UV irradiation treatment ultraviolet irradiation treatment
  • annealing treatment in an ozone atmosphere for the wafer 29.
  • FIGS. 7 (a) to (d) and FIGS. 8 (e) to (g) are cross-sectional views for explaining the manufacturing method
  • FIG. 9 is a wafer during a film forming process including the temperature of the moving wafer.
  • FIG. 5 is a diagram showing a history of temperatures of the radiator. In this case, as shown in FIG.
  • Si0 2 film 55a / BPSG film having a predetermined thickness between the wafer holder 37a is you round about the axis of rotation 3 3 56 a ZSi0 2 film 5 7
  • the three-layer insulating film is formed on the wafer.
  • the lowermost layer of Si0 2 film 55a is boron in the BPSG film 56a
  • Jari down (P) is a polysilicon layer or Shirisai de layer 54 a, is introduced into 54b, prevent the resistance value from fluctuating It is formed to, Si0 2 film 5 7 the uppermost
  • the BPSG film 56a is formed to prevent moisture absorption.
  • the elevator 31 is raised to bring the first wafer 32a into contact with the wafer mounting surface 41a of the wafer holder 37a, exhaust air from a suction port (not shown), and communicate with the suction port.
  • the first wafer 32a is mounted and fixed on the wafer mounting surface 41a by the chuck provided.
  • the heaters 42a to 42i of the wafer holders 37a to 37f and the corresponding slip rings 35a, 35b,..., The current collectors 36a, 36b,. Power is supplied to 42a-42f to maintain the temperature of wafer mounting surfaces 41a-41f of all wafer holders 37a-37f at about 400.
  • the rotating shaft 33 is rotated to stop the wafer holder 37a at a position immediately above the gas distributor 38f. Subsequently, to release the TEOS-0 3 mixed gas as a reaction gas from the gas shower 43f. At this time, Si0 2 film 5 5 but that started to grow, the growth rate is about 2000A, and the by maintaining this state for about 1 minute, Si0 2 film 5 5 to about 2000A of thickness of the targeted first It is formed on one wafer 32a (FIG. 7 (b)).
  • the second wafer 32b is placed on the wafer holder 37b located in the loader / unloader section in the same manner as above, and the temperature of the second wafer 32b is heated to about 400 ° C. by the heater 42b. deep.
  • the rotating shaft 33 is rotated, and the wafer holders 37b and 37a are stopped at positions immediately above the gas disperser 38fZ ultraviolet irradiation means 38e.
  • the first and second wafers 32a and 32b are heated by the heaters 42a and 42b while moving, and the first and second wafers 32a and 32b are heated to about 400 ° C. as shown in FIG. Keep at temperature.
  • the Si0 2 film 5 5 on the first wafer '32 a lit mercury lamp 49 e of the step 38 e to ultraviolet radiation.
  • it is possible to densify the Si0 2 film 55a fills the Danguri Ngubon de of Si0 2 film 5 5 ( Figure 7 (c)).
  • the rotating shaft 33 is rotated, and the wafer holders 37c, 37b, and 37a are stopped at positions immediately above the gas disperser 38 ultraviolet irradiation means 38e / gas disperser 38d, respectively.
  • the first, second, and third wafers 32a, 32b, and 32c are heated by the heaters 42a to 42c while moving, and the first, second, and third wafers are heated as shown in FIG. Keep 32a, 32b, and 32c at a temperature of about 400.
  • the fourth wafer 32d is placed on the wafer holder 37d located in the rhodano unloader section in the same manner as described above, and the temperature of the fourth wafer 32d is heated to about 400 by the heater 42d. Keep it.
  • the rotating shaft 33 is rotated, and the wafer holders 37d, 37c, 37b, and 37a are respectively positioned just above the gas disperser 38 38Z ultraviolet irradiation means 38eZ gas disperser 38dZ infrared irradiation means 38c. Stop.
  • the second, third and fourth wafers 32a, 32b, 32c and 32d are heated by the heaters 42a to 42d, and as shown in FIG. 9, the first, second, third and fourth wafers are heated.
  • the wafers 32a, 32b, 32c, 32d of No. 4 are kept at a temperature of about 400 ° C.
  • the infrared lamp 38c is turned on, and the wafer temperature is increased from about 400 to about 800 as shown in FIG. Hold.
  • the BPSG film 56 melts and flows, and irregularities on the wafer surface are observed (FIG. 7 (e)).
  • a predetermined process is performed on the second, third, and fourth wafers 32b, 32c, and 32d simultaneously with the process on the first wafer 32a. Further, during this time, the fifth wafer 32e is placed on the wafer holder 37e located in the loader Z unloader section in the same manner as above, and the temperature of the fourth wafer 32e is heated to about 400 by the heater 42e. Keep it.
  • the rotating shaft 33 is rotated, and the gas dispersing device 38 fZ ultraviolet irradiation means 38 e Z gas dispersing device 38 d Z infrared irradiation means 38 c Stop d, 37c, 37b, 37a.
  • the first, second, third, fourth, and fifth wafers 32a, 32b, 32c, 32d, 32e are heated by the heaters 42a to 42e even during the movement, as shown in FIG.
  • the first, second, third, fourth and fifth wafers 32a, 32b, 32c, 32d, 32e are kept at a temperature of about 400 ° C.
  • the rotating shaft 33 is rotated, and the gas dispersing tool 38 ⁇ ⁇ UV irradiating means 38 e / gas dispersing tool 38 d / infrared irradiating means 38 c / gas dispersing tool 38 b
  • the wafer is held at a position immediately above the elevator 31. Stop the tools 37f, 37e, 37d, 37c, 37b, 37a.
  • the elevator 31 is raised, the suction port corresponding to the chuck of the wafer holder 37a is closed, and the valve for the nitrogen gas inlet is opened to send the nitrogen gas to the chuck.
  • a is detached from the wafer mounting surface 41a and is mounted on the elevator 31.
  • the first wafer 32a is carried out to the cassette station by the robot 30. During this time, predetermined processing is performed on the second, third, fourth, fifth, and sixth wafers 32b, 32c, 32d, 32e, and 32f simultaneously with the processing of the first wafer 32a.
  • the second, third, fourth, fifth, and sixth wafers 32b, 32c, 32d, 32e, and 32f are processed in the same manner as the first wafer 32a.
  • these wafers film of three layers of Si0 2 film ZB PSG film ZSii film having a thickness of a target is formed.
  • the temperature of the wafer is heated by the heaters 42b to 42e and is always kept at a constant temperature, so that it is possible to prevent the occurrence of thermal distortion to the formed film due to temperature fluctuation.
  • a semiconductor device is completed (Fig. 7 (g)).
  • the first and second wafer holders 37a, 37b, 37c, 37d, 37e, and 37 ° having the heaters 42a to 42f hold the same. 2, 3rd, 4th, 5th and 6th wafers 32a, 32b, 32c, 32d, 32e, 32f are heated to heaters 42a to 42mm.
  • the wafers While being heated and maintained at a temperature of about 400, the wafers were moved between the gas dispersing devices 38b, 38d, 38f and the processing units 38c, 38e, and the wafers 32a, 32b, 32c, 32d, 32e, 32 the ultraviolet irradiated Si0 2 film 55 a Z infrared ray irradiation on the surface of f to form a BPSG film 56 a ZSi 0 2 film 5 7 which is flattened.
  • the wafer temperature can be varied between 400 and 800.
  • the fluctuation of the wafer temperature can be reduced, so that the heat distortion can be prevented.
  • to form a Si0 2 film 55 a which is ultraviolet radiation as a bottom layer of Si0 2 film, also form a Si0 2 film was made of Aniru treatment in an ozone atmosphere it can.
  • the present invention is not limited to the film structure of the fourth embodiment, and it is possible to form a film having another structure by combining film formation, infrared treatment, ultraviolet treatment, annealing in an ozone atmosphere, and the like.
  • the wafer holders 37a to 37f are linearly reciprocated by the oscillation unit around the positions of the held wafer holders 37a to 37f, so that the wafers 32a to 37f are moved. to equalize the supply of reaction gas onto 32 f, a uniform film thickness and film quality Si0 2 film / BPSG film A ZSiOs film can be formed.
  • the semiconductor device manufacturing apparatus and the semiconductor device manufacturing method of the present invention provide a single-layer film with improved film quality by continuously performing different types of processing without exposing the wafer to outside air. It is useful as a semiconductor device manufacturing apparatus and a semiconductor device manufacturing method such as a so-called multi-step process apparatus that can be formed.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Formation Of Insulating Films (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

明 細 書
半導体装置の製造装置及び半導体装置の製造方法 技術分野
この発明は、 ウェハを外気に触れさせることなく異なる種類の処 理を連続的に行うことにより膜質の改良された単層の膜や多層の膜 を形成することが可能な、 所謂マルチステッププロセス装置等の半 導体装置の製造装置及び半導体装置の製造方法に関する。 背景技術
近年、 V L S I (Very Large Integrate Cercui t) 半導体装置の 製造装置においては、 いくつかの処理を外気に触れさせることなく 連続して行うマルチプロセスの概念を採り入れたものが主流となり 、 生成膜などの膜質の向上や多層膜間の密着性の向上を図ることが できるようになつている。 処理の組み合わせとして、 例えば、 膜形 成と膜形成後のエッチバック, 酸化前処理と酸化膜形成, 又はバリ ァメタル膜 メタル膜の連続形成等が上げられる。
このような処理が可能な半導体装置の製造装置として、 代表的な ものにマルチチヤンバを用いた所謂マルチチヤンバプロセス装置, 各処理部を近接して配置したマルチステツププロセス装置又はクラ ス夕装置等がある。
第 1 0図は、 従来例のマルチチャンバを用いて異なる種類の処理 を連続的に行うことによりウェハ上に絶縁膜を形成する半導体装置 の製造装置の構成図である。
第 1 0図において、 1 はマルチチヤンバ内外へのウェハの搬入 · 搬出の準備を行うためのカセッ トチャンバ、 3はウェハの搬入 ·搬 出の際、 チャンバ間の圧力を整合するロードロックチャンバ、 7 a はカセッ トチャンバ 1 とロードロックチャンバ 2 との間を開閉する バルブ、 4はウェハを搬送する口ボッ ト 5が設置されたバッファチ ャンバ、 7 bは口一ドロツクチャンノく 3とノく ッファチヤンノく 4との 間を開閉するバルブ、 6 a〜 6 eはバッファチヤンバ 4を中心とし て放射状に設けられた処理チャンバで、 例えば、
( 1 ) 処理チャンバ 6 aはプラズマ CVD (Chemical Vapor Depos ition ) 法による Si02膜形成 (膜形成温度 400 °C) のために、
(2) 処理チャンバ 6 bは減圧 CVD法による PSG膜形成 (膜形 成温度 400 °C) のために、
(3) 処理チャンバ 6 cは形成膜のエッチバック (室温) のために、
(4) 処理チャンバ 6 dはプラズマ CVD法による Si3N4 膜形成 ( 膜形成温度 400 で) のために、
(5) 処理チャンバ 6 eは减圧 C VD法による Si02膜形成 (膜形成 温度 400 °C) のために、
それぞれ用いられる。 なお、 各処理チヤンバ 6 a〜6 e内にはゥェ ハ 9を膜形成温度に保持するため不図示のヒータが設けられている。 また、 8 a〜 8 eはバッファチャンバ 4とそれぞれの処理チヤンノ < 6 a〜6 eとの間を開閉するバルブである。 また、 2はウェハ 9を カセッ トチャンバ 1から口一ドロツクチャンバ 3に備えられた不図 示のロボッ トに受け渡すロボッ トである。
上記の半導体装置の製造装置を用いて、 Si02膜/ P S G膜からな る平坦な多層の絶縁膜を形成する場合、 ウェハ 9は下記のようにチ ャンバ間を移動する。 即ち、
処理チャンバ 6 a Z処理チャンバ 6 bZ処理チャンバ 6 cの順序 で各処理が行われるように、 ロボッ ト 5がウェハ 9を各処理チヤン バ 6 a, 6 b, 6 cへ搬入 '搬出する。
ところで、 ウェハ 9を膜形成温度に加熱 ·保持するためのヒー夕 は各処理チャンバ 6 a, 6 b, 6 c内に設けられており、 ウェハ 9 が上記の処理チャンバ 6 a, 6 b, 6 cを移動する間にウェハ 9の 温度は室温と膜形成温度との間で変動する。 例えば、 上記の例では 25 °C 400 °C/2 5°CZ400 V/ 2 5 °Cというように変動する。 このため、 ウェハ 9は一種の温度サイクルを受け、 形成された C V D膜の膜質の低下や劣化, 或いは形成膜へのストレスの発生などが 起こるという問題がある。
また、 C V D膜を形成後にァニールを必要とする工程では、 C V D膜形成後、 一旦ウェハを取り出してカセッ 卜に収納し、 その後、 このカセッ トを移動して加熱炉に挿入して加熱処理を行う。 このよ うな場合のウェハの温度履歴を第 1 1図に示す。
この場合にも、 ウェハ温度が室温から 1000てまで第 1 0図に示す 従来例以上に大きく変動するという問題がある。
本発明は、 かかる従来の問題点に鑑みてなされたもので、 異なる 種類の処理を連続的に行う間、 ウェハ温度の変動を低減することに より、 熱歪み等の発生を防止し、 形成膜の膜質の変化や劣化を防止 することができる半導体装置の製造装置及び半導体装置の製造方法 を提供することを目的とするものである。 発明の開示
本発明の半導体装置の製造装置は、 第 1 に、 ガス放出面から反応 ガスを供給するガス分散具を有する膜形成部及び前記形成された膜 の処理手段を有する処理部と、 前記ガス放出面又は処理手段に対向 してウェハ載置面にウェハを保持し、 かつ前記ウェハ載置面にゥェ ハを保持したまま前記膜形成部及び前記処理部の間を順次移動する ことが可能なウェハ保持具とを有し、 前記ウェハ保持具は、 前記ゥ ェハの移動中を含めて前記ウェハ載置面に保持されたウェハを加熱 することが可能な加熱手段を有している。
第 2に、 第 1項に記載の処理手段は赤外線照射手段、 紫外線照射 手段、 或いは処理ガス供給手段である。
これにより、 膜形成部で膜の形成後、 他の場所で他の処理を行う 場合、 例えば、 処理部で赤外線照射手段、 紫外線照射手段、 或いは 処理ガス供給手段により、 膜のリフロー処理を行ったり、 紫外線 ( U V) 照射処理を行ったり、 オゾンガス雰囲気中でのァニール処理 を行ったりする場合、 いずれも加熱手段によるウェハ加熱によりゥ ェハの移動中の自然冷却を防止してウェハの温度変動を低減するこ とができる。 .
第 3に、 ガス放出面から反応ガスを供給するガス分散具を有する 複数の膜形成部と、 前記ガス放出面に対向してウェハ載置面にゥェ ハを保持し、 かつ前記ウェハ載置面にウェハを保持したまま前記複 数の膜形成部の間を順次移動することが可能なウェハ保持具とを有 し、 前記複数のガス分散具はそれぞれ異なる反応ガスの供給手段を 有し、 前記ウェハ保持具は、 前記ウェハの移動中を含めて該ウェハ を加熱することが可能な加熱手段を有している。 これにより、 異な る種類の複数の膜をウェハ上に形成する場合に、 一の膜の形成後、 他の膜を形成するためウェハを移動させる間中、 ウェハの温度を例 えばほぼ一の膜の形成温度に保持しておく ことができる。 これによ り、 ウェハの移動中の自然冷却を防止してウェハの温度の変動を低 減し、 形成膜への熱歪みの発生等を防止して形成膜の膜質の変化や 劣化を防止することができる。
本発明の半導体装置の製造方法は、 第 1に、 加熱手段を有するゥ ェハ保持具のウェハ載置面に載置されたゥェハを前記加熱手段によ り加熱して第 1の温度に保持し、 反応ガスを前記ウェハの表面に供 耠して、 該ウェハの表面に膜を形成する工程と、 赤外線照射により 或いは前記加熱手段により前記ウェハを加熱して前記ウェハの温度 を前記第 1の温度よりも高い第 2の温度に昇温し、 前記膜の加熱処 理を行う工程とを有している。 これにより、 従来のようにウェハの 温度を下げることなく連続して処理を行うことができるので、 ゥェ ハの温度の変動を低減することができる。 これにより、 形成膜への 熱歪みの発生等を防止して形成膜の膜質の変化や劣化を防止するこ とができる。
第 2に、 加熱手段を有するウェハ保持具のウェハ載置面に載置さ れたウェハを前記加熱手段により加熱して第 3の温度に保持し、 膜 形成部で、 反応ガスを前記ウェハの表面に供給して、 該ウェハの表 面に膜を形成する工程と、 前記ウェハを加熱しながら、 前記ウェハ 保持具を処理部に移動させた後、 前記加熱手段の加熱 ι 整により前 記ウェハの温度を前記第 3の温度、 或いは第 4の温度に保持し、 そ の後、 前記ウェハに紫外線照射して、 或いは処理ガスを散布して前 記膜の処理を行う工程とを有している。 これにより、 ウェハ温度は 第 3の温度と第 4の温度との間の変動で済む。 このように、 従来と 異なり移動の間にウェハが自然冷却されるのを防止することにより, ウェハの温度変動を低減することができるので、 形成膜の膜質の変 化や劣化を防止することができる。
第 3に、 加熱手段を有するウェハ保持具のウェハ載置面に載置さ れたウェハを前記加熱手段により加熱して第 5の温度に保持し、 第 1の膜形成部で、 第 1の反応ガスを前記ウェハの表面に供給して、 該ウェハの表面に第 1の膜を形成する工程と、 前記ウェハを加熱し ながら、 前記ウェハ保持具を第 2の膜形成部に移動させた後、 前記 加熱手段の加熱調整により前記ウェハの温度を前記第 5の温度、 或 いは第 6の温度に保持し、 その後、 第 2の反応ガスを供給して前記 第 1の膜の上に第 2の膜を形成する工程とを有している。 これによ り、 ウェハ温度は第 5の温度と第 6の温度との間の変動で済む。 こ のように、 従来と異なり移動の間にウェハが自然冷却されるのを防 止することにより、 ウェハ温度の変動を低減することができるので- 形成された膜の膜質の変化や劣化を防止することができる。 図面の簡単な説明
第 1図は、 本発明の第 1の実施例のマルチステッププロセス装置 について説明する構成図であり、 第 2図は、 本発明の第 2の実施例 のマルチステツププロセス装置について説明する斜視図であり、 第 3図は、 本発明の第 2の実施例のマ儿チステツププロセス装置の膜 形成部及び処理部について説明する構成図であり、 第 4図は、 本発 明の第 2の実施例のマルチステツププロセス装置について説明する 上面図であり、 第 5図は、 本発明の第 3の実施例の膜形成方法につ いて説明する断面図であり、 第 6図は、 本発明の第 3の実施例の膜 形成方法におけるウェハ温度の履歴について説明する図であり、 第 7図は、 本発明の第 4の実施例の膜形成方法について説明する断面 図 (その 1 ) であり、 第 8図は、 本発明の第 4の実施例の膜形成方 法について説明する断面図 (その 2 ) であり、 第 9図は、 本発明の 第 4の実施例の膜形成方法におけるウェハ温度の履歴について説明 する図であり、 第 1 0図は、 従来例のマルチチャンバプロセス装置 について説明する構成図であり、 第 1 1図は、 従来例の膜形成方法 におけるウェハ温度の履歴について説明する である。 発明を実施するための最良の形態
以下、 図面を参照しながら本発明の実施例について説明する。 ( 1 ) 本発明の実施例の半導体装置の製造装置
( a ) 第 1の実施例
第 1図 (a ) , ( b ) は、 本発明の第 1の実施例の所謂マルチス テツププロセス装置で、 第 1図 (a ) は平面図、 第 1図 (b ) は側 面図を示す。
第 1図 (a ) , ( b ) に示すマルチステッププロセス装置は、 口 一ド Zアンロード室 1 0、 C V D反応室 (膜形成部) 1 1、 赤外線 加熱処理室 (処理部) 1 2がこのような並びで連接され、 塵などに よる汚染防止のためフヱースダウンで保持されたウェハ表面に C V D法により B P S G膜を形成し、 更にリフロー処理することにより、 ウェハ表面の平坦化を図ることができる。 なお、 各室の間の仕切り 壁や各室の間の開閉を行うためのバルブが設けられる場合もある。 図中符号 1 6はロード Zアン口一ド室 1 0に備えられたロボッ ト で、 ロード Zアン口一ド室 1 0外からウェハ 2 9を搬入 '搬出する。 2 2は( ¥ 0反応室 1 1 に備えられたガス分散具で、 反応ガスを 反応ガス導入口 1 9から導入し、 ガスシャワー 1 7のガス放出面 1 8から上向きに反応ガスを放出する。 そして、 ガスシャワー 1 7周 辺部を囲むガス収集具 2 0により反応の終わった反応 スを集めて ガス排出口 2 1から C V D反応室 1 1外に排気する。
2 8は赤外線加熱処理室 1 2に備えられた赤外線照射手段 (処理 手段) で、 酸化防止のためウェハ 2 9に放出される不活性ガスを導 入するガス導入口 2 1を有する赤外線ランプ保持具 2 3により赤外 線ランプ 2 4が保持されている。 ウェハ 2 9表面に散布された不活 性ガスは赤外線ランプ保持具 2 3の周囲に設けられたガス収集具に より集められ、 ガス排出口 2 7から赤外線加熱処理室 1 2外に放出 される。
1 5は塵などによる汚染防止のためフヱースダウンでウェハ 2 9 を保持するウェハ保持具で、 ウェハ 2 9を保持したままロード ア ンロー ド室 1 0、 C V D反応室 1 1、 赤外線加熱処理室 1 2の間を 行き来する。 また、 ウェハ保持具 1 5にはヒ一夕 (加熱手段) 1 4 が埋め込まれ、 ウェハ載置面 1 3に載置されるウェハ 2 9を所定の 温度に加熱 ·保持するようになっている。
以上のように、 本発明の第 1の実施例のマルチステッププロセス 装置においては、 ウェハ 2 9に反応ガスを供給するガス分散具 2 2 を有する C V D反応室 1 1及び形成された B P S G膜等の膜を加熱 • リフローする赤外線加熱処理室 1 2 と、 ウェハ 2 9を保持したま ま C V D反応室 1 1及び赤外線加熱処理室 1 2の間を順次移動する ことが可能なウェハ保持具 1 5 とを有し、 ウェハ保持具 1 5は、 ゥ ェハ 2 9の移動中を含めてウェハ 2 9を加熱することが可能なヒ一 夕 1 4を有している。
従って、 C V D反応室 1 1で B P S G膜等の膜を形成後、 赤外線 加熱処理室 1 2で赤外線照射手段 2 8により B P S G膜のリフロー 処理を行う場合、 C V D反応室 1 1 と赤外線加熱処理室 1 2 との間 でのウェハ 29の移動中に、 ヒータ 1 4による加熱調整によりゥェ ハの温度を一定の温度に保持しておく ことができるので、 ウェハ 2
9温度の変動を低減することができる。
なお、 第 1の実施例では、 CVD反応室 I 1に赤外線加熱処理室
1 2を連接しているが、 赤外線加熱処理室 1 2の代わりに UV処理 室 (紫外線処理室) やオゾン処理室を連接することもできる。 これ により、 ダングリングボンドを埋めて B P S G膜等の膜を緻密化す るとともに、 ウェハ 2 9の温度変動を低減することにより形成膜へ の熱歪みの発生を防止し、 膜質の向上を図ることができる。
(b) 第 2の実施例
第 2図, 第 3図 (a) 〜 (c) 及び第 4図は、 本発明の第 2の実 施例のマルチステツププロセス装置について説明する図で、 それぞ れ図 4は装置全体の斜視図、 第 3図 (a) 〜 (c) は赤外線照射手 段, 紫外線照射手段及びガス分散具の詳細を示す側面図, 第 4図は 装置全体の上面図を示す。
第 2図, 第 3図 (a) 〜 (c) 及び第 6図において、 第 1図 (a) (b) と異なるところは、 CVD反応室及び各処理室が回転軸を中 心とする円周に沿って並べられており、 これに従ってヒータ (加熱 手段) を有するウェハ保持具も円周に沿って移動するようになって いることである。 このマルチステッププロセス装置では、 例えばリ フローにより平坦化された B P S G膜を Si02膜で挟んだ 3層構造の 絶縁膜を形成することが可能である。
第 2図, 第 3図 (a) 〜 (c) 及び第 4図において、 37a〜37f は第 3図 (a) 〜 (c) に示す、 互いに分離されたウェハ保持具で、 各ウェハ保持具 37a〜37f はアーム 34a〜34f により回転軸 3 3と 固定されている。 そして、 回転軸 3 3を回転することにより各ゥェ ハ保持具 37a〜37f のウェハ載置面 41 a〜41 f を含む一平面上で回 転軸 3 3の回りにウェハ保持具 37 a〜37 f のウェハ載置面 41 a〜41 f が回転するようになっている。 また、 各ウェハ保持具 37a〜37i は不図示の排気装置と接続され、 不図示の吸引口や窒素ガスの導入 口とパイプ状のアーム 34 a〜34f を介して連通しているチヤックに より、 ウェハ 32a〜32f をウェハ載置面 41 a〜41 iに固定したり、 固定されたウェハ 32a〜32f をウェハ載置面 41a〜41 f から離脱し たりする。 また、 各ウェハ保持具 37a〜37f には個別にヒータ (加 熱手段) 42a〜42f が埋め込まれ、 各ヒータ 42a〜42f に独立して 電力を供給し、 各ウェハ保持具 37a〜37f に載置されたウェハ 32 a 〜32f の加熱 ·保温を個別に行うことができるようになつている。
38b〜38f は、 ウェハ保持具 37 b〜37 f のウェハ載置面 41 b〜41 f と対向するように設けられた、 第 1の膜形成部のガス分散具 第 1の処理部の赤外線照射手段 (処理手段) /第 2の膜形成部のガス 分散具 Z第 2の処理部の紫外線照射手段 (処理手段) /第 3の膜形 成部のガス分散具で、 ウェハ保持具 37b〜37f とは分離され、 かつ 回転軸 3 3を中心とする円周に沿って不図示の装置の基台に固定し て設けられている。
各ガス分散具 38b, 38 d, 38f は、 第 3図 ( c ) のガス分散具 38 f に代表して示すように、 ウェハ 32b, 32 d, 32f に反応ガスを供 給するガスシャワー 43b, 43d, 43f と、 反応の終わったガスを収 集するガス収集具 45b, 45 d, 45ί とを有し、 ガスシャワー 43b, 43 d, 43f に反応ガス導入口 39b, 39 d , 39f が接続され、 ガス収 集具 45b, 45 d, 45f にガス排出口 40b, 40 d, 40f が接続されて いる。 更に、 第 6図に示すように、 各ガス分散具 38b, 38 d, 38 f のガス放出部は回転軸 3 3を中心とする放射方向に直角の方向の複 数のスリ ッ トに分割されて設けられている。
また、 赤外線照射手段 38 cは、 第 3図 ( a ) に示すように、 ゥェ ハ 32cを加熱するための赤外線ランプ 47c と、 赤外線ランプ 47cを 保持する赤外線ランプ保持具 46c と、 酸化防止のためウェハに放出 された不活性ガスを収集するガス収集具 45 c とを有し、 赤外線ラン プ保持具 46cにガス導入口 39cが接続され、 ガス収集具 45cにガス 排出口 40cが接続されている。 更に、 紫外線照射手段 38eは、 第 3 図 (b) に示すように、 ウェハ 32eを加熱するための水銀ランプ 49 eと、 水銀ランプ 49 eを保持する水銀ランプ保持具 48 eと、 酸化防 止のためウェハに放出された不活性ガスを収集するガス収集具 45 e とを有し、 水銀ランプ保持具 48eにガス導入口 39eが接続され、 ガ ス収集具 45 eにガス排出口 40 eが接続されている。
更に、 ヒータ 42aと接続されている第 4図のスリ ップリング 35a, 35bは、 回転軸 3 3に設けられ、 回転軸 3 3とともに回転するスリ ップリング 35 a, 35bの正 ·負の極性と対応するように接触してい る一対の集電子 36a, 36bに接続されている。 そして、 一対の集電 子 36a, 36bは不図示の電源と接続され、 スリ ップリ ング 35a, 35 bを介してヒータ 42a〜42f に電力を供給できるようになつている。 なお、 第 4図では 1つのウェハ保持具 37aのヒータ 42aと接続して いるスリップリング 35a, 35bのみ図示しているが、 回転軸 3 3に は他のウェハ保持具 37b〜37f のヒ一夕 42b〜42f と接続している スひップリングも設けられている。 また、 何れのスリ ップリング 35 a, 35 bも回転軸 3 3の回転を妨げないように口一夕リコネクタ力く 用いられている。
なお、 ウェハ保持具 37aの位置は、 第 2図に示すように、 エレべ —タ 3 1が設置されたローダ Zアンローダ部となっており、 エレべ —タ 3 1 とウェハ保持具 37aとの間でウェハ 32aの受渡しが行われ るのみで、 膜形成は行われない。
以上のような第 2の実施例のマルチステツププロセス装置におい ては、 ガス分散具 38b, 38 d, 38 ίを複数、 かつ互いに分離して設 けることにより、 異なる種類の多層膜の形成が可能になる。 また、 赤外線照射手段 38 cや紫外線照射手段 38 eが設けられているので、 平坦な絶縁膜の形成, 形成膜の平坦化のための処理及び形成膜の膜 質の向上のための処理を連続して行うことができる。
なお、 上記の第 2の実施例では、 処理手段として赤外線照射手段 38 cや紫外線照射手段 38 eが設けられているが、 これらの代わりに オゾン等の処理ガス供給手段が設けられてもよいし、 更に処理部を 増やし、 赤外線照射手段 38 cや紫外線照射手段 38 eに追加して処理 ガス供給手段が設けられることも可能である。
また、 膜形成部と処理部とが混在して設けられているが、 膜形成 部だけが設けられることもできる。 .
更に、 フェースダウンのウェハ載置面 41 a〜41 f を有しているが、 フェースアツプのウェハ載置面を有しているものについても可能で める。
( 2 ) 本発明の実施例の半導体装置の製造方法
( c) 第 3の実施例
次に、 第 1図 (a) , (b) のマルチステッププロセス装置を用 いて、 平坦化された B P S G膜をウェハ表面に形成する第 3の実施 例の製造方法について第 5図 (a) 〜 ( c ) , 第 6図を参照しなが ら説明する。 第 5図 (a) 〜 ( c ) は製造方法について説明する断 面図、 第 3図は移動中のウェハの温度を含む膜形成処理中のウェハ の温度の履歴を示す図である。
まず、 第 5図 (a) に示す、 ポリシリコン膜からなる配線層 51 a, 51bが Si02膜 5 0の上に形成された Si基板 (ウェハ) 2 9を、 第 1 図 (b ) に示すロボッ ト 1 6によりロー ドノアンロード室 1 0に搬 入した後、 ウェハ保持具 1 5のウェハ載置面 1 3にフヱースダウン で載置する。
次に、 ウェハ保持具 1 5に埋め込まれたヒータ 1 4に電流を流し てヒー夕 1 4を発熱させ、 ウェハ 2 9を加熱してウェハ温度 (第 1 の温度) を 4 0 0でに保持する。
次いで、 ウェハ保持具 1 5を移動し、 ウェハ 2 9を CVD反応室 1 1に搬送する。 このとき、 搬送中もヒー夕 1 4には電流が流され、 ウェハ温度が 4 0 0 °Cに保持されるようにヒータ 1 4を発熱させて いる。 続いて、 TE〇 SZ3.5 重量%のリ ンを含む T MP (Tri-Me chyl-Phosphate) /4.0 重量 のボロンを含む TM B (Tri-Mechyl -Borate ) /Oz の混合ガスを反応ガス導入口 1 9からガスシャヮ 一 1 7に導入すると、 混合ガスはガス放出面 1 8からウェハ 2 9の 表面に放出されるので、 この状態で所定の時間保持すると、 所定の 膜厚の B PS G膜 5 2が形成される (第 5図 (b) ) 。
次いで、 ウェハ保持具 1 5を赤外線加熱処理室 1 2に移動する。 このとき、 前記の移動時と同様に、 ウェハの搬送中もヒータ 1 4に は電流が流され、 ウェハ温度が 4 0 0 °Cに保持されるようにヒータ 1 4を発熱させている。
続いて、 赤外線加熱処理室 1 2に搬入されたウェハ 2 9はガス導 入口 2 5から導入された窒素ガス中で赤外線ランプ 2 4により更に 加熱され、 温度 (第 2の温度) 800 でに保持される。 その結果、 B 30膜5 2は溶融 *流動し、 ウェハ 2 9の表面が平坦化する (第 5図 (c) ) 。 このとき、 CVD装置から加熱処理炉に移しかえる ためにウェハが自然冷却された後、 加熱処理炉中で再度加熱処理を 行う従来の方法と比較して、 加熱処理温度を約 100 〜200 で低下さ せることができた。 これは、 ウェハ 2 9温度を所定の温度以上に保 持しているので、 B P S G膜 5 2の膜質が変化したり、 B P S G膜 5 2の吸湿を防止することができるためと考えられる。
以上のように、 第 3の実施例の製造方法においては、 第 6図のゥ ェハ温度の履歴に示すように、 ヒータ 1 4を有するウェハ保持具 1 5に保持されたウェハ 2 9をヒ一夕 1 4により加熱し、 温度 400 °C に保持してウェハ 2 9の表面に B P S G膜 5 2を形成した後、 B P S G膜 5 2のリフロー処理を行うため、 ヒータ加熱に加えて赤外線 照射によりウェハ 2 9を加熱し、 ウェハ 2 9の温度を約 400 てから 約 800 °Cに昇温している。
従って、 B P S G膜 5 2を形成した後にウェハ 2 9の温度を下げ ることなく連鐃して B P SG膜 5 2のリフロー処理を行うことがで きるので、 ウェハ 2 9の温度の変動を低'减することができる。 これ により、 B P S G膜 5 2の平坦化を図るとともに、 B P S G膜 5 2 への熱歪みの発生等を防止して B P S G膜 5 2の膜質の変化や劣化 を防止することができる。
なお、 第 3の実施例では、 ウェハ 2 9の温度を約 800 てに昇温す るため赤外線ランプ 2 4によりウェハ 2 9を加熱しているが、 ゥェ ハ保持具 1 5に埋め込まれたヒー夕 1 4を用いてウェハ 2 9を加熱 することもできる。
また、 B P S G膜 5 2の形成後に、 B P S G膜 5 2のリフロー処 理を行っているが、 B P S G膜 5 2の形成後にウェハ 2 9の加熱を 続けたまま搬送し、 一定の温度に保持されたウェハ 2 9に対して連 続して B P S G膜 5 2の UV照射処理 (紫外線照射処理) やオゾン 雰囲気中でのァニール処理を行うこともできる。 これにより、 ダン グリ ングボンドを埋めて B P S G膜 5 2を緻密化するとともに、 処 理時と搬送時との間のウェハ 2 9の温度変動を低減して B P S G膜 5 2への熱歪みの発生を防止し、 膜質の向上を図ることができる。
(d) 第 4の実施例
次に、 第 2図のマルチステッププロセス装置を用いて、 本発明の 第 4の実施例の 3層の絶縁膜を形成する方法について第 7図 ( a ) 〜 ( d) , 第 8図 ( e) 〜 (g) , 第 9図を参照しながら説明する。 第 7図 (a) 〜 (d) , 第 8図 ( e ) 〜 (g) は製造方法につい て説明する断面図、 第 9図は移動中のウェハの温度を含む膜形成処 理中のウェハの温度の履歴を示す図である。 この場合、 第 8図 ( ί ) に示すように、 ウェハ保持具 37aが回転軸 3 3の回りを一回りす る間に所定膜厚の Si02膜 55a/B P S G膜 56 a ZSi02膜 5 7の 3層 構造の絶縁膜がウェハ上に形成されるようになっている。 なお、 最 下層の Si02膜 55aは B P S G膜 56a中のボロン (B) やリ ン (P) がポリシリコン層又はシリサイ ド層 54 a, 54bに導入されて、 抵抗 値が変動するのを防止するために形成され、 最上層の Si02膜 5 7は B P S G膜 56 aの吸湿を防止するために形成される。
まず、 不図示のカセッ トステ一ションから第 2図に示すロボッ ト 3 0により、 第 7図 (a) に示す Si02膜 5 3上にポリシリコン層又 はシリサイ ド層 54a, 54bの形成された第 1のウェハ 32aをローダ Zアンローダ部に搬送し、 エレベータ 3 1 に載置する。
次いで、 エレベータ 3 1を上昇させて、 ウェハ保持具 37aのゥェ ハ載置面 41 aに第 1のウェハ 32 aを接触させるとともに、 不図示の 吸引口から排気し、 吸引口と連通しているチャックにより第 1のゥ ェハ 32aをウェハ載置面 41aに載置 '固定する。 このとき、 各ゥェ ハ保持具 37a〜37f のヒータ 42a〜42i と対応するスリ ップリング 35a, 35b, … 集電子 36a, 36b, …を介してすベてのウェハ保 持具 37a〜37f のヒータ 42a〜42f に電力を供給し、 すべてのゥェ ハ保持具 37a〜37f のウェハ載置面 41 a〜41 f の温度を約 400 に 保持する。
次に、 第 1のウェハ 32aの温度が約 400 °Cに達した後、 回転軸 3 3を回転し、 ガス分散具 38 f の直上の位置にウェハ保持具 37aを停 止する。 続いて、 ガスシャワー 43f から反応ガスとして TEOS— 03 の混合ガスを放出する。 このとき、 Si02膜 5 5が成長しはじめ るが、 その成長レートは約 2000Aとなり、 約 1分間この状態を保持 することにより、 目標とする膜厚の約 2000Aの Si02膜 5 5が第 1の ウェハ 32a上に形成される (第 7図 (b) ) 。 なお、 この間、 上記 と同様にして第 2のウェハ 32bをローダ/アンローダ部に位置する ウェハ保持具 37bに載置し、 第 2のウェハ 32bの温度をヒータ 42b により約 400 °Cに加熱しておく。
次に、 回転軸 3 3を回転し、 ガス分散具 38 f Z紫外線照射手段 38 eの直上の位置にそれぞれウェハ保持具 37b, 37aを停止する。 こ のとき、 第 1及び第 2のウェハ 32a, 32bを移動中にもヒータ 42a, 42bにより加熱し、 図 9に示すように、 第 1及び第 2のウェハ 32a, 32bを約 400 °Cの温度に保持しておく。 この状態で、 紫外線照射手 段 38 eの水銀ランプ 49 eを点灯して第 1のウェハ' 32 a上の Si02膜 5 5に紫外線照射する。 その結果、 Si02膜 5 5のダングリ ングボン ド を埋めて Si02膜 55aを緻密化することができる (第 7図 ( c ) ) 。 —方、 ガスシャワー 43ίから反応ガスとして TE O S— 03 の混合 ガスを放出し、 約 1分間この状態を保持すると、 第 2のウェハ 32b 上には目標とする膜厚の約 2000Aの Si02膜が形成される。 更に、 こ の間、 上記と同様にして第 3のウェハ 32cをローダ Zアンローダ部 に位置するウェハ保持具 37cに載置し、 第 3のウェハ 32cの温度を 約 400 でにヒータ 42cにより加熱しておく。
次いで、 回転軸 3 3を回転し、 ガス分散具 38 紫外線照射手段 38 e/ガス分散具 38 dの直上の位置にそれぞれウェハ保持具 37c, 37b, 37aを停止する。 このとき、 第 1 , 第 2及び第 3のウェハ 32 a, 32b, 32cを移動中にもヒー夕 42a〜42cにより加熱し、 図 9 に示すように、 第 1 , 第 2及び第 3のウェハ 32a, 32b, 32cを約 400 での温度に保持しておく。 この状態で、 直ちに、 ガス分散具 38 dのガスシャワー 43 dから反応ガスとして TE O S— 03 /TMP TMBの混合ガスを放出し、 約 3分間この状態を保持すると、 第 1のウェハ 32 aの Si02膜 5 5上には目標とする膜厚の約 6000Aの B P S G膜 5 6が形成される (第 7図 (d) ) 。 一方、 水銀ランプ 49 eを点灯して第 2のウェハ 32b上の Si02膜に紫外線照射する。 その 結果、 Si02膜のダングリ ングボンドを埋めて Si02膜を緻密化するこ とができる。 更に、 ガス分散具 38f から反応ガスを放出することに より第 3のウェハ 32c上には目標とする膜厚の約 2000Aの Si02膜が 形成される。 更に、 この間、 上記と同様にして第 4のウェハ 32dを ローダノアンローダ部に位置するウェハ保持具 37 dに載置し、 第 4 のウェハ 32 dの温度を約 400 でにヒータ 42 dにより加熱しておく。 次に、 回転軸 3 3を回転し、 ガス分散具 38 ί Z紫外線照射手段 38 eZガス分散具 38 dZ赤外線照射手段 38 cの直上の位置にそれぞれ ウェハ保持具 37d, 37 c , 37b, 37aを停止する。 このとき、 第 1, 第 2, 第 3及び第 4のウェハ 32a, 32 b, 32 c , 32dを移動中にも ヒータ 42a〜42dにより加熱し、 第 9図に示すように、 第 1 , 第 2, 第 3及び第 4のウェハ 32a, 32b, 32c, 32 dを約 400 °Cの温度に 保持しておく。 続いて、 第 1のウェハ 32 a上の B P S G膜 5 6をリ フローするために、 赤外線ランプ 38cを点灯し、 図 9に示すように、 ウェハ温度を約 400 でから約 800 でに上昇させ、 保持する。 この状 態を所定の時間保持すると、 B P S G膜 5 6は溶融 '流動しウェハ 表面の凹凸が钧される (第 7図 ( e ) ) 。 なお、 第 1のウェハ 32a の処理と同時に第 2, 第 3及び第 4のウェハ 32b, 32c, 32dにつ いても所定の処理がなされる。 更に、 この間、 上記と同様にして第 5のウェハ 32 eをローダ Zアンローダ部に位置するウェハ保持具 37 eに載置し、 第 4のウェハ 32eの温度を約 400 にヒータ 42eによ り加熱しておく。
次いで、 回転軸 3 3を回転し、 ガス分散具 38 fZ紫外線照射手段 38 e Zガス分散具 38 d Z赤外線照射手段 38 c Zガス分散具 38bの直 上の位置にそれぞれウェハ保持具 37e, 37 d, 37c, 37b, 37aを 停止する。 このとき、 第 1, 第 2, 第 3, 第 4及び第 5のウェハ 32 a, 32b, 32c, 32d, 32 eは移動中にもヒータ 42 a〜42 eにより 加熱し、 第 9図に示すように、 第 1 , 第 2, 第 3, 第 4及び第 5の ウェハ 32a, 32b, 32 c, 32 d, 32 eを約 400 °Cの温度に保持して おく。 続いて、 ガス分散具 38bのガスシャワー 43bから反応ガスと して TEOS— 03 の混合ガスを放出し、 約 1分間この状態を保持 すると、 第 1のウェハ 32a上には目標とする膜厚の約 2000Aの Si02 膜 5 7が形成される (第 7図 ( f ) ) 。 なお、 第 1のウェハ 32 aの 処理と同時に第 2, 第 3, 第 4及び第 5のウェハ 32b, 32c, 32 d, 32eについても所定の処理がなされる。 更に、 この間、 上記と同様 にして第 6のウェハ 32 f をローダ/ァンローダ部に位置するウェハ 保持具 37f に載置し、 第 6のウェハ 32f の温度を約 400 °Cにヒー夕 42 f により加熱しておく。 次いで、 回転軸 3 3を回転し、 ガス分散具 38 ί Ζ紫外線照射手段 38 e /ガス分散具 38 d /赤外線照射手段 38 c /ガス分散具 38b エ レベータ 3 1の直上の位置にそれぞれウェハ保持具 37f , 37 e, 37 d, 37c, 37b, 37aを停止する。 続いて、 エレベータ 3 1を上昇 し、 ウェハ保持具 37 aのチヤックと対応する吸引口を閉じるととも に、 窒素ガスの導入口のバルブを開けて窒素ガスをチヤックに送る と第 1のウェハ 32 aがウェハ載置面 41 aから離脱して、 エレベータ 3 1上に載置される。 次に、 ロボッ ト 3 0により第 1 のウェハ 32 a をカセッ トステーションに搬出する。 なお、 この間、 第 1のウェハ 32aの処理と同時に第 2, 第 3, 第 4 , 第 5及び第 6のウェハ 32b , 32 c, 32 d, 32e, 32 f についても所定の処理がなされる。
このようにして、 第 2, 第 3, 第 4 , 第 5及び第 6のウェハ 32b, 32c, 32 d, 32 e, 32 f についても第 1 のウェハ 32 aと同様な処理 を行い、 再び第 2, 第 3, 第 4及び第 5のウェハ 32b, 32c, 32 d, 32 eが回転軸 3 3の回りを一回りしてローダ/アンローダ部に帰つ てきたときにこれらのウェハ上には目標とする膜厚の Si02膜 ZB P S G膜 ZSii 膜の 3層の膜が形成されている。 この間ウェハの温度 はヒータ 42b〜42eにより加熱され、 常に一定の温度に保持されて いるので、 温度変動による形成膜への熱歪みの発生を防止すること ができる。 このようにして、 次々に所定膜厚の Si02膜/ B P S G膜 ZSi02膜の 3層の膜がウェハ上に形成されてカセッ トステーション に蓄積されていく。
その後、 下層の配線層 54a, 54b上の Si02膜 5 7及 B P S G膜 56 aに不図示のビアホールを形成した後、 A 1膜からなる上層の配線 層 5 8を形成すると、 半導体装置が完成する (第 7図 (g) ) 。 以上のように、 第 4の実施例の半導体装置の製造方法によれば、 ヒータ 42a〜42f を有するウェハ保持具 37a, 37b, 37c, 37 d, 37 e , 37ίに保持された第 1 , 第 2, 第 3 , 第 4 , 第 5及び第 6の ウェハ 32a, 32b, 32 c, 32 d, 32 e , 32 f をヒータ 42 a〜42 ίに' より加熱して温度約 400 でに保持したまま、 ガス分散具 38b, 38 d, 38f と各処理部 38c, 38eとの間を移動し、 ウェハ 32a, 32b, 32 c, 32d, 32 e, 32 f の表面に紫外線照射された Si02膜 55 a Z赤外 線照射により平坦化された B P S G膜 56 a ZSi 02膜 5 7を形成して いる。
従って、 第 9図に示すように、 ウェハ温度は 400 と 800 との 間の変動で済む。 このように、 従来と異なり移動の間にウェハ 32a, 32b, 32c, 32d, 32e, 32 f が自然冷却されるのを防止すること により、 ウェハ温度の変動を低減することができるので、 熱歪みを 低減する等、 形成膜の膜質の変化や劣化を防止することができる。 なお、 第 4の実施例では、 最下層の Si02膜として紫外線照射され た Si02膜 55 aを形成しているが、 オゾン雰囲気中でのァニール処理 のなされた Si02膜を形成することもできる。 また、 第 4の実施例の 膜構造に限らず、 膜形成, 赤外線処理, 紫外線処理, オゾン雰囲気 中でのァニール処理等を組み合わせて他の構造の膜形成を行うこと が可能である。
更に、 BPSG膜のリフロー処理のために、 赤外線照射による加 熱を行っているが、 ヒータのみを用いて加熱処理することも可能で める。
また、 膜形成と形成膜の処理とを行っているが、 形成膜の処理を 行わずに Si02膜/ B P S G膜 Si02膜の異なる種類の多層膜の形成 のみを行うことも可能である。 この場合も、 ウェハ保持具を膜形成 部の間を移動させる間、 ウェハを加熱することにより、 ウェハ温度 の変動を低減することができるので、 形成された絶縁膜の熱歪みの 低減を図ることができる。
更に、 膜形成の間中、 保持されたウェハ保持具 37a〜37f の位置 を中心として放射方向にオシレーションュニッ トによりウェハ保持 具 37a〜37f を直線往復運動を行わせることにより、 ウェハ 32a〜 32 f 上への反応ガスの供給を均一化し、 均一な膜厚や膜質の Si02膜 / B P S G膜 ZSiOs膜を形成することができる。 産業上の利用可能性
以上のように、 本発明の半導体装置の製造装置及び 導体装置の 製造方法は、 ウェハを外気に触れさせることなく異なる種類の処理 を連続的に行うことにより膜質の改良された単層の膜を形成するこ とが可能な、 所謂マルチステッププロセス装置等の半導体装置の製 造装置及び半導体装置の製造方法として有用である。
符 号 の 説 明
1 カセッ トチャンバ、 2 , 5, 1 6, 3 0 ロボッ ト、 3 口一 ドロツクチャンノく、 4 バッファチャンノく、 6 a〜 6 e 処理チャンバ、 7 a, 7 b, 8 a〜 8 e バルブ、 9, 2 9, 32a〜32f Si基板 (ウェハ) 、 1 0 ロード Zアンロード 室、 1 1 CVD室 (膜形成部) 、 1 2 赤外線加熟処理室 (処理部) 、 1 3, 41a〜41 f ウェハ載置面、 1 4, 42a〜 42 f ヒー夕 (加熱手段) 、 1 5, 37a〜37f ウェハ保持具、
1 7, 43b, 43d, 43 f ガスシャワー、 1 8, 44 b , 44 d, 44 f ガス放出面、 1 9, 39b, 39 d, 39 f 反応ガス導入口、
2 0, 2 6, 45b〜45f ガス収集具、 2 1, 2 7, 40b〜40 f ガス排出口、 2 2, 38b, 38 d, 37 f ガス分散具、 2 3, 46c 赤外線ランプ保持具、 2 4, 47c 赤外線ランプ、 2 5, 39c, 39 e ガス導入口、 2 8, 38 c 赤外線照射手段 (処理手 段) 、 3 1 エレべ一夕、 3 3 回転軸、 34a〜34f ァー ム、 35a, 35 b スリップリング、 36a, 36 b 集電子、 38 e 紫外線照射手段 (処理手段) 、 48e 水銀ランプ保持具、 49 e 水銀ランプ、 5 0, 5 3, 5 5, 55a, 5 7 Si02膜、 51a, 51b, 5 8 配線層、 5 2, 52a, 5 6, 56 a B P S G 膜、 54a, 54b ポリシリコン層又はシリサイ ド層。

Claims

請 求 の 範 囲 . ガス放出面から反応ガスを供給するガス分散具を有する膜形成 部及び前記形成された膜の処理手段を有する処理部と、 前記ガス 放出面又は処理手段に対向してウェハ載置面にウェハを保持し、 かつ前記ウェハ載置面にウェハを保持したまま前記膜形成部及び 前記処理部の間を移動することが可能なウェハ保持具とを有し、 前記ウェハ保持具は、 前記ウェハの移動中を含めて前記ウェハ 載置面に保持されたウェハを加熱することが可能な加熱手段を有 することを特徴とする半導体装置の製造装置。
. 前記処理手段は赤外線照射手段、 紫外線照射手段、 或いは処理 ガス供給手段であることを特徴とする請求の範囲第 1項記載の半 導体装置の製造装置。
. ガス放出面から反応ガスを供給するガス分散具を有する複数の 膜形成部と、 前記ガス放出面に対向してウェハ載置面にウェハを 保持し、 かつ前記ウェハ載置面にウェハを保持したまま前記複数 の膜形成部の間を順次移動することが可能なウェハ保持具とを有 し、
前記複数のガス分散具はそれぞれ異なる反応ガスの供給手段を 有し、 前記ウェハ保持具は、 前記ウェハの移動中を含めて該ゥェ ハを加熱することが可能な加熱手段を有することを特徴とする半 導体装置の製造装置。
. 加熱手段を有するウェハ保持具のウェハ載置面に載置されたゥ ェハを前記加熱手段により加熱して第 1 の温度に保持し、 反応ガ スを前記ウェハの表面に供給して、 該ウェハの表面に膜を形成す る工程と、
赤外線照射により或いは前記加熱手段により前記ウェハを加熱 して前記ウェハの温度を前記第 1の温度よりも高い第 2の温度に 昇温し、 前記膜の加熱処理を行う工程とを有する半導体装置の製 造方法。
. 加熱手段を有するウェハ保持具のウェハ載置面に載置されたゥ ェハを前記加熱手段により加熱して第 3の温度に保持し、 膜形成 部で、 反応ガスを前記ウェハの表面に供給して、 該ウェハの表面 に膜を形成する工程と、
前記ウェハを加熱しながら、 前記ウェハ保持具を処理部に移動 させた後、 前記加熱手段の加熱調整により前記ウェハの温度を前 記第 3の温度、 或いは第 4の温度に保持し、 その後、 前記ウェハ に紫外線照射して、 或いは処理ガスを散布して前記膜の処理を行 う工程とを有する半導体装置の製造方法。
. 加熱手段を有するウェハ保持具のウェハ載置面に載置されたゥ ェハを前記加熱手段により加熱して第 5の温度に保持し、 第 1の 膜形成部で、 第 1の反応ガスを前記ウェハの表面に供給して、 該 ウェハの表面に第 1の膜を形成する工程と、
前記ウェハを加熱しながら、 前記ウェハ保持具を第 2の膜形成 部に移動させた後、 前記加熱手段の加熱調整により前記ウェハの 温度を前記第 5の温度、 或いは第 6の温度に保持し、 その後、 第 2の反応ガスを供給して前記第 1の膜の上に第 2の膜を形成する 工程とを有する半導体装置の製造方法。
PCT/JP1992/000468 1991-04-22 1992-04-14 Apparatus and method for manufacturing semiconductor device WO1992019011A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
DE69229431T DE69229431T2 (de) 1991-04-22 1992-04-14 Einrichtung und vorrichtung zur herstellung eines halbleiterbauelementes
EP92908472A EP0537364B1 (en) 1991-04-22 1992-04-14 Apparatus and method for manufacturing semiconductor device
US07/958,105 US5314538A (en) 1991-04-22 1992-04-14 Apparatus for manufacturing semiconductor device and method for manufacturing semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP3090612A JPH0812847B2 (ja) 1991-04-22 1991-04-22 半導体製造装置及び半導体装置の製造方法
JP3/90612 1991-04-22

Publications (1)

Publication Number Publication Date
WO1992019011A1 true WO1992019011A1 (en) 1992-10-29

Family

ID=14003307

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP1992/000468 WO1992019011A1 (en) 1991-04-22 1992-04-14 Apparatus and method for manufacturing semiconductor device

Country Status (5)

Country Link
US (1) US5314538A (ja)
EP (1) EP0537364B1 (ja)
JP (1) JPH0812847B2 (ja)
DE (1) DE69229431T2 (ja)
WO (1) WO1992019011A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0599317A1 (en) * 1992-11-26 1994-06-01 Nec Corporation Palanarized interlayer insulating film formed of stacked BPSG film and ozone-teos NSG film in semiconductor device, and method for forming the same

Families Citing this family (278)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5753542A (en) 1985-08-02 1998-05-19 Semiconductor Energy Laboratory Co., Ltd. Method for crystallizing semiconductor material without exposing it to air
US5821175A (en) * 1988-07-08 1998-10-13 Cauldron Limited Partnership Removal of surface contaminants by irradiation using various methods to achieve desired inert gas flow over treated surface
WO1992009103A1 (en) * 1990-11-16 1992-05-29 Kabushiki-Kaisha Watanabe Shoko Device and method for carrying thin plate-like substrate
US5578520A (en) 1991-05-28 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Method for annealing a semiconductor
US5766344A (en) * 1991-09-21 1998-06-16 Semiconductor Energy Laboratory Co., Ltd. Method for forming a semiconductor
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
US7097712B1 (en) * 1992-12-04 2006-08-29 Semiconductor Energy Laboratory Co., Ltd. Apparatus for processing a semiconductor
JP3165304B2 (ja) * 1992-12-04 2001-05-14 株式会社半導体エネルギー研究所 半導体装置の作製方法及び半導体処理装置
US5516732A (en) * 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
CN1052566C (zh) * 1993-11-05 2000-05-17 株式会社半导体能源研究所 制造半导体器件的方法
US6897100B2 (en) * 1993-11-05 2005-05-24 Semiconductor Energy Laboratory Co., Ltd. Method for processing semiconductor device apparatus for processing a semiconductor and apparatus for processing semiconductor device
US5643366A (en) * 1994-01-31 1997-07-01 Applied Materials, Inc. Wafer handling within a vacuum chamber using vacuum
US5531835A (en) * 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5990006A (en) * 1997-02-10 1999-11-23 Micron Technology, Inc. Method for forming materials
US5960158A (en) 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US6013134A (en) 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
JP3624113B2 (ja) * 1998-03-13 2005-03-02 キヤノン株式会社 プラズマ処理方法
US5930456A (en) 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US5970214A (en) 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
US6162299A (en) * 1998-07-10 2000-12-19 Asm America, Inc. Multi-position load lock chamber
US6210484B1 (en) 1998-09-09 2001-04-03 Steag Rtp Systems, Inc. Heating device containing a multi-lamp cone for heating semiconductor wafers
US6072157A (en) * 1998-12-11 2000-06-06 Euv Llc Thermophoretic vacuum wand
US6771895B2 (en) * 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US6281141B1 (en) 1999-02-08 2001-08-28 Steag Rtp Systems, Inc. Process for forming thin dielectric layers in semiconductor devices
NL1011856C2 (nl) 1999-04-21 2000-10-24 Asm Internat B V Floating wafer reactor alsmede werkwijze voor het regelen van de temperatuur daarvan.
WO2001003167A1 (fr) * 1999-07-02 2001-01-11 Tokyo Electron Limited Installation, procede et dispositif de fabrication de semi-conducteurs
DE19936081A1 (de) * 1999-07-30 2001-02-08 Siemens Ag Vorrichtung und Verfahren zum Temperieren eines Mehrschichtkörpers, sowie ein unter Anwendung des Verfahrens hergestellter Mehrschichtkörper
EP1139404A1 (en) * 2000-03-31 2001-10-04 Applied Materials, Inc. Low thermal budget solution for PMD application using SACVD layer
US20020195056A1 (en) * 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
US6495805B2 (en) * 2000-06-30 2002-12-17 Tokyo Electron Limited Method of determining set temperature trajectory for heat treatment system
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US6541353B1 (en) * 2000-08-31 2003-04-01 Micron Technology, Inc. Atomic layer doping apparatus and method
US6599368B1 (en) 2000-10-05 2003-07-29 Applied Materials, Inc. System architecture of semiconductor manufacturing equipment
US6528427B2 (en) 2001-03-30 2003-03-04 Lam Research Corporation Methods for reducing contamination of semiconductor substrates
TWI287253B (en) * 2002-09-30 2007-09-21 Adv Lcd Tech Dev Ct Co Ltd Substrate processing apparatus and substrate processing method
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
JP2004273893A (ja) * 2003-03-11 2004-09-30 Eiko Engineering Co Ltd 複数処理ステーションを有する真空処理装置
DE10320597A1 (de) * 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
DE10323295B4 (de) * 2003-05-21 2009-04-16 Von Ardenne Anlagentechnik Gmbh Vakuumbeschichtungsanlage und Verfahren zur Beschichtung von Substraten
US7162881B2 (en) * 2004-04-07 2007-01-16 Nikon Corporation Thermophoretic wand to protect front and back surfaces of an object
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
GB0410743D0 (en) * 2004-05-14 2004-06-16 Vivactiss Bvba Holder for wafers
JP2006093557A (ja) * 2004-09-27 2006-04-06 Sharp Corp 気相成長装置
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8454750B1 (en) * 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
JP2012129471A (ja) * 2010-12-17 2012-07-05 Tatsumo Kk 基板処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10954597B2 (en) 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) * 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59181648A (ja) * 1983-03-31 1984-10-16 Toshiba Corp 半導体装置の製造方法
JPH0354844A (ja) * 1989-07-21 1991-03-08 Tokyo Electron Ltd レジスト処理方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4846623A (en) * 1986-10-08 1989-07-11 Dainippon Screen Mfg. Co., Ltd. Wafer transferring device
US5174881A (en) * 1988-05-12 1992-12-29 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming a thin film on surface of semiconductor substrate
JP2982178B2 (ja) * 1989-06-20 1999-11-22 松下電器産業株式会社 鉛蓄電池用極板の製造法
US5174827A (en) * 1989-07-26 1992-12-29 Consorzio Ce.Te.V Centro Tecnologie Del Vuoto Double chamber vacuum apparatus for thin layer deposition
US5067218A (en) * 1990-05-21 1991-11-26 Motorola, Inc. Vacuum wafer transport and processing system and method using a plurality of wafer transport arms
US5118642A (en) * 1991-01-24 1992-06-02 Daidousanso Co., Ltd. Method for producing semiconductors
JPH0812846B2 (ja) * 1991-02-15 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置
JPH0669034A (ja) * 1992-08-17 1994-03-11 Daido Steel Co Ltd 棒状多極磁石の着磁コイルとその着磁装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59181648A (ja) * 1983-03-31 1984-10-16 Toshiba Corp 半導体装置の製造方法
JPH0354844A (ja) * 1989-07-21 1991-03-08 Tokyo Electron Ltd レジスト処理方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP0537364A4 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0599317A1 (en) * 1992-11-26 1994-06-01 Nec Corporation Palanarized interlayer insulating film formed of stacked BPSG film and ozone-teos NSG film in semiconductor device, and method for forming the same
US5518962A (en) * 1992-11-26 1996-05-21 Nec Corporation Planarized interlayer insulating film formed of stacked BPSG film and ozone-teos NSG film in semiconductor device and method for forming the same

Also Published As

Publication number Publication date
DE69229431T2 (de) 2000-03-16
EP0537364A4 (en) 1996-01-10
EP0537364B1 (en) 1999-06-16
US5314538A (en) 1994-05-24
JPH05251353A (ja) 1993-09-28
JPH0812847B2 (ja) 1996-02-07
DE69229431D1 (de) 1999-07-22
EP0537364A1 (en) 1993-04-21

Similar Documents

Publication Publication Date Title
WO1992019011A1 (en) Apparatus and method for manufacturing semiconductor device
US11049719B2 (en) Epitaxy system integrated with high selectivity oxide removal and high temperature contaminant removal
KR101814243B1 (ko) 반응관, 기판 처리 장치 및 반도체 장치의 제조 방법
TWI335618B (en) Substrate processing apparatus using a batch processing chamber
JP2729106B2 (ja) ウェファ処理クラスタ・ツール・バッチ予熱及び脱気方法及び装置
US7828900B2 (en) Vacuum film-forming apparatus
US20190062904A1 (en) Integrated epitaxy system high temperature contaminant removal
US20220059342A1 (en) Integrated epitaxy and preclean system
WO2004036630A2 (en) Rapid thermal processing system for integrated circuits
WO2007018139A1 (ja) 半導体装置の製造方法および基板処理装置
JP3769426B2 (ja) 絶縁膜形成装置
WO2008018545A1 (fr) Appareil de traitement de substrat et procédé de fabrication d&#39;un dispositif semi-conducteur
TW201619431A (zh) 基板處理裝置、半導體裝置的製造方法及程式
JP2011058031A (ja) 半導体装置の製造方法及び基板処理装置
US20110000425A1 (en) Method of manufacturing semiconductor device, method of manufacturing substrate, and substrate processing apparatus
JP2011132568A (ja) 半導体装置の製造方法および基板処理装置
JP4563113B2 (ja) シリコン酸化膜の形成方法、半導体デバイスの製造方法および基板処理装置
JP4094901B2 (ja) 成膜方法
CN1279589C (zh) 基板的处理方法和基板的处理装置
WO2007132884A1 (ja) 半導体装置の製造方法および基板処理装置
JP2004055880A (ja) 基板処理装置
JPH0794489A (ja) 処理装置のクリーニング方法
JP2004039795A (ja) 基板処理装置
JPH0917705A (ja) 連続熱処理方法
JP2012256724A (ja) 基板処理装置及び半導体装置の製造方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): US

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH DE DK ES FR GB GR IT LU MC NL SE

WWE Wipo information: entry into national phase

Ref document number: 1992908472

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1992908472

Country of ref document: EP

WWG Wipo information: grant in national office

Ref document number: 1992908472

Country of ref document: EP