JP2729106B2 - ウェファ処理クラスタ・ツール・バッチ予熱及び脱気方法及び装置 - Google Patents
ウェファ処理クラスタ・ツール・バッチ予熱及び脱気方法及び装置Info
- Publication number
- JP2729106B2 JP2729106B2 JP4505400A JP50540092A JP2729106B2 JP 2729106 B2 JP2729106 B2 JP 2729106B2 JP 4505400 A JP4505400 A JP 4505400A JP 50540092 A JP50540092 A JP 50540092A JP 2729106 B2 JP2729106 B2 JP 2729106B2
- Authority
- JP
- Japan
- Prior art keywords
- wafers
- module
- wafer
- load
- batch
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 238000012545 processing Methods 0.000 title claims description 66
- 238000007872 degassing Methods 0.000 title description 3
- 235000012431 wafers Nutrition 0.000 claims description 232
- 230000032258 transport Effects 0.000 claims description 62
- 238000007781 pre-processing Methods 0.000 claims description 35
- 238000000034 method Methods 0.000 claims description 29
- 238000010438 heat treatment Methods 0.000 claims description 24
- 230000008569 process Effects 0.000 claims description 19
- 239000011248 coating agent Substances 0.000 claims description 11
- 238000000576 coating method Methods 0.000 claims description 11
- 238000005530 etching Methods 0.000 claims description 11
- 238000012546 transfer Methods 0.000 claims description 9
- 230000007723 transport mechanism Effects 0.000 claims description 7
- 238000004891 communication Methods 0.000 claims 8
- 238000007789 sealing Methods 0.000 claims 4
- 238000003672 processing method Methods 0.000 claims 2
- 238000005086 pumping Methods 0.000 claims 2
- 239000000969 carrier Substances 0.000 claims 1
- 230000000717 retained effect Effects 0.000 claims 1
- 239000010453 quartz Substances 0.000 description 13
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 13
- 239000007789 gas Substances 0.000 description 9
- 238000011068 loading method Methods 0.000 description 8
- 238000004544 sputter deposition Methods 0.000 description 7
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 6
- 229910052710 silicon Inorganic materials 0.000 description 6
- 239000010703 silicon Substances 0.000 description 6
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 6
- 239000000356 contaminant Substances 0.000 description 5
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 230000008878 coupling Effects 0.000 description 4
- 238000010168 coupling process Methods 0.000 description 4
- 238000005859 coupling reaction Methods 0.000 description 4
- 239000000463 material Substances 0.000 description 4
- 239000002184 metal Substances 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 3
- 239000004033 plastic Substances 0.000 description 3
- 230000004044 response Effects 0.000 description 3
- 239000000758 substrate Substances 0.000 description 3
- 239000004743 Polypropylene Substances 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 230000002950 deficient Effects 0.000 description 2
- 238000003795 desorption Methods 0.000 description 2
- -1 polypropylene Polymers 0.000 description 2
- 229920001155 polypropylene Polymers 0.000 description 2
- 238000000992 sputter etching Methods 0.000 description 2
- 238000013459 approach Methods 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 238000001311 chemical methods and process Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000007599 discharging Methods 0.000 description 1
- 230000020169 heat generation Effects 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 238000002329 infrared spectrum Methods 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 238000009434 installation Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 238000002203 pretreatment Methods 0.000 description 1
- 230000002035 prolonged effect Effects 0.000 description 1
- 238000000746 purification Methods 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 239000002351 wastewater Substances 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67115—Apparatus for thermal treatment mainly by radiation
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01J—MEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
- G01J5/00—Radiation pyrometry, e.g. infrared or optical thermometry
- G01J5/0003—Radiation pyrometry, e.g. infrared or optical thermometry for sensing the radiant heat transfer of samples, e.g. emittance meter
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01J—MEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
- G01J5/00—Radiation pyrometry, e.g. infrared or optical thermometry
- G01J5/0003—Radiation pyrometry, e.g. infrared or optical thermometry for sensing the radiant heat transfer of samples, e.g. emittance meter
- G01J5/0007—Radiation pyrometry, e.g. infrared or optical thermometry for sensing the radiant heat transfer of samples, e.g. emittance meter of wafers or semiconductor substrates, e.g. using Rapid Thermal Processing
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01J—MEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
- G01J5/00—Radiation pyrometry, e.g. infrared or optical thermometry
- G01J5/02—Constructional details
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01J—MEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
- G01J5/00—Radiation pyrometry, e.g. infrared or optical thermometry
- G01J5/02—Constructional details
- G01J5/0275—Control or determination of height or distance or angle information for sensors or receivers
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01J—MEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
- G01J5/00—Radiation pyrometry, e.g. infrared or optical thermometry
- G01J5/02—Constructional details
- G01J5/07—Arrangements for adjusting the solid angle of collected radiation, e.g. adjusting or orienting field of view, tracking position or encoding angular position
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01J—MEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
- G01J5/00—Radiation pyrometry, e.g. infrared or optical thermometry
- G01J5/02—Constructional details
- G01J5/08—Optical arrangements
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67167—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67184—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67248—Temperature monitoring
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S414/00—Material or article handling
- Y10S414/135—Associated with semiconductor wafer handling
- Y10S414/139—Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/907—Continuous processing
- Y10S438/908—Utilizing cluster apparatus
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Health & Medical Sciences (AREA)
- Toxicology (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Testing Or Measuring Of Semiconductors Or The Like (AREA)
Description
【発明の詳細な説明】 本発明は半導体ウェファのようなウェファの処理に関
し、特に、シリコン・ウェファの表面に付いた及び吸収
された水蒸気及び他のガスのような不純物を、ウェファ
のコーティング又はエッチングに先立って除去すること
に関する。本発明な更に特に、クラスタ・ツール(clus
ter tool)のようなモジュール型処理システム内で個別
に処理されるウェファを予熱することによる予処理に関
する。
し、特に、シリコン・ウェファの表面に付いた及び吸収
された水蒸気及び他のガスのような不純物を、ウェファ
のコーティング又はエッチングに先立って除去すること
に関する。本発明な更に特に、クラスタ・ツール(clus
ter tool)のようなモジュール型処理システム内で個別
に処理されるウェファを予熱することによる予処理に関
する。
発明の背景 シリコン・ウェファにコーティング又はエッチング処
理を施す場合、ウェファの表面上に付いた又はその中に
含有されたガスが存在すると、集積回路及び半導体デバ
イスの製造に重大な問題を起す。デバイスがより小型化
し、その製造プロセスがより精密になると共に、コーテ
ィング又はエッチングを施される表面上に存在する蒸気
の分子は欠陥デバイスを作る顕著な原因になる。例えば
スパッタリングによってコーティングやエッチング処理
が行われるとき、表面上に異物粒子が付いていれば、こ
れによって顕微鏡的な範囲の処理されない区域が残る。
こうしてその処理されない区域をもったデバイスの主要
回路又は電気特性は欠陥性のものになり、デバイスは使
用できない。
理を施す場合、ウェファの表面上に付いた又はその中に
含有されたガスが存在すると、集積回路及び半導体デバ
イスの製造に重大な問題を起す。デバイスがより小型化
し、その製造プロセスがより精密になると共に、コーテ
ィング又はエッチングを施される表面上に存在する蒸気
の分子は欠陥デバイスを作る顕著な原因になる。例えば
スパッタリングによってコーティングやエッチング処理
が行われるとき、表面上に異物粒子が付いていれば、こ
れによって顕微鏡的な範囲の処理されない区域が残る。
こうしてその処理されない区域をもったデバイスの主要
回路又は電気特性は欠陥性のものになり、デバイスは使
用できない。
水蒸気のようなガスは通常ウェファの表面上に存在
し、そして、典型的には緘封された真空環境を含む処理
機械の中へウェファが導入されるときにウェファの体部
内に含有されている。ウェファにコーティング又はエッ
チング処理が行われる間、多くの場合熱がウェファに加
えられ、又はその処理プロセス自体から熱が発生する。
あるいはその加熱と発熱の両方が行われる。プラズマを
もって、又はもたずに行われる、スパッタリングのコー
ティング及びエッチング処理、化学的蒸着処理(CV
D)、及びその他の物理的又は化学的コーティング及び
エッチング処理では、この処理プロセスを最適に進める
ため多くの場合ウェファ基板を例えば500℃又は1000℃
の温度まで上げることが必要とされる。ウェファに適当
な加熱を行わなくても、ウェファ表面の物理的及び化学
反応のプロセスによって熱が発生されるのが普通であ
り、この熱によってウェファ自体の温度が例えば600℃
まで上げられる。この結果、基板のシリコン内部に埋没
していた水分子が解放され、処理される表面に浮上して
その表面の一部の区域をコーティング又はエッチング処
理から遮へいするか、あるいは処理ガスと反応する。
し、そして、典型的には緘封された真空環境を含む処理
機械の中へウェファが導入されるときにウェファの体部
内に含有されている。ウェファにコーティング又はエッ
チング処理が行われる間、多くの場合熱がウェファに加
えられ、又はその処理プロセス自体から熱が発生する。
あるいはその加熱と発熱の両方が行われる。プラズマを
もって、又はもたずに行われる、スパッタリングのコー
ティング及びエッチング処理、化学的蒸着処理(CV
D)、及びその他の物理的又は化学的コーティング及び
エッチング処理では、この処理プロセスを最適に進める
ため多くの場合ウェファ基板を例えば500℃又は1000℃
の温度まで上げることが必要とされる。ウェファに適当
な加熱を行わなくても、ウェファ表面の物理的及び化学
反応のプロセスによって熱が発生されるのが普通であ
り、この熱によってウェファ自体の温度が例えば600℃
まで上げられる。この結果、基板のシリコン内部に埋没
していた水分子が解放され、処理される表面に浮上して
その表面の一部の区域をコーティング又はエッチング処
理から遮へいするか、あるいは処理ガスと反応する。
ウェファを真空中で所定の時間高温に加熱又は焼熱す
ることによってウェファからガス及び水蒸気の少なくと
も一部を除去できることが認められている。その所定の
時間というのは、ある特別の装置内でウェファを完全に
処理するのに要する時間より何倍も長いものになる。
ることによってウェファからガス及び水蒸気の少なくと
も一部を除去できることが認められている。その所定の
時間というのは、ある特別の装置内でウェファを完全に
処理するのに要する時間より何倍も長いものになる。
クラスタ・ツールのような処理機械において、複数個
の様々に異なり且つランダムにアクセスできる処理モジ
ュールが1つの共通のウェファ取扱い又は輸送モジュー
ルに結合され、この輸送モジュール内でウェファは連続
的に真空圧力レベルに保持され、又そのモジュールに対
してウェファが外部大気圧環境から1つ又はそれ以上の
ロード−ロック(load−lock)を通って入ったり出たり
するように移送される。ウェファ処理において、汚染物
の除去を効果的にするためのウェファの予処理は、ウェ
ファが内部真空環境の中に緘封された後で行わなければ
ならず、ウェファは処理が済むまでその真空環境から取
出されない。そのようなクラスタ・ツールにおいて、ウ
ェファからガスその他の溶解している汚染物を除去する
に必要な時間のウェファの予熱は、機械の生産性又はス
ループットをしばしば著しく低減させる段階になる。
の様々に異なり且つランダムにアクセスできる処理モジ
ュールが1つの共通のウェファ取扱い又は輸送モジュー
ルに結合され、この輸送モジュール内でウェファは連続
的に真空圧力レベルに保持され、又そのモジュールに対
してウェファが外部大気圧環境から1つ又はそれ以上の
ロード−ロック(load−lock)を通って入ったり出たり
するように移送される。ウェファ処理において、汚染物
の除去を効果的にするためのウェファの予処理は、ウェ
ファが内部真空環境の中に緘封された後で行わなければ
ならず、ウェファは処理が済むまでその真空環境から取
出されない。そのようなクラスタ・ツールにおいて、ウ
ェファからガスその他の溶解している汚染物を除去する
に必要な時間のウェファの予熱は、機械の生産性又はス
ループットをしばしば著しく低減させる段階になる。
米国特許第4,923,584号には、複数個のウェファのバ
ッチをウェファ処理クラスタ・ツールのロード−ロック
又はカセット・モジュール内に保持して、ウェファに吸
収された汚染物をウェファから除去するためにそれらバ
ッチのウェファを加熱する装置が記載されている。この
ような装置において、ロード−ロックが大気に対して開
かれている間に1バッチのウェファがロード−ロック扉
を通してロード−ロック内に装入される。ロード−ロッ
クが緘封され、そしてポンプで真空にされた後、そのバ
ッチのウェファが加熱される。加熱が終了すると、ロー
ド−ロックはクラスタ・ツールの内部に対して開かれ、
そこでウェファが個別にロード−ロックから取出され、
そして1つずつ様々な処理モジュールへと移送される。
上記特許の装置では2つのロード−ロックが備えられ、
そこで一式のロード−ロックで1つのバッチのウェファ
の長時間の加熱を行っている間に、他方のロード−ロッ
クで別のウェファ・バッチの装入又は装出を行うことが
できる。しかしながら2つのロード−ロック機械の一方
のロード−ロックが長時間の処理に占有されることによ
って、2つのロード−ロックを備えたことによる機械の
装入と装出の融通性が奪われ、この結果場合によっては
機械の操作の遅延が生じる。
ッチをウェファ処理クラスタ・ツールのロード−ロック
又はカセット・モジュール内に保持して、ウェファに吸
収された汚染物をウェファから除去するためにそれらバ
ッチのウェファを加熱する装置が記載されている。この
ような装置において、ロード−ロックが大気に対して開
かれている間に1バッチのウェファがロード−ロック扉
を通してロード−ロック内に装入される。ロード−ロッ
クが緘封され、そしてポンプで真空にされた後、そのバ
ッチのウェファが加熱される。加熱が終了すると、ロー
ド−ロックはクラスタ・ツールの内部に対して開かれ、
そこでウェファが個別にロード−ロックから取出され、
そして1つずつ様々な処理モジュールへと移送される。
上記特許の装置では2つのロード−ロックが備えられ、
そこで一式のロード−ロックで1つのバッチのウェファ
の長時間の加熱を行っている間に、他方のロード−ロッ
クで別のウェファ・バッチの装入又は装出を行うことが
できる。しかしながら2つのロード−ロック機械の一方
のロード−ロックが長時間の処理に占有されることによ
って、2つのロード−ロックを備えたことによる機械の
装入と装出の融通性が奪われ、この結果場合によっては
機械の操作の遅延が生じる。
又、ロード−ロック内でバッチ加熱を行うことにも幾
つかの問題がある。ウェファ処理クラスタ・ツールで使
用される工業規格のカセットは普通ポリプロピレンのよ
うなプラスチックで作られている。理想的には、カセッ
トは、ウェファを予装入されて外部からロード−ロック
内に置かれ、そしてそのロード−ロックの中でウェファ
と共に緘封される。しかしプラスチック材料は、ウェフ
ァからガスを除去する効果的な加熱に要する温度に耐え
ることができない。従って前記特許では、ロード−ロッ
ク内で金属のラック又はホルダを使用することを提示し
ている。このラックはロード−ロックにおいて規格のカ
セットと置換えなければならず、あるいは又はそのカセ
ットからウェファの装入を行わなければならない。又、
金属のウェファ・ホルダでは、これとウェファとの接触
地点又はこれの近傍でホルダから金属原子がウェファ内
へ伝播するため、場合によってはウェファを汚染する。
ロード−ロックへのウェファの装入と装出は通常人手に
よって行われるから、脆性のクォーツ・ラックを使用す
るのは実用的でない。更に、ロード−ロック内で特殊な
ラックを使用することは、ロード−ロックへの工業規格
カセットの挿入をできなくするだけのことである。この
場合、ロード−ロックにおいてか又はこれから離れた外
部の場所において、別の装入及び装出段階が必要にな
る。
つかの問題がある。ウェファ処理クラスタ・ツールで使
用される工業規格のカセットは普通ポリプロピレンのよ
うなプラスチックで作られている。理想的には、カセッ
トは、ウェファを予装入されて外部からロード−ロック
内に置かれ、そしてそのロード−ロックの中でウェファ
と共に緘封される。しかしプラスチック材料は、ウェフ
ァからガスを除去する効果的な加熱に要する温度に耐え
ることができない。従って前記特許では、ロード−ロッ
ク内で金属のラック又はホルダを使用することを提示し
ている。このラックはロード−ロックにおいて規格のカ
セットと置換えなければならず、あるいは又はそのカセ
ットからウェファの装入を行わなければならない。又、
金属のウェファ・ホルダでは、これとウェファとの接触
地点又はこれの近傍でホルダから金属原子がウェファ内
へ伝播するため、場合によってはウェファを汚染する。
ロード−ロックへのウェファの装入と装出は通常人手に
よって行われるから、脆性のクォーツ・ラックを使用す
るのは実用的でない。更に、ロード−ロック内で特殊な
ラックを使用することは、ロード−ロックへの工業規格
カセットの挿入をできなくするだけのことである。この
場合、ロード−ロックにおいてか又はこれから離れた外
部の場所において、別の装入及び装出段階が必要にな
る。
しかし重要なことは、ロード−ロック内で加熱を行う
場合、ロード−ロックの加熱される内部構造体が大気へ
晒され、そこで空気中の酸素と水蒸気の接触による腐食
の可能性が増大するという問題である。更に、内容物が
高温であるときにロード−ロックが開かれた場合、ロー
ド−ロックへの装入装出を行う人物をその高温に晒す危
険性もある。この危険を避けるためには、費用を掛けて
機械に前端ロボットを備えるか、あるいは生産時間を犠
牲にしてロード−ロックが冷却するのを待たなければな
らない。
場合、ロード−ロックの加熱される内部構造体が大気へ
晒され、そこで空気中の酸素と水蒸気の接触による腐食
の可能性が増大するという問題である。更に、内容物が
高温であるときにロード−ロックが開かれた場合、ロー
ド−ロックへの装入装出を行う人物をその高温に晒す危
険性もある。この危険を避けるためには、費用を掛けて
機械に前端ロボットを備えるか、あるいは生産時間を犠
牲にしてロード−ロックが冷却するのを待たなければな
らない。
上記のような従来技術の努力にも拘らず、従来技術の
欠点と危険性をプロセスに導入することなく、ウェファ
の処理に先立って処理装置の緘封された環境内でウェフ
ァの表面と体部からガス及びその他の吸収された汚染物
を除去するための有効且つ効率的な方法と装置を提供す
る必要がなお残されているのである。
欠点と危険性をプロセスに導入することなく、ウェファ
の処理に先立って処理装置の緘封された環境内でウェフ
ァの表面と体部からガス及びその他の吸収された汚染物
を除去するための有効且つ効率的な方法と装置を提供す
る必要がなお残されているのである。
WO−A−9010949は、処理される基板のバッチをそれ
ぞれに収受できる多処理室を有するクラスタ・ツールを
記載する。このツールは浄化室を備える。
ぞれに収受できる多処理室を有するクラスタ・ツールを
記載する。このツールは浄化室を備える。
発明の摘要 本発明の主要な目的は、ウェファと、予処理に使用さ
れる構造体との両方を外気に接触させないようにしなが
らウェファを予処理できる、ウェファ処理機械、特にモ
ジュール・クラスタ・ツール型の機械のバッチ予熱性能
を提供することである。
れる構造体との両方を外気に接触させないようにしなが
らウェファを予処理できる、ウェファ処理機械、特にモ
ジュール・クラスタ・ツール型の機械のバッチ予熱性能
を提供することである。
本発明の他の目的は、ウェファ処理装置の速度と融通
性を落さず、むしろ増加する、バッチ予処理性能を提供
することである。
性を落さず、むしろ増加する、バッチ予処理性能を提供
することである。
本発明の更に特別な目的は、クラスタ・ツールの操作
中その内部環境とのみ連絡する、シリコン半導体ウェフ
ァの予処理を行う、バッチ予熱、脱気、及び脱着モジュ
ールを提供することである。
中その内部環境とのみ連絡する、シリコン半導体ウェフ
ァの予処理を行う、バッチ予熱、脱気、及び脱着モジュ
ールを提供することである。
本発明に更に特殊な目的は、機械のより高速な処理を
行うのに要求されるスループット率を維持しながら、ウ
ェファを長い時間の制御される予処理に掛けることがで
きる、ウェファ処理クラスタ・ツールのバッチ予熱モジ
ュール構成を提供することである。
行うのに要求されるスループット率を維持しながら、ウ
ェファを長い時間の制御される予処理に掛けることがで
きる、ウェファ処理クラスタ・ツールのバッチ予熱モジ
ュール構成を提供することである。
本発明の原理によれば、ロード−ロックより先方の機
械の不活性真空環境に連続的に維持される地点、好適に
はハブ又は輸送モジュールにおいてウェファ処理クラス
タ・ツールの内部環境を結合する、そのクラスタ・ツー
ルのためのバッチ予熱モジュールが提供される。
械の不活性真空環境に連続的に維持される地点、好適に
はハブ又は輸送モジュールにおいてウェファ処理クラス
タ・ツールの内部環境を結合する、そのクラスタ・ツー
ルのためのバッチ予熱モジュールが提供される。
本発明の好適な実施例によれば、クラスタ・ツールが
複数個の、好適には2個のバッチ予熱室を有し、これら
予熱室がそれぞれ輸送モジュール、好適には同じ輸送モ
ジュールに結合し、そしてこの輸送モジュールからのみ
予熱室に対する装入と装出が行われるような、クラスタ
・ツールの構成が提供される。予熱室が結合される輸送
モジュールは更に1つ又はそれ以上のロード−ロック・
モジュールに結合され、そしてこのロード−ロック・モ
ジュールを通して輸送モジュールに対するウェファの装
入と装出が行われ、これにより外部環境からウェファが
取入れられ、又そこへ取出され、そしてこの間輸送モジ
ュールは外部環境から連続的に隔離されている。
複数個の、好適には2個のバッチ予熱室を有し、これら
予熱室がそれぞれ輸送モジュール、好適には同じ輸送モ
ジュールに結合し、そしてこの輸送モジュールからのみ
予熱室に対する装入と装出が行われるような、クラスタ
・ツールの構成が提供される。予熱室が結合される輸送
モジュールは更に1つ又はそれ以上のロード−ロック・
モジュールに結合され、そしてこのロード−ロック・モ
ジュールを通して輸送モジュールに対するウェファの装
入と装出が行われ、これにより外部環境からウェファが
取入れられ、又そこへ取出され、そしてこの間輸送モジ
ュールは外部環境から連続的に隔離されている。
上記対のモジュールは、その一方が最初に輸送モジュ
ールからウェファを逐次的に装入され、それからバッチ
として加熱される。この加熱が行われている間に、他方
の予熱モジュールが同様にして第2バッチのウェファを
装入される。第1バッチのウェファが所要の長時間加熱
された後、それらウェファはそこから逐次的に別の処理
ステーションへ移送され、それからロード−ロックへ送
られて機械から取出され、そしてこの間には第2ロード
−ロック内の第2バッチのウェファが加熱される。第1
予熱モジュールが予処理されたウェファを取出されて空
になった後、その第1予熱モジュールは再び第3バッチ
のウェファを装入され、そしてその間第2予熱モジュー
ルはなお第2バッチのウェファを長時間の加熱に掛けて
いる。
ールからウェファを逐次的に装入され、それからバッチ
として加熱される。この加熱が行われている間に、他方
の予熱モジュールが同様にして第2バッチのウェファを
装入される。第1バッチのウェファが所要の長時間加熱
された後、それらウェファはそこから逐次的に別の処理
ステーションへ移送され、それからロード−ロックへ送
られて機械から取出され、そしてこの間には第2ロード
−ロック内の第2バッチのウェファが加熱される。第1
予熱モジュールが予処理されたウェファを取出されて空
になった後、その第1予熱モジュールは再び第3バッチ
のウェファを装入され、そしてその間第2予熱モジュー
ルはなお第2バッチのウェファを長時間の加熱に掛けて
いる。
ここでいう長時間の加熱(prolonged heating)と
は、予熱プロセス時間が、クラスタ・ツールのその他の
モジュールで行われるプロセスの平均的な時間より長
い、多分4倍から8倍長いということを意味する。そこ
で、ウェファの最初のバッチが一方の予処理モジュール
内で予熱された後その一方の予熱モジュールからウェフ
ァが機械のその他の処理室へ移送され、又ここから戻さ
れる間、別のバッチが予熱されて次の処理へ送される状
態にされ、従って機械の主要処理の生産性が損われるこ
とがないのである。
は、予熱プロセス時間が、クラスタ・ツールのその他の
モジュールで行われるプロセスの平均的な時間より長
い、多分4倍から8倍長いということを意味する。そこ
で、ウェファの最初のバッチが一方の予処理モジュール
内で予熱された後その一方の予熱モジュールからウェフ
ァが機械のその他の処理室へ移送され、又ここから戻さ
れる間、別のバッチが予熱されて次の処理へ送される状
態にされ、従って機械の主要処理の生産性が損われるこ
とがないのである。
本発明の好適な実施例によれば、各バッチ予熱モジュ
ールが、25個のシリコン・ウェファを完全に装入された
規格カセットを保持できる可動のクォーツのラックを備
える。このラックはエレベータによって垂直方向に動か
され、垂直方向に相互に離間した水平方向のウェファを
保持するスロットのそれぞれを規格のMESAゲート弁の位
置へと送ることができる。そのゲート弁を通して、予処
理モジュールが結合されている輸送モジュールから当該
バッチのウェファが1つずつ装入され、又装出される。
好適には2つの余分のウェファ保持位置がラックに設け
られてダミィのウェファ又はシールドを保持する。これ
らダミィ・ウェファ又はシールドは吸熱性を有するもの
とされ、この特性によって、ラックの最上部と最下部の
ウェファが中間部のウェファと同じ率で加熱されるよう
にする。ラックの制御装置は、当該バッチのウェファ
を、これらの間の隙間又は不充填位置が無いようにして
装入するようにラックを動かし、そして、ウェファの充
満したカセットの装入される余裕がある場合必要であれ
ばシールドをバッチ処理の予処理モジュール内へ動かす
ように、プログラムを組まれる。このプログラミングに
よって、予処理されるバッチの寸法に拘わらず、予熱処
理の予測可能性と均等性が強化される。
ールが、25個のシリコン・ウェファを完全に装入された
規格カセットを保持できる可動のクォーツのラックを備
える。このラックはエレベータによって垂直方向に動か
され、垂直方向に相互に離間した水平方向のウェファを
保持するスロットのそれぞれを規格のMESAゲート弁の位
置へと送ることができる。そのゲート弁を通して、予処
理モジュールが結合されている輸送モジュールから当該
バッチのウェファが1つずつ装入され、又装出される。
好適には2つの余分のウェファ保持位置がラックに設け
られてダミィのウェファ又はシールドを保持する。これ
らダミィ・ウェファ又はシールドは吸熱性を有するもの
とされ、この特性によって、ラックの最上部と最下部の
ウェファが中間部のウェファと同じ率で加熱されるよう
にする。ラックの制御装置は、当該バッチのウェファ
を、これらの間の隙間又は不充填位置が無いようにして
装入するようにラックを動かし、そして、ウェファの充
満したカセットの装入される余裕がある場合必要であれ
ばシールドをバッチ処理の予処理モジュール内へ動かす
ように、プログラムを組まれる。このプログラミングに
よって、予処理されるバッチの寸法に拘わらず、予熱処
理の予測可能性と均等性が強化される。
本発明の好適な実施例の予処理モジュールは、室の両
側でクォーツ窓の外側に配置される2列のクォーツIRラ
ンプを備える。クォーツ窓は、内部のウェファを加熱す
るための、可視光線の一部と赤外線のスペクトルを含む
広い帯域の放射エネルギーを通過させる。
側でクォーツ窓の外側に配置される2列のクォーツIRラ
ンプを備える。クォーツ窓は、内部のウェファを加熱す
るための、可視光線の一部と赤外線のスペクトルを含む
広い帯域の放射エネルギーを通過させる。
加熱を均等にするため、好適にはモジュールの内面
は、ステンレス鋼又はその他の同様な材料のような高反
射性の材料で作られ、そして必要であればその外側が断
熱または冷却される。更に、ランプによる加熱の均等性
を一層高めるため、ラックが予熱室内で緩っくり回転す
るように作られる。
は、ステンレス鋼又はその他の同様な材料のような高反
射性の材料で作られ、そして必要であればその外側が断
熱または冷却される。更に、ランプによる加熱の均等性
を一層高めるため、ラックが予熱室内で緩っくり回転す
るように作られる。
ランプは、処理されているウェファの表面に接触しな
い温度センサからの温度モニタ信号に応答する温度制御
装置によって制御される。温度センサは好適にはパイロ
メータとされ、そして窓を通してウェファの表面に向け
られる。例えば、当該バッチの最上部ウェファの上面又
はデバイス面に対して下方向に、あるいは又好適に、室
の側部からバッチの幾つかのウェファの底面又は裏面に
対して少しく上向きの傾斜した角度で向けられる。温度
センサは、IRランプからの反射光がセンサに入るのを遮
断し、予熱されているウェファ以外の表面からの放射熱
を検出しないようにさせるシールド構造体を備える。
又、変化形、あるいは追加形として、センサは、室のク
ォーツ窓を通過するランプからの放射熱以外の、異なる
波長、例えばより長い波長の、ウェファからの放射熱に
応答するように選択される。あるいは又、温度の感知
を、予熱室内のダミィ・ウェファのような物体に直接接
触するセンサによって行うようにすることもできよう。
センサの出力は、ウェファの実際の温度と適合するよう
に校正される。ランプの制御装置は更に、温度センサか
らのフィードバック信号に応答してランプへ送られるエ
ネルギーをより正確に制御するように、ウェファの加熱
曲線を予測する論理装置を備える。
い温度センサからの温度モニタ信号に応答する温度制御
装置によって制御される。温度センサは好適にはパイロ
メータとされ、そして窓を通してウェファの表面に向け
られる。例えば、当該バッチの最上部ウェファの上面又
はデバイス面に対して下方向に、あるいは又好適に、室
の側部からバッチの幾つかのウェファの底面又は裏面に
対して少しく上向きの傾斜した角度で向けられる。温度
センサは、IRランプからの反射光がセンサに入るのを遮
断し、予熱されているウェファ以外の表面からの放射熱
を検出しないようにさせるシールド構造体を備える。
又、変化形、あるいは追加形として、センサは、室のク
ォーツ窓を通過するランプからの放射熱以外の、異なる
波長、例えばより長い波長の、ウェファからの放射熱に
応答するように選択される。あるいは又、温度の感知
を、予熱室内のダミィ・ウェファのような物体に直接接
触するセンサによって行うようにすることもできよう。
センサの出力は、ウェファの実際の温度と適合するよう
に校正される。ランプの制御装置は更に、温度センサか
らのフィードバック信号に応答してランプへ送られるエ
ネルギーをより正確に制御するように、ウェファの加熱
曲線を予測する論理装置を備える。
本発明は、シリコン・ウェファから、これらの表面に
付いた、又は吸収された蒸気及びガス汚染物を除去し、
これによりコーティング及びエッチング処理における欠
陥の形成を防止又は実質的に減少させるという利点を備
えるものである。ウェファが処理装置の真空環境に入っ
た後で予熱されることにより、予処理プログラムの効果
が高められる。機械の不活性真空環境の内部からのみア
クセスできるバッチ予熱室を備えることにより、加熱さ
れた内部機械要素が大気へ露呈されることが回避され、
作業者が加熱されたカセットへ露呈されることが回避さ
れ、そしてロード−ロック内で通常のカセットが使用で
きるようになる。本発明は優れて均等且つ制御可能なウ
ェファの予処理を行えるようにする。本発明の単一予処
理モジュール及び多予処理モジュール構成によって処理
装置の効率的な使用と高い生産性が可能になる。
付いた、又は吸収された蒸気及びガス汚染物を除去し、
これによりコーティング及びエッチング処理における欠
陥の形成を防止又は実質的に減少させるという利点を備
えるものである。ウェファが処理装置の真空環境に入っ
た後で予熱されることにより、予処理プログラムの効果
が高められる。機械の不活性真空環境の内部からのみア
クセスできるバッチ予熱室を備えることにより、加熱さ
れた内部機械要素が大気へ露呈されることが回避され、
作業者が加熱されたカセットへ露呈されることが回避さ
れ、そしてロード−ロック内で通常のカセットが使用で
きるようになる。本発明は優れて均等且つ制御可能なウ
ェファの予処理を行えるようにする。本発明の単一予処
理モジュール及び多予処理モジュール構成によって処理
装置の効率的な使用と高い生産性が可能になる。
以下に図面を参照して行う詳細な記述から本発明の上
記の及びその他の目的と長所が明らかになろう。
記の及びその他の目的と長所が明らかになろう。
図面の簡単な説明 第1図は、本発明の原理によるクラスタ・ツール構成
の平面概要図である。
の平面概要図である。
第2図は、本発明の1つの実施例による第1図のクラ
スタ・ツールの予処理モジュールの斜視図である。
スタ・ツールの予処理モジュールの斜視図である。
第3図は、第2図の予処理モジュールの断面側面図で
ある。
ある。
第4図は、第3図の予処理モジュールの、4−4線に
沿った頂部断面図である。
沿った頂部断面図である。
図面の詳細な説明 第1図は本発明の好適な実施例のクラスタ・ツール10
を示す。このクラスタ・ツール10は1つ又はそれ以上の
輸送モジュール、図示の実施例では前部輸送モジュール
12と後部輸送モジュール14との2つの輸送モジュールを
備える。このような型式の輸送モジュールは、マサチュ
ーセッツ州、ノースベレリカのブルックス・オートメー
ション(Brooks Automation)でLTSシリーズ・モジュー
ルとして製造されている。輸送モジュール12、14は、こ
れの内部室を、半導体ウェファの処理に適した真空圧力
レベルに維持するための真空ポンプ(図示せず)を備え
ている。各輸送モジュールは多角形であって、複数個の
側部を有し、これら側部のそれぞれがこれを貫通するウ
ェファ移送口を備え、この口によって処理又はウェファ
取扱いモジュールと結合する。各口は、真空環境内で相
互に連結したモジュール間のウェファの移送を可能にす
る。各口は1つ又はそれ以上のゲート弁、典型的にはME
SA規格ゲート弁を備え、このゲート弁は移送の環境と処
理又は取扱いモジュールとを隔離させる。それらの弁は
輸送モジュール12、14に恒久的に取付けられていて、輸
送モジュールの真空を破ることなしに処理又は取扱いモ
ジュールの取外しを行えるようにする。隣接の各モジュ
ールが輸送モジュールから取外されるとき、それらモジ
ュール内の真空を保持するための第2ゲート弁をそれら
隣接モジュールに備えてもよい。
を示す。このクラスタ・ツール10は1つ又はそれ以上の
輸送モジュール、図示の実施例では前部輸送モジュール
12と後部輸送モジュール14との2つの輸送モジュールを
備える。このような型式の輸送モジュールは、マサチュ
ーセッツ州、ノースベレリカのブルックス・オートメー
ション(Brooks Automation)でLTSシリーズ・モジュー
ルとして製造されている。輸送モジュール12、14は、こ
れの内部室を、半導体ウェファの処理に適した真空圧力
レベルに維持するための真空ポンプ(図示せず)を備え
ている。各輸送モジュールは多角形であって、複数個の
側部を有し、これら側部のそれぞれがこれを貫通するウ
ェファ移送口を備え、この口によって処理又はウェファ
取扱いモジュールと結合する。各口は、真空環境内で相
互に連結したモジュール間のウェファの移送を可能にす
る。各口は1つ又はそれ以上のゲート弁、典型的にはME
SA規格ゲート弁を備え、このゲート弁は移送の環境と処
理又は取扱いモジュールとを隔離させる。それらの弁は
輸送モジュール12、14に恒久的に取付けられていて、輸
送モジュールの真空を破ることなしに処理又は取扱いモ
ジュールの取外しを行えるようにする。隣接の各モジュ
ールが輸送モジュールから取外されるとき、それらモジ
ュール内の真空を保持するための第2ゲート弁をそれら
隣接モジュールに備えてもよい。
輸送モジュール12、14は連結コンジット及びアライナ
16によって相互に連結される。このアライナは輸送モジ
ュール12、14の内部どうしをつないで、一定の真空レベ
ルと1つの共通の雰囲気をもった1つの共通の輸送室18
を形成する。輸送モジュール12、14内にウェファ輸送機
構又はロボット腕20が備えられ、このロボット腕は各モ
ジュール12、14の中心軸心周りで回転し、そして口を通
って伸び、処理モジュール、取扱いモジュール、及び他
の輸送モジュールを含む隣接のモジュールにウェファを
装入したり、そこから装出したりする。
16によって相互に連結される。このアライナは輸送モジ
ュール12、14の内部どうしをつないで、一定の真空レベ
ルと1つの共通の雰囲気をもった1つの共通の輸送室18
を形成する。輸送モジュール12、14内にウェファ輸送機
構又はロボット腕20が備えられ、このロボット腕は各モ
ジュール12、14の中心軸心周りで回転し、そして口を通
って伸び、処理モジュール、取扱いモジュール、及び他
の輸送モジュールを含む隣接のモジュールにウェファを
装入したり、そこから装出したりする。
後部輸送モジュール14は、6個の隣接モジュールと結
合する6つのモジュール結合面又は側部をもっている。
これら側部の1つに、図示の実施例では、ウェファの表
面の清掃又は軟エッチング予調整を行う軟エッチング・
モジュール22が結合される。第2の側部に第1スパッタ
・コーティング・モジュール24が結合される。このモジ
ュールは、普通モジュール22の軟エッチング処理に続い
て、スパッタ・コーティング処理を行ってウェファの表
面に均等なコーティングを着ける。第3の側部に又別の
処理モジュール、迅速熱処理(RTP)モジュール26が結
合され、ウェファを例えば1000℃の高温で迅速に処理
し、この高温熱処理によって、例えばスパッタリング・
モジュール24におけるスパッタ・コーティング処理によ
って先に着けられた金属コーティングを焼鈍又は調質す
る。第4側部には又別の処理モジュール、例えばスパッ
タ・エッチング・モジュール又はその他のスパッタ・コ
ーティング・モジュールのようなスパッタリング・モジ
ュール28が結合される。第5側部には、例えば化学蒸着
(CVD)モジュールのような別の処理モジュール30が結
合される。それらモジュール及びこれの中で行われる処
理の型式は、クラスタ・ツールによってなされる他の処
理と関連してウェファに加えられるに適した任意の処理
とされる。輸送モジュールの第6の面はアライナ16と結
合する。
合する6つのモジュール結合面又は側部をもっている。
これら側部の1つに、図示の実施例では、ウェファの表
面の清掃又は軟エッチング予調整を行う軟エッチング・
モジュール22が結合される。第2の側部に第1スパッタ
・コーティング・モジュール24が結合される。このモジ
ュールは、普通モジュール22の軟エッチング処理に続い
て、スパッタ・コーティング処理を行ってウェファの表
面に均等なコーティングを着ける。第3の側部に又別の
処理モジュール、迅速熱処理(RTP)モジュール26が結
合され、ウェファを例えば1000℃の高温で迅速に処理
し、この高温熱処理によって、例えばスパッタリング・
モジュール24におけるスパッタ・コーティング処理によ
って先に着けられた金属コーティングを焼鈍又は調質す
る。第4側部には又別の処理モジュール、例えばスパッ
タ・エッチング・モジュール又はその他のスパッタ・コ
ーティング・モジュールのようなスパッタリング・モジ
ュール28が結合される。第5側部には、例えば化学蒸着
(CVD)モジュールのような別の処理モジュール30が結
合される。それらモジュール及びこれの中で行われる処
理の型式は、クラスタ・ツールによってなされる他の処
理と関連してウェファに加えられるに適した任意の処理
とされる。輸送モジュールの第6の面はアライナ16と結
合する。
前部輸送モジュール12は、5個の隣接モジュールと結
合する5つのモジュール結合面又は側部を有する。これ
ら側部の1つのモジュール・アライナ16が結合し、そし
て2つの側部のそれぞれに2つのロード−ロック・モジ
ュール32、34が1つずつ結合され、これらロード−ロッ
ク・モジュールを通してウェファが輸送モジュール12、
14の室18と外部の清掃部屋環境36との間で移送される。
この外部環境36は緘封された壁40によって、クラスタ・
ツール10を取囲む制御された内部環境38から隔離されて
いる。環境36と38は両方共大気圧である。
合する5つのモジュール結合面又は側部を有する。これ
ら側部の1つのモジュール・アライナ16が結合し、そし
て2つの側部のそれぞれに2つのロード−ロック・モジ
ュール32、34が1つずつ結合され、これらロード−ロッ
ク・モジュールを通してウェファが輸送モジュール12、
14の室18と外部の清掃部屋環境36との間で移送される。
この外部環境36は緘封された壁40によって、クラスタ・
ツール10を取囲む制御された内部環境38から隔離されて
いる。環境36と38は両方共大気圧である。
ロード−ロック32、24はそれぞれに1つのアクセス扉
を有し、そしてこのアクセス扉を通して、例えば25個の
ウェファの規格のカセットが、通常ポリプロピレンのよ
うなプラスチック材料で作られたラック内に保持され
る。ラックは、入手又はロボットによりロード−ロック
・アクセス扉41を通してロード−ロック32、34内へ装入
され、又ここから装出される。アクセス扉41が閉じられ
るとロード−ロックの内部ロード−ロック室を緘封し、
そこでこの内部室をポンプで輸送室18の真空環境にし
て、ウェファを個別に輸送腕20で移送し、クラスタ・ツ
ール10の輸送室18及びその他のモジュールへ送り込むこ
とができる。輸送腕20がウェファをロード−ロック32、
34へ戻すと、ロード−ロックは外部環境に通気される。
ロード−ロックが輸送室18の真空圧力レベルになってい
るとき以外、輸送室18と各ロード−ロック32、34との間
のゲート弁は閉じられている。
を有し、そしてこのアクセス扉を通して、例えば25個の
ウェファの規格のカセットが、通常ポリプロピレンのよ
うなプラスチック材料で作られたラック内に保持され
る。ラックは、入手又はロボットによりロード−ロック
・アクセス扉41を通してロード−ロック32、34内へ装入
され、又ここから装出される。アクセス扉41が閉じられ
るとロード−ロックの内部ロード−ロック室を緘封し、
そこでこの内部室をポンプで輸送室18の真空環境にし
て、ウェファを個別に輸送腕20で移送し、クラスタ・ツ
ール10の輸送室18及びその他のモジュールへ送り込むこ
とができる。輸送腕20がウェファをロード−ロック32、
34へ戻すと、ロード−ロックは外部環境に通気される。
ロード−ロックが輸送室18の真空圧力レベルになってい
るとき以外、輸送室18と各ロード−ロック32、34との間
のゲート弁は閉じられている。
入口輸送モジュール12の他の2つの面には、2個の、
好適には同等のバッチ予熱、脱気、又は脱着モジュール
42、44が結合される。これらモジュールはそれぞれ、複
数個のウェファ、好適には、ロード−ロック32、34によ
って取扱われる完全ウェファ・カセット内に保持される
量までの任意の個数のウェファを同時に収受、保持、及
び予処理する。
好適には同等のバッチ予熱、脱気、又は脱着モジュール
42、44が結合される。これらモジュールはそれぞれ、複
数個のウェファ、好適には、ロード−ロック32、34によ
って取扱われる完全ウェファ・カセット内に保持される
量までの任意の個数のウェファを同時に収受、保持、及
び予処理する。
本発明のある実施例によるバッチ予熱モジュール42、
44は、そのモジュール42について説明する。第2図に示
されるように、予熱モジュール42は、予熱室52を包む圧
力密ハウジング50を備える。このハウジングは孔53を有
し、この孔に高真空ポンプ54(第2図では取外されてい
る。第3図で見られる)が取付けられて室52を高真空、
典型的には輸送室18と同じ高真空に保つ。モジュール42
は又好適には、圧力を大気圧からポンプ54の操作範囲内
まで下げる第2ポンプ(図示せず)、及び室52内の圧力
を大気圧に戻すための通気口(図示せず)を備える。ハ
ウジング50は又これの前部に矩形の口56を有し、この口
に前部輸送モジュール12のゲート弁58(第3図)が結合
される。
44は、そのモジュール42について説明する。第2図に示
されるように、予熱モジュール42は、予熱室52を包む圧
力密ハウジング50を備える。このハウジングは孔53を有
し、この孔に高真空ポンプ54(第2図では取外されてい
る。第3図で見られる)が取付けられて室52を高真空、
典型的には輸送室18と同じ高真空に保つ。モジュール42
は又好適には、圧力を大気圧からポンプ54の操作範囲内
まで下げる第2ポンプ(図示せず)、及び室52内の圧力
を大気圧に戻すための通気口(図示せず)を備える。ハ
ウジング50は又これの前部に矩形の口56を有し、この口
に前部輸送モジュール12のゲート弁58(第3図)が結合
される。
ハウジング50の両側に、厚さ1インチの矩形のクォー
ツ窓60が備えられる。これらクォーツ窓60は赤外線を室
52内へ通過させてその中の1バッチのウェファ62を加熱
させる。室52の中でウェファ62はクォーツのラック64上
に支持される。このクォーツ・ラックは、上端部プレー
ト67と下端部プレート69とに支持されるノッチ付きロッ
ド66によって作られる。ラック64は垂直方向に可動で、
ノッチ位置の選択された1つをスロット56に隣接する位
置へ動かすことができ、そこで輸送機構20によりラック
64への挿入、又はそこからの取出しを行うことができ
る。
ツ窓60が備えられる。これらクォーツ窓60は赤外線を室
52内へ通過させてその中の1バッチのウェファ62を加熱
させる。室52の中でウェファ62はクォーツのラック64上
に支持される。このクォーツ・ラックは、上端部プレー
ト67と下端部プレート69とに支持されるノッチ付きロッ
ド66によって作られる。ラック64は垂直方向に可動で、
ノッチ位置の選択された1つをスロット56に隣接する位
置へ動かすことができ、そこで輸送機構20によりラック
64への挿入、又はそこからの取出しを行うことができ
る。
第3図と第4から解るように、ラック64のロッド66は
4個であり、この内の2個は、口56に対し直角の直径線
上で相互に約180゜離間して配置されている。他の2個
のロッド66は、ラック64が装入装出位置になったときの
スロット56の反対側のラックの側部で前記2個のロッド
から等距離にあり且つ相互に約60゜離間するように配置
される。ラック64はハウジング50内で回転可能に回転軸
68上に装架され、そしてこの回転軸には、ハウジング50
の下に備えられた駆動モータ70が、ボール・ギヤ・スク
リュ74のプーリに係合するベルト72を介して駆動結合す
る。
4個であり、この内の2個は、口56に対し直角の直径線
上で相互に約180゜離間して配置されている。他の2個
のロッド66は、ラック64が装入装出位置になったときの
スロット56の反対側のラックの側部で前記2個のロッド
から等距離にあり且つ相互に約60゜離間するように配置
される。ラック64はハウジング50内で回転可能に回転軸
68上に装架され、そしてこの回転軸には、ハウジング50
の下に備えられた駆動モータ70が、ボール・ギヤ・スク
リュ74のプーリに係合するベルト72を介して駆動結合す
る。
モータ70、ポンプ54、及びハウジング50は車輪付きベ
ース76によって堅く支持され、そのベースによってスロ
ット56が床78の上のゲート弁58の垂直高さの所に設定さ
れ、その弁と整合する。ベース76には又エレベータ駆動
装置80が堅く支持される。この駆動装置は、軸68のスパ
イラル歯車付き端部(図示せず)を収納した管状コラム
88の基部の歯車機構86に結合する1対のモータ82、84を
備える。これらモータ82、84はステップ・モータであっ
て、軸68を昇降させることによりラック64を昇降させ、
これによってロッド66のノッチ位置の任意の選択された
1つを、ウェファ62の装入装出用のスロット56に整合さ
せる。
ース76によって堅く支持され、そのベースによってスロ
ット56が床78の上のゲート弁58の垂直高さの所に設定さ
れ、その弁と整合する。ベース76には又エレベータ駆動
装置80が堅く支持される。この駆動装置は、軸68のスパ
イラル歯車付き端部(図示せず)を収納した管状コラム
88の基部の歯車機構86に結合する1対のモータ82、84を
備える。これらモータ82、84はステップ・モータであっ
て、軸68を昇降させることによりラック64を昇降させ、
これによってロッド66のノッチ位置の任意の選択された
1つを、ウェファ62の装入装出用のスロット56に整合さ
せる。
第4図は、ラック64が、ウェファ66をスロット56とゲ
ート弁58に通して装入及び装出できる位置にあるところ
を示している。装入が行われるとゲート弁58は閉じら
れ、そして、ハウジング50の外側に設置された2列のク
ォーツIRランプ90から赤外線がハウジング50の両側部の
クォーツ窓60を通してキャビティ又は室52内へ照射され
る。各列のランプ90の背後に冷却される反射板92が備え
られる。各ランプ列90は、それぞれ約2000ワットのラン
プを図示の実施例では8個含む。
ート弁58に通して装入及び装出できる位置にあるところ
を示している。装入が行われるとゲート弁58は閉じら
れ、そして、ハウジング50の外側に設置された2列のク
ォーツIRランプ90から赤外線がハウジング50の両側部の
クォーツ窓60を通してキャビティ又は室52内へ照射され
る。各列のランプ90の背後に冷却される反射板92が備え
られる。各ランプ列90は、それぞれ約2000ワットのラン
プを図示の実施例では8個含む。
ランプ列90へ供給されるエネルギーは1個又はそれ以
上の温度センサ、図示の場合上部センサ93と下部センサ
94からの信号に応答して制御される。これらセンサは好
適には、ランプ90からクォーツ窓60を通過するエネルギ
ー帯域の外の周波数の温度を感知するパイロメータとさ
れる。センサ93、94はハウジング50の外側のブラケット
上に装架され、そしてハウジング50のレンズ又は窓96を
通して照準を付けられる。上部センサは、複数個のウェ
ファ62の内の最上部のウェファの上表面に対して向けら
れる。下部センサ94は好適なセンサ位置に置かれ、そし
て水平に対し約7.5インチの角度で上方へ向けられ、ウ
ェファの下表面又は裏側の温度を読取る。
上の温度センサ、図示の場合上部センサ93と下部センサ
94からの信号に応答して制御される。これらセンサは好
適には、ランプ90からクォーツ窓60を通過するエネルギ
ー帯域の外の周波数の温度を感知するパイロメータとさ
れる。センサ93、94はハウジング50の外側のブラケット
上に装架され、そしてハウジング50のレンズ又は窓96を
通して照準を付けられる。上部センサは、複数個のウェ
ファ62の内の最上部のウェファの上表面に対して向けら
れる。下部センサ94は好適なセンサ位置に置かれ、そし
て水平に対し約7.5インチの角度で上方へ向けられ、ウ
ェファの下表面又は裏側の温度を読取る。
ロッド66のノッチは約1/2インチの間隔で相互に離間
されている。この間隔は、ロード−ロック32、34の中で
支持されるカセット・ラック内でのウェファの間隔の約
2倍である。こうして間隔が倍増されることによって、
ランプからの放射エネルギーのウェファへの到着がより
促進され、ウェファのより良好且つ均等な加熱が行われ
るようになる。又、エレベータ駆動装置80と輸送機構20
の操作を制御する制御装置の論理装置が、処理されるウ
ェファ62の間に空位置を設けずそれらウェファのスタッ
クを圧縮されたものにし、これによって予熱処理の制御
がより良好に行われ、そしてウェファ62の加熱が均等に
行われる。
されている。この間隔は、ロード−ロック32、34の中で
支持されるカセット・ラック内でのウェファの間隔の約
2倍である。こうして間隔が倍増されることによって、
ランプからの放射エネルギーのウェファへの到着がより
促進され、ウェファのより良好且つ均等な加熱が行われ
るようになる。又、エレベータ駆動装置80と輸送機構20
の操作を制御する制御装置の論理装置が、処理されるウ
ェファ62の間に空位置を設けずそれらウェファのスタッ
クを圧縮されたものにし、これによって予熱処理の制御
がより良好に行われ、そしてウェファ62の加熱が均等に
行われる。
更に、ウェファ・スタックの最上部と最下部のウェフ
ァの加熱を均等にするため、両端部位置にダミィ・ウェ
ファ又はシールドを備えるようにしてもよい。このよう
なシールドは室52内に残されてもよいし、又ウェファ・
バッチと一緒に取出されるようにしてもよい。
ァの加熱を均等にするため、両端部位置にダミィ・ウェ
ファ又はシールドを備えるようにしてもよい。このよう
なシールドは室52内に残されてもよいし、又ウェファ・
バッチと一緒に取出されるようにしてもよい。
2つのロード−ロック、2つの予熱室を備える本発明
の好適な実施例の好適な操作シーケンスにおいて、ロー
ド−ロックを輸送モジュール12に結合するゲート弁が閉
じられた状態で、処理される第1バッチのウェファを担
持したカセットがアクセス扉41を通してロード−ロック
32、34の一方、例えばロード−ロック32へ挿入される。
そこでロード−ロック32の扉は閉じられ、そしてそのロ
ード−ロック32の内部室がポンプによって輸送室18の真
空圧力レベルにされる。このレベルが得られると、ロー
ド−ロック32から輸送室18への弁が開かれ、そしてロー
ド−ロック32からウェファが取出され、1つ1つ予熱モ
ジュール42へ移送される。
の好適な実施例の好適な操作シーケンスにおいて、ロー
ド−ロックを輸送モジュール12に結合するゲート弁が閉
じられた状態で、処理される第1バッチのウェファを担
持したカセットがアクセス扉41を通してロード−ロック
32、34の一方、例えばロード−ロック32へ挿入される。
そこでロード−ロック32の扉は閉じられ、そしてそのロ
ード−ロック32の内部室がポンプによって輸送室18の真
空圧力レベルにされる。このレベルが得られると、ロー
ド−ロック32から輸送室18への弁が開かれ、そしてロー
ド−ロック32からウェファが取出され、1つ1つ予熱モ
ジュール42へ移送される。
予熱モジュール42においてウェファは、エレベータ駆
動装置80によって垂直方向の割出しをされるラック64の
各位置内へ置かれる。ウェファ間に空位置を置かずにラ
ック64が所要の容量まで装入されると、口56の弁58が閉
じられ、そしてランプ90が付勢されてモジュール32内の
室52の加熱が開始される。予熱モジュール42内で第1バ
ッチのウェファが加熱されているとき、第2バッチのウ
ェファがロード−ロック34を通して他方の予熱モジュー
ル44へ装入される。
動装置80によって垂直方向の割出しをされるラック64の
各位置内へ置かれる。ウェファ間に空位置を置かずにラ
ック64が所要の容量まで装入されると、口56の弁58が閉
じられ、そしてランプ90が付勢されてモジュール32内の
室52の加熱が開始される。予熱モジュール42内で第1バ
ッチのウェファが加熱されているとき、第2バッチのウ
ェファがロード−ロック34を通して他方の予熱モジュー
ル44へ装入される。
第1バッファのウェファの加熱は、ウェファ62の温度
を直接読取るセンサ92によって感知される。ランプ列90
へ供給されるエネルギーがセンサ93、94からの信号に応
答して制御されて典型的には250℃から500℃の範囲の所
定の予熱温度に徐々に近付けられ、その温度に保持され
る。吸収された水蒸気その他のガスをウェファ62から除
去するためのこの予熱処理は上記の所定温度で10から30
分間続けなければならない。
を直接読取るセンサ92によって感知される。ランプ列90
へ供給されるエネルギーがセンサ93、94からの信号に応
答して制御されて典型的には250℃から500℃の範囲の所
定の予熱温度に徐々に近付けられ、その温度に保持され
る。吸収された水蒸気その他のガスをウェファ62から除
去するためのこの予熱処理は上記の所定温度で10から30
分間続けなければならない。
モジュール内でのウェファ62の予熱が完了するとゲー
ト弁58が開かれ、そしてそれらウェファが1つずつ逐次
的に輸送モジュール12とコンジット16を通して後部輸送
モジュール14へ移送され、ここからウェファはそれぞれ
に、そのモジュール14に結合した5つの処理室22−30の
いずれか又は全てに逐次に入出して処理を施される。各
ウェファは処理が終るとコンジット16を通して輸送モジ
ュール12へ戻され、そしてロード−ロック32、34のいず
れか一方、好適にはウェファが輸送モジュール12へ入っ
たときと同じロード−ロック内のカセットの中へ返され
る。第1バッチのウェファの移送が行われている間、予
熱モジュール44内の第2バッチのウェファが同様に加熱
されている。第2バッチのウェファが予熱されてしまう
までに第3バッチが同様にして第1予熱モジュール42内
に装入され、こうしてシーケンスは1つのバッチから次
のバッチと同じ態様で続行されていく。
ト弁58が開かれ、そしてそれらウェファが1つずつ逐次
的に輸送モジュール12とコンジット16を通して後部輸送
モジュール14へ移送され、ここからウェファはそれぞれ
に、そのモジュール14に結合した5つの処理室22−30の
いずれか又は全てに逐次に入出して処理を施される。各
ウェファは処理が終るとコンジット16を通して輸送モジ
ュール12へ戻され、そしてロード−ロック32、34のいず
れか一方、好適にはウェファが輸送モジュール12へ入っ
たときと同じロード−ロック内のカセットの中へ返され
る。第1バッチのウェファの移送が行われている間、予
熱モジュール44内の第2バッチのウェファが同様に加熱
されている。第2バッチのウェファが予熱されてしまう
までに第3バッチが同様にして第1予熱モジュール42内
に装入され、こうしてシーケンスは1つのバッチから次
のバッチと同じ態様で続行されていく。
本発明による2つのロード−ロックと2つの予処理モ
ジュールを備える機械の構成は、機械に大きな融通性と
高い生産性を与え、いろいろなバッチのウェファの予熱
を少なくとも部分的には同時に行えるようにし、又いろ
いろなバッチのウェファの装入と装出を少なくとも部分
的には同時に行えるようにする。このような構成によっ
てバッチが相互に迂回でき、そこで輸送機構がより効率
的に使用される。この構成によれば、輸送機構と処理モ
ジュール内のウェファの他に、4つのバッチのウェファ
がクラスタ・ツール内に同時に存在できる。
ジュールを備える機械の構成は、機械に大きな融通性と
高い生産性を与え、いろいろなバッチのウェファの予熱
を少なくとも部分的には同時に行えるようにし、又いろ
いろなバッチのウェファの装入と装出を少なくとも部分
的には同時に行えるようにする。このような構成によっ
てバッチが相互に迂回でき、そこで輸送機構がより効率
的に使用される。この構成によれば、輸送機構と処理モ
ジュール内のウェファの他に、4つのバッチのウェファ
がクラスタ・ツール内に同時に存在できる。
機械の構成が1つの予熱モジュール又は1つのロード
−ロックしか備えない場合でも、本発明ではなお優れた
効率と処理を得ることができる。
−ロックしか備えない場合でも、本発明ではなお優れた
効率と処理を得ることができる。
1つのロード−ロックしか備えない実施例において、
好適な操作シーケンスは、ウェファの第1カセットをロ
ード−ロック及び内部環境内へ装入し、続いてその第1
バッチを予熱モジュールへ輸送して予熱を行い、それか
らその第1バッチの予熱されたウェファを逐次に他の処
理モジュールに装入し、そしてこれから装出し、この後
それらウェファをロード−ロックへ戻し、カセットに入
れて機械から取出す如く行われる。第1バッチのウェフ
ァがロード−ロックへ戻される前に第2バッチのウェフ
ァがロード−ロックを通して装入され、そして空の予熱
モジュール内に設置される。
好適な操作シーケンスは、ウェファの第1カセットをロ
ード−ロック及び内部環境内へ装入し、続いてその第1
バッチを予熱モジュールへ輸送して予熱を行い、それか
らその第1バッチの予熱されたウェファを逐次に他の処
理モジュールに装入し、そしてこれから装出し、この後
それらウェファをロード−ロックへ戻し、カセットに入
れて機械から取出す如く行われる。第1バッチのウェフ
ァがロード−ロックへ戻される前に第2バッチのウェフ
ァがロード−ロックを通して装入され、そして空の予熱
モジュール内に設置される。
予熱モジュールが1つしか無い場合、その設置は、第
1バッチの予熱処理されたウェファが予熱モジュールか
ら取出された後で行われる。第2バッチのウェファが予
熱されているとき、2つの予熱モジュールを備える構成
では第1バッチのウェファの任意の又は全ての処理又は
輸送段階を行うことができ、そして1つの予熱モジュー
ルを備える構成では、第1バッチが予熱モジュールから
装出された後で任意の又は全てのそのような段階を行う
ことができる。
1バッチの予熱処理されたウェファが予熱モジュールか
ら取出された後で行われる。第2バッチのウェファが予
熱されているとき、2つの予熱モジュールを備える構成
では第1バッチのウェファの任意の又は全ての処理又は
輸送段階を行うことができ、そして1つの予熱モジュー
ルを備える構成では、第1バッチが予熱モジュールから
装出された後で任意の又は全てのそのような段階を行う
ことができる。
上記のような構成と装置によって、様々なバッチのウ
ェファがクラスタ・ツールを通っていろいろに処理さ
れ、又いろいろなサイクルに送られる。同じバッチのウ
ェファの全てが機械の中の同じコースをたどらなければ
ならないということはない。本発明が提供する高い融通
性は、プログラムを組まれる処理制御の可能性を大きく
し、これによってウェファ処理の生産性と効率性を高く
する。
ェファがクラスタ・ツールを通っていろいろに処理さ
れ、又いろいろなサイクルに送られる。同じバッチのウ
ェファの全てが機械の中の同じコースをたどらなければ
ならないということはない。本発明が提供する高い融通
性は、プログラムを組まれる処理制御の可能性を大きく
し、これによってウェファ処理の生産性と効率性を高く
する。
以上の説明から当該技術者には明らかなように、ここ
に記述してきた実施例は、本発明の原理から外れること
なしに、なお様々な変化形が可能である。従って本発明
は請求の範囲によってのみ規定される。
に記述してきた実施例は、本発明の原理から外れること
なしに、なお様々な変化形が可能である。従って本発明
は請求の範囲によってのみ規定される。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 イシカワ,ヒロイチ アメリカ合衆国07430 ニュージャージ ー州マワ,ブリーランド コート 127 (56)参考文献 特開 平3−19252(JP,A) 国際公開91/10949(WO,A1)
Claims (7)
- 【請求項1】ウェファ処理方法において、 a)カセット内の第1バッチのウェファを、内部機械環
境に対して閉じられ且つ外部大気圧環境に対し開かれた
ロード−ロックの中に置き、この第1バッチのウェファ
を入れられた該ロード−ロックを該外部環境から密封
し、そしてこのロード−ロックを該内部環境に対し開く
こと、 b)上記工程a)の完了後、該開かれたロード−ロック
内のカセットから該第1バッチのウェファを1つずつ、
該内部環境の真空圧レベルの予処理モジュール内へ移送
し、この予処理モジュール内に該第1バッチのウェファ
を密封し、そして該第1バッチのウェファを予処理する
こと、 c)前記工程b)の完了後、該予処理モジュールを開
き、そして予処理された該第1バッチのウェファをそこ
から1つずつ該内部環境の中へ取出すこと、の工程を有
するウェファを処理する方法に於いて、 ロード−ロックを内部機械環境に開く前にポンプで該ロ
ード−ロックを該内部機械環境の真空圧力レベルにし、 d)内部機械環境に対して閉じられ且つ外部大気圧環境
に対して開いたロード−ロック内に、カセット内の第2
バッチのウェファを位置させ、内部に第2バッチのウェ
ファを収容している該ロード−ロックを該外部環境から
密封し、該密封されたロード−ロックをポンプで内部機
械環境の真空圧力レベルにし、該ロード−ロックを該内
部環境に対し開き、 e)工程(d)の完了後、第2バッチのウェファが置か
れている開いたロード−ロックから該第2バッチのウェ
ファを一つずつ、内部環境の真空圧力レベルにある予処
理モジュール内に輸送し、該予処理モジュール内の第2
バッチのウェファを密封し、該予処理モジュール内で密
封している該第2バッチのウェファを予処理し、 f)第2バッチのウェファが工程(e)に従って予処理
されている間に、連続的に且つ個々に第1バッチのウェ
ファを処理するため該内部環境に選択的に複数の処理モ
ジュールを介して該第1バッチの予処理されたウェファ
を連続的に輸送する工程を有する、ウェファの処理方
法。 - 【請求項2】請求の範囲第1項による方法であって、工
程(b)及び工程(c)での予処理モジュールは第一の
予処理モジュールであり、工程(e)及び工程(f)で
の予処理モジュールは第二の予熱モジュールであり、第
2バッチのウェファが該第一の予熱モジュール内で予熱
されている間に、第1バッチのウェファにおこなわれる
前記工程のすべてが行われ、且つ第1バッチのウェファ
が該第一の予熱モジュール内で予熱されている間に、第
2バッチのウェファにおこなわれる前記工程のすべてが
行われる、該方法。 - 【請求項3】請求の範囲第1項あるいは第2項による方
法であって、工程(a)でのロード−ロックは第一のロ
ード−ロックであり、工程(d)でのロード−ロックは
第二のロード−ロックあり、第2バッチのウェファが該
外部環境から取り入れられている或いは該外部環境に出
されている間に、第1バッチのウェファにおこなわれる
前記工程のすべてが行われ、且つ第1バッチのウェファ
が該外部環境から取り入れられている或いは該外部環境
に出されている間に、第2バッチのウェファがおこなわ
れる前記工程のすべてが行われる、該方法。 - 【請求項4】請求の範囲第1項から第3項までのいずれ
か一つの項による方法であって、 予処理モジュールは、該予処理モジュール内でラックに
指示された複数のウェファを同時に加熱することの出来
るヒータを有し、 該方法は更に、処理モジュールでの平均処理時間に対し
長い時間且つ空間的に一様に持続された温度で予処理室
内でウェファを保持する工程を有し、 該ヒータは、処理室の外側に位置する複数の輻射加熱要
素を有し、 該方法は更に、空間的に一様な温度でウェファを保持し
ながら、該加熱要素に対してラックを回転させる工程を
有し、 該予処理モジュールは昇降機を有し、 該方法は更に、該昇降機上にウェファを移動し、種々の
個数のウェファに関しバッチごとのウェファを複数のウ
ェファの間に空位置無しに受け入れるようにラックを位
置させる工程を有する、該方法。 - 【請求項5】ウェファ処理クラスタ・ツールであって、 内部ボリュームを取囲む少なくとも1つの輸送モジュー
ル(12,14)、この輸送モジュール(12,14)は、これと
連絡する複数個のモジュール(22−30,42,44)内へ個々
のウェファ(66)を移送するためのウェファ輸送機構
(20)を内に有する如き、該少なくとも1つの輸送モジ
ュール、該輸送モジュール(12,14)と連絡する複数個
のウェファ処理モジュール(22−30)、これらウェファ
処理モジュール(22−30)はそれぞれに、これの中の処
理室内でウェファに少なくとも1つのウェファ・コーテ
ィング、エッチング、又は処理プロセスを施すことがで
きる如き、該複数個のウェファ処理モジュール、該輸送
モジュール(12,14)の内部ボリュームと外部環境とに
交互に連絡できるロード−ロック室を内に取囲む少なく
とも1つのロード−ロック・モジュール(32,34)、こ
のロード−ロック・モジュール(32,34)は、このロー
ド−ロック(32,34)の室が該外部環境と連絡している
ときウェファの装入されたカセットを該外部環境から収
受し又はこれへ提出するための、そして該ロード−ロッ
ク(32,34)の室が該輸送モジュール(12,14)の内部ボ
リュームと連絡しているとき個々のウェファを該輸送モ
ジュール(12,14)の内部ボリュームから収受し又はこ
れへ提出するためのカセット・ホルダを内に有する如
き、該少なくとも1つのロード−ロック・モジュール、
及び、該輸送モジュール(12,14)と連絡し、そして予
処理室(52)を内に有する少なくとも1つの予処理モジ
ュール(42,44)を備えるクラスタ・ツールにおいて、
該輸送モジュール(12,14)の内部ボリュームが連続的
に真空圧力レベルに維持することができ、該ウェファ処
理モジュール(22−30)が、該輸送モジュール(12,1
4)と真空連絡するランダムにアクセスできる個々のウ
ェファ(66)の処理モジュールであり、そして該予処理
室(52)はラック(64)を有し、このラックはそれらウ
ェファ(66)を個々に該輸送モジュール(12,14)の内
部ボリュームから収受し又これへ提出し且つ予処理室
(52)内に複数個のウェファを保持することができ、そ
れによって1つのバッチのウェファ(66)は予処理モジ
ュール(42,44)内で同時に予処理されると共に他のバ
ッチのウェファ(66)はウェファ処理モジュール(22−
30)内で個々に処理され、 予処理モジュールは、密閉されたハウジング(50)内に
収容された予熱室(52)を備えた予熱モジュール(42,4
4)であり、 該予熱モジュール(42,44)は該予熱室(52)内でラッ
ク(64)に支持されている複数のウェファ(66)を同時
に加熱できる加熱器(90)を有し、該加熱器(90)は、
ウェファ処理モジュール(22−30)の平均処理時間に対
し長い時間にわたって予熱室(52)内でどの場所でも均
一の温度にウェファ(66)を保持可能であり、 該クラスタ・ツールは更に、該予熱室(52)内のウェフ
ァの温度に応答した温度信号を発生することが可能なセ
ンサー(93,94)と、該センサーによって発生した温度
信号に応答し且つ該温度信号に応答して加熱器(90)に
送られるエネルギーを制御することのできる加熱器制御
装置とを有することを特徴とする、上記クラスタ・ツー
ル。 - 【請求項6】センサーは、予熱室(52)内で予熱されて
いるウェファ(66)の表面から放射されているエネルギ
ーを受け取るように位置している高温計であり、該高温
計は該予熱室内で少なくとも一つのウェファの裏側に向
かって水平に関し小さな角度で上方に方向づけられてい
る、請求項5によるクラスタ・ツール。 - 【請求項7】ウェファ処理クラスタ・ツールであって、 内部ボリュームを取囲む少なくとも1つの輸送モジュー
ル(12,14)、この輸送モジュール(12,14)は、これと
連絡する複数個のモジュール(22−30,41,44)内へ個々
のウェファ(66)を移送するためのウェファ輸送機構
(20)を内に有する如き、該少なくとも1つの輸送モジ
ュール、該輸送モジュール(12,14)と連絡する複数個
のウェファ処理モジュール(22−30)、これらウェファ
処理モジュール(22−30)はそれぞれに、これの中に処
理室内でウェファに少なくとも1つのウェファ・コーテ
ィング、エッチング、又は処理プロセスを施すことがで
きる如き、該複数個のウェファ処理モジュール、該輸送
モジュール(12,14)の内部ボリュームと外部環境とに
交互に連絡できるロード−ロック室を内に取囲む少なく
とも1つのロード−ロック・モジュール(32,34)、こ
のロード−ロック・モジュール(32,34)は、このロー
ド−ロック(32,34)の室が該外部環境と連絡している
ときウェファの装入されたカセットを該外部環境から収
受し又これへ提出するための、そして該ロード−ロック
(32,34)の室が該輸送モジュール(12,14)の内部ボリ
ュームと連絡しているとき個々のウェファを該輸送モジ
ュール(12,14)の内部ボリュームから収受し又はこれ
へ提出するためのカセット・ホルダを内に有する如き、
該少なくとも1つのロード−ロック・モジュール、及
び、該輸送モジュール(12,14)と連絡し、そして予処
理室(52)を内に有する少なくとも1つの予処理モジュ
ール(42,44)を備えるクラスタ・ツールにおいて、該
輸送モジュール(12,14)の内部ボリュームが連続的に
真空圧力レベルに維持することができ、該ウェファ処理
モジュール(22−30)が、該輸送モジュール(12,14)
と真空連絡するランダムにアクセスできる個々のウェフ
ァ(66)の処理モジュールであり、そして該予処理室
(52)はラック(64)を有し、このラックはそれらウェ
ファ(66)の個々に該輸送モジュール(12,14)の内部
ボリュームから収受し又これへ提出し且つ予処理室(5
2)内に複数個のウェファを保持することができ、それ
によって1つのバッチのウェファ(66)は予処理モジュ
ール(42,44)内で同時に予処理されると共に他のバッ
チのウェファ(66)はウェファ処理モジュール(22−3
0)内で個々に処理され、 予処理モジュールは、密閉されたハウジング(50)内に
収容された予熱室(52)を備えた予熱モジュール(42,4
4)であり、 該クラスタ・ツールは更に、頂部ウェファ保持スロット
の直ぐ上の位置と、底部ウェファ保持スロットの直ぐ下
の位置とにおいてラック(64)の頂部と底部とに担持さ
れる1対のシールドを有し、該シールドは、該ラック
(64)の頂部及び底部ウェファ保持スロット内のウェフ
ァが受け取る熱を該ラック(64)内の他のウェファ(6
6)が受け取る熱に等しくすることができる事を特徴と
する、上記クラスタ・ツール。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US701,800 | 1991-05-17 | ||
US07/701,800 US5259881A (en) | 1991-05-17 | 1991-05-17 | Wafer processing cluster tool batch preheating and degassing apparatus |
PCT/US1992/000485 WO1992021144A1 (en) | 1991-05-17 | 1992-01-21 | Wafer processing cluster tool batch preheating and degassing method and apparatus |
Publications (2)
Publication Number | Publication Date |
---|---|
JPH06507524A JPH06507524A (ja) | 1994-08-25 |
JP2729106B2 true JP2729106B2 (ja) | 1998-03-18 |
Family
ID=24818733
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP4505400A Expired - Fee Related JP2729106B2 (ja) | 1991-05-17 | 1992-01-21 | ウェファ処理クラスタ・ツール・バッチ予熱及び脱気方法及び装置 |
Country Status (7)
Country | Link |
---|---|
US (3) | US5259881A (ja) |
EP (1) | EP0584077A1 (ja) |
JP (1) | JP2729106B2 (ja) |
KR (1) | KR100251824B1 (ja) |
AU (1) | AU1270092A (ja) |
CA (1) | CA2102197A1 (ja) |
WO (1) | WO1992021144A1 (ja) |
Families Citing this family (513)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2644912B2 (ja) * | 1990-08-29 | 1997-08-25 | 株式会社日立製作所 | 真空処理装置及びその運転方法 |
JP3137682B2 (ja) * | 1991-08-12 | 2001-02-26 | 株式会社日立製作所 | 半導体装置の製造方法 |
NL9200446A (nl) * | 1992-03-10 | 1993-10-01 | Tempress B V | Inrichting voor het behandelen van microschakeling-schijven (wafers). |
US5461214A (en) * | 1992-06-15 | 1995-10-24 | Thermtec, Inc. | High performance horizontal diffusion furnace system |
JP3186262B2 (ja) * | 1992-10-14 | 2001-07-11 | ソニー株式会社 | 半導体装置の製造方法 |
DE69304038T2 (de) * | 1993-01-28 | 1996-12-19 | Applied Materials Inc | Vorrichtung für ein Vakuumverfahren mit verbessertem Durchsatz |
US5607009A (en) * | 1993-01-28 | 1997-03-04 | Applied Materials, Inc. | Method of heating and cooling large area substrates and apparatus therefor |
JP3654597B2 (ja) * | 1993-07-15 | 2005-06-02 | 株式会社ルネサステクノロジ | 製造システムおよび製造方法 |
KR100291971B1 (ko) * | 1993-10-26 | 2001-10-24 | 야마자끼 순페이 | 기판처리장치및방법과박막반도체디바이스제조방법 |
CN100367461C (zh) | 1993-11-05 | 2008-02-06 | 株式会社半导体能源研究所 | 一种制造薄膜晶体管和电子器件的方法 |
US6897100B2 (en) * | 1993-11-05 | 2005-05-24 | Semiconductor Energy Laboratory Co., Ltd. | Method for processing semiconductor device apparatus for processing a semiconductor and apparatus for processing semiconductor device |
IL107549A (en) | 1993-11-09 | 1996-01-31 | Nova Measuring Instr Ltd | Device for measuring the thickness of thin films |
US5764365A (en) * | 1993-11-09 | 1998-06-09 | Nova Measuring Instruments, Ltd. | Two-dimensional beam deflector |
US6074901A (en) * | 1993-12-03 | 2000-06-13 | Semiconductor Energy Laboratory Co., Ltd. | Process for crystallizing an amorphous silicon film and apparatus for fabricating the same |
US5679152A (en) * | 1994-01-27 | 1997-10-21 | Advanced Technology Materials, Inc. | Method of making a single crystals Ga*N article |
JPH07245332A (ja) * | 1994-03-04 | 1995-09-19 | Hitachi Ltd | 半導体製造装置および半導体装置の製造方法ならびに半導体装置 |
KR960002534A (ko) * | 1994-06-07 | 1996-01-26 | 이노우에 아키라 | 감압·상압 처리장치 |
TW295677B (ja) * | 1994-08-19 | 1997-01-11 | Tokyo Electron Co Ltd | |
JP3982844B2 (ja) * | 1995-01-12 | 2007-09-26 | 株式会社日立国際電気 | 半導体製造装置及び半導体の製造方法 |
US5636320A (en) * | 1995-05-26 | 1997-06-03 | International Business Machines Corporation | Sealed chamber with heating lamps provided within transparent tubes |
US5705044A (en) | 1995-08-07 | 1998-01-06 | Akashic Memories Corporation | Modular sputtering machine having batch processing and serial thin film sputtering |
US6468918B1 (en) | 1995-09-29 | 2002-10-22 | Taiwan Semiconductor Manufacturing Company | In situ photoresist hot bake in loading chamber of dry etch |
US5877087A (en) | 1995-11-21 | 1999-03-02 | Applied Materials, Inc. | Low temperature integrated metallization process and apparatus |
US6726776B1 (en) | 1995-11-21 | 2004-04-27 | Applied Materials, Inc. | Low temperature integrated metallization process and apparatus |
US6077781A (en) | 1995-11-21 | 2000-06-20 | Applied Materials, Inc. | Single step process for blanket-selective CVD aluminum deposition |
US7014825B2 (en) * | 1996-04-10 | 2006-03-21 | Catalytic Solutions, Inc. | Perovskite-type metal oxide compounds and methods of making and using thereof |
US5795356A (en) * | 1996-05-31 | 1998-08-18 | Slsp Partners, Inc. | Microelectronic component fabrication facility, and process for making and using the facility |
JPH09320961A (ja) * | 1996-05-31 | 1997-12-12 | Nec Corp | 半導体製造装置及び薄膜トランジスタの製造方法 |
US5691236A (en) * | 1996-06-29 | 1997-11-25 | United Microelectronics Corporation | Method and apparatus for performing chemical vapor deposition |
US5723387A (en) * | 1996-07-22 | 1998-03-03 | Industrial Technology Research Institute | Method and apparatus for forming very small scale Cu interconnect metallurgy on semiconductor substrates |
US5817366A (en) * | 1996-07-29 | 1998-10-06 | Tdk Corporation | Method for manufacturing organic electroluminescent element and apparatus therefor |
US6714832B1 (en) * | 1996-09-11 | 2004-03-30 | Hitachi, Ltd. | Operating method of vacuum processing system and vacuum processing system |
US6541363B2 (en) * | 1996-10-17 | 2003-04-01 | Guobiao Zhang | Antifuse manufacturing process |
US5940175A (en) * | 1996-11-01 | 1999-08-17 | Msp Corporation | Method and apparatus for surface inspection in a chamber |
US6139697A (en) * | 1997-01-31 | 2000-10-31 | Applied Materials, Inc. | Low temperature integrated via and trench fill process and apparatus |
US6432203B1 (en) * | 1997-03-17 | 2002-08-13 | Applied Komatsu Technology, Inc. | Heated and cooled vacuum chamber shield |
US5944857A (en) * | 1997-05-08 | 1999-08-31 | Tokyo Electron Limited | Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor |
US5960158A (en) | 1997-07-11 | 1999-09-28 | Ag Associates | Apparatus and method for filtering light in a thermal processing chamber |
US6312525B1 (en) | 1997-07-11 | 2001-11-06 | Applied Materials, Inc. | Modular architecture for semiconductor wafer fabrication equipment |
GB2343550A (en) | 1997-07-29 | 2000-05-10 | Silicon Genesis Corp | Cluster tool method and apparatus using plasma immersion ion implantation |
TW398024B (en) * | 1997-09-01 | 2000-07-11 | United Microelectronics Corp | Method to prevent stepper fetch arm from scratching the wafer back and its control device |
US6688375B1 (en) * | 1997-10-14 | 2004-02-10 | Applied Materials, Inc. | Vacuum processing system having improved substrate heating and cooling |
TW396490B (en) * | 1997-11-14 | 2000-07-01 | Dainippon Screen Mfg | Wafer processor |
US6605531B1 (en) | 1997-11-26 | 2003-08-12 | Applied Materials, Inc. | Hole-filling technique using CVD aluminum and PVD aluminum integration |
FR2771754B1 (fr) * | 1997-12-02 | 2000-02-11 | Etudes Const Mecaniques | Installation de traitement thermique sous vide modulaire |
US6042623A (en) * | 1998-01-12 | 2000-03-28 | Tokyo Electron Limited | Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor |
US6270306B1 (en) * | 1998-01-14 | 2001-08-07 | Applied Materials, Inc. | Wafer aligner in center of front end frame of vacuum system |
US6072898A (en) | 1998-01-16 | 2000-06-06 | Beaty; Elwin M. | Method and apparatus for three dimensional inspection of electronic components |
US6120660A (en) * | 1998-02-11 | 2000-09-19 | Silicon Genesis Corporation | Removable liner design for plasma immersion ion implantation |
US6274459B1 (en) | 1998-02-17 | 2001-08-14 | Silicon Genesis Corporation | Method for non mass selected ion implant profile control |
US6013134A (en) | 1998-02-18 | 2000-01-11 | International Business Machines Corporation | Advance integrated chemical vapor deposition (AICVD) for semiconductor devices |
US6113735A (en) * | 1998-03-02 | 2000-09-05 | Silicon Genesis Corporation | Distributed system and code for control and automation of plasma immersion ion implanter |
US6294219B1 (en) | 1998-03-03 | 2001-09-25 | Applied Komatsu Technology, Inc. | Method of annealing large area glass substrates |
KR100265287B1 (ko) | 1998-04-21 | 2000-10-02 | 윤종용 | 반도체소자 제조용 식각설비의 멀티챔버 시스템 |
US5970214A (en) | 1998-05-14 | 1999-10-19 | Ag Associates | Heating device for semiconductor wafers |
US5930456A (en) | 1998-05-14 | 1999-07-27 | Ag Associates | Heating device for semiconductor wafers |
US6086362A (en) | 1998-05-20 | 2000-07-11 | Applied Komatsu Technology, Inc. | Multi-function chamber for a substrate processing system |
US6380090B1 (en) * | 1998-05-29 | 2002-04-30 | Winbond Electrinics Corp | Protecting method applied to the semiconductor manufacturing process |
JP4086967B2 (ja) * | 1998-06-18 | 2008-05-14 | 日本碍子株式会社 | 静電チャックのパーティクル発生低減方法及び半導体製造装置 |
US6424733B2 (en) | 1998-07-20 | 2002-07-23 | Micron Technology, Inc. | Method and apparatus for inspecting wafers |
US6210484B1 (en) | 1998-09-09 | 2001-04-03 | Steag Rtp Systems, Inc. | Heating device containing a multi-lamp cone for heating semiconductor wafers |
KR100303446B1 (ko) | 1998-10-29 | 2002-10-04 | 삼성전자 주식회사 | 액정표시장치용박막트랜지스터기판의제조방법 |
US6350321B1 (en) * | 1998-12-08 | 2002-02-26 | International Business Machines Corporation | UHV horizontal hot wall cluster CVD/growth design |
US6310328B1 (en) | 1998-12-10 | 2001-10-30 | Mattson Technologies, Inc. | Rapid thermal processing chamber for processing multiple wafers |
US6771895B2 (en) | 1999-01-06 | 2004-08-03 | Mattson Technology, Inc. | Heating device for heating semiconductor wafers in thermal processing chambers |
AU3888400A (en) * | 1999-03-19 | 2000-10-09 | Electron Vision Corporation | Cluster tool for wafer processing having an electron beam exposure module |
KR20010110480A (ko) * | 1999-03-30 | 2001-12-13 | 히가시 데쓰로 | 온도 측정 시스템 |
JP2000286200A (ja) * | 1999-03-31 | 2000-10-13 | Kokusai Electric Co Ltd | 熱処理方法および熱処理装置 |
US6610150B1 (en) | 1999-04-02 | 2003-08-26 | Asml Us, Inc. | Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system |
GB2350374B (en) | 1999-05-11 | 2003-09-24 | Trikon Holdings Ltd | Deposition apparatus |
US6440261B1 (en) | 1999-05-25 | 2002-08-27 | Applied Materials, Inc. | Dual buffer chamber cluster tool for semiconductor wafer processing |
US6376387B2 (en) * | 1999-07-09 | 2002-04-23 | Applied Materials, Inc. | Method of sealing an epitaxial silicon layer on a substrate |
US6359263B2 (en) | 1999-09-03 | 2002-03-19 | Steag Rtp Systems, Inc. | System for controlling the temperature of a reflective substrate during rapid heating |
US6403923B1 (en) * | 1999-09-03 | 2002-06-11 | Mattson Technology, Inc. | System for controlling the temperature of a reflective substrate during rapid heating |
US6824825B2 (en) * | 1999-09-13 | 2004-11-30 | Tokyo Electron Limited | Method for depositing metallic nitride series thin film |
TW424265B (en) * | 1999-10-06 | 2001-03-01 | Mosel Vitelic Inc | Method for stabilizing semiconductor degas temperature |
US6207558B1 (en) | 1999-10-21 | 2001-03-27 | Applied Materials, Inc. | Barrier applications for aluminum planarization |
US6558509B2 (en) * | 1999-11-30 | 2003-05-06 | Applied Materials, Inc. | Dual wafer load lock |
US6949143B1 (en) | 1999-12-15 | 2005-09-27 | Applied Materials, Inc. | Dual substrate loadlock process equipment |
TW490714B (en) * | 1999-12-27 | 2002-06-11 | Semiconductor Energy Lab | Film formation apparatus and method for forming a film |
US6730598B1 (en) * | 1999-12-30 | 2004-05-04 | Intel Corporation | Integration of annealing capability into metal deposition or CMP tool |
EP1124252A2 (en) * | 2000-02-10 | 2001-08-16 | Applied Materials, Inc. | Apparatus and process for processing substrates |
US6488778B1 (en) | 2000-03-16 | 2002-12-03 | International Business Machines Corporation | Apparatus and method for controlling wafer environment between thermal clean and thermal processing |
WO2001072094A1 (en) * | 2000-03-20 | 2001-09-27 | Tokyo Electron Limited | High speed photoresist stripping chamber |
US20020011205A1 (en) | 2000-05-02 | 2002-01-31 | Shunpei Yamazaki | Film-forming apparatus, method of cleaning the same, and method of manufacturing a light-emitting device |
US7517551B2 (en) * | 2000-05-12 | 2009-04-14 | Semiconductor Energy Laboratory Co., Ltd. | Method of manufacturing a light-emitting device |
US6620723B1 (en) | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US7964505B2 (en) | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US7732327B2 (en) | 2000-06-28 | 2010-06-08 | Applied Materials, Inc. | Vapor deposition of tungsten materials |
US7405158B2 (en) | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US6551929B1 (en) | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US7101795B1 (en) | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US6235656B1 (en) | 2000-07-03 | 2001-05-22 | Andrew Peter Clarke | Dual degas/cool loadlock cluster tool |
US6562141B2 (en) * | 2000-07-03 | 2003-05-13 | Andrew Peter Clarke | Dual degas/cool loadlock cluster tool |
JP2002083756A (ja) * | 2000-09-06 | 2002-03-22 | Canon Inc | 基板温調装置 |
KR20030032034A (ko) | 2000-09-15 | 2003-04-23 | 어플라이드 머티어리얼스, 인코포레이티드 | 처리 장비용 두 개의 이중 슬롯 로드록 |
US6825447B2 (en) | 2000-12-29 | 2004-11-30 | Applied Materials, Inc. | Apparatus and method for uniform substrate heating and contaminate collection |
EP1220596A1 (en) * | 2000-12-29 | 2002-07-03 | Icos Vision Systems N.V. | A method and an apparatus for measuring positions of contact elements of an electronic component |
US6998579B2 (en) | 2000-12-29 | 2006-02-14 | Applied Materials, Inc. | Chamber for uniform substrate heating |
US6765178B2 (en) * | 2000-12-29 | 2004-07-20 | Applied Materials, Inc. | Chamber for uniform substrate heating |
KR100378259B1 (ko) * | 2001-01-20 | 2003-03-29 | 주승기 | 결정질 활성층을 포함하는 박막트랜지스터 제작 방법 및장치 |
US6951804B2 (en) | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
US6436194B1 (en) | 2001-02-16 | 2002-08-20 | Applied Materials, Inc. | Method and a system for sealing an epitaxial silicon layer on a substrate |
EP1237178B8 (en) * | 2001-03-02 | 2009-03-25 | Icos Vision Systems N.V. | Self-supporting adaptable metrology device |
US6878206B2 (en) | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US6660126B2 (en) | 2001-03-02 | 2003-12-09 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US6734020B2 (en) * | 2001-03-07 | 2004-05-11 | Applied Materials, Inc. | Valve control system for atomic layer deposition chamber |
JP4078813B2 (ja) * | 2001-06-12 | 2008-04-23 | ソニー株式会社 | 成膜装置および成膜方法 |
US7211144B2 (en) | 2001-07-13 | 2007-05-01 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
EP1415332B1 (de) * | 2001-07-27 | 2012-01-25 | IHP GmbH-Innovations for High Performance Microelectronics / Leibniz-Institut für innovative Mikroelektronik | Verfahren und Vorrichtung zum Herstellen dünner epitaktischer Halbleiterschichten |
US7085616B2 (en) | 2001-07-27 | 2006-08-01 | Applied Materials, Inc. | Atomic layer deposition apparatus |
US20030041970A1 (en) * | 2001-08-29 | 2003-03-06 | Tokyo Electron Limited | Wafer processing machine |
US7316966B2 (en) | 2001-09-21 | 2008-01-08 | Applied Materials, Inc. | Method for transferring substrates in a load lock chamber |
US6936906B2 (en) | 2001-09-26 | 2005-08-30 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US7049226B2 (en) | 2001-09-26 | 2006-05-23 | Applied Materials, Inc. | Integration of ALD tantalum nitride for copper metallization |
US7780785B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US6916398B2 (en) | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US6729824B2 (en) | 2001-12-14 | 2004-05-04 | Applied Materials, Inc. | Dual robot processing system |
US6497734B1 (en) * | 2002-01-02 | 2002-12-24 | Novellus Systems, Inc. | Apparatus and method for enhanced degassing of semiconductor wafers for increased throughput |
US6620670B2 (en) | 2002-01-18 | 2003-09-16 | Applied Materials, Inc. | Process conditions and precursors for atomic layer deposition (ALD) of AL2O3 |
US6998014B2 (en) | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6911391B2 (en) | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
US6827978B2 (en) | 2002-02-11 | 2004-12-07 | Applied Materials, Inc. | Deposition of tungsten films |
SG113448A1 (en) * | 2002-02-25 | 2005-08-29 | Semiconductor Energy Lab | Fabrication system and a fabrication method of a light emitting device |
US6833161B2 (en) | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US7439191B2 (en) | 2002-04-05 | 2008-10-21 | Applied Materials, Inc. | Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications |
US6846516B2 (en) | 2002-04-08 | 2005-01-25 | Applied Materials, Inc. | Multiple precursor cyclical deposition system |
US6720027B2 (en) | 2002-04-08 | 2004-04-13 | Applied Materials, Inc. | Cyclical deposition of a variable content titanium silicon nitride layer |
US6875271B2 (en) | 2002-04-09 | 2005-04-05 | Applied Materials, Inc. | Simultaneous cyclical deposition in different processing regions |
US6869838B2 (en) | 2002-04-09 | 2005-03-22 | Applied Materials, Inc. | Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications |
US7309269B2 (en) * | 2002-04-15 | 2007-12-18 | Semiconductor Energy Laboratory Co., Ltd. | Method of fabricating light-emitting device and apparatus for manufacturing light-emitting device |
US7279432B2 (en) | 2002-04-16 | 2007-10-09 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
US20030203123A1 (en) * | 2002-04-26 | 2003-10-30 | Applied Materials, Inc. | System and method for metal induced crystallization of polycrystalline thin film transistors |
US20030221620A1 (en) * | 2002-06-03 | 2003-12-04 | Semiconductor Energy Laboratory Co., Ltd. | Vapor deposition device |
US7677859B2 (en) * | 2002-07-22 | 2010-03-16 | Brooks Automation, Inc. | Substrate loading and uploading station with buffer |
US7988398B2 (en) * | 2002-07-22 | 2011-08-02 | Brooks Automation, Inc. | Linear substrate transport apparatus |
US7959395B2 (en) * | 2002-07-22 | 2011-06-14 | Brooks Automation, Inc. | Substrate processing apparatus |
US6869263B2 (en) * | 2002-07-22 | 2005-03-22 | Brooks Automation, Inc. | Substrate loading and unloading station with buffer |
US20040040504A1 (en) * | 2002-08-01 | 2004-03-04 | Semiconductor Energy Laboratory Co., Ltd. | Manufacturing apparatus |
US20060040055A1 (en) * | 2002-08-06 | 2006-02-23 | Tegal Corporation | Method and system for sequential processing in a two-compartment chamber |
US20060046412A1 (en) * | 2002-08-06 | 2006-03-02 | Tegal Corporation | Method and system for sequential processing in a two-compartment chamber |
AU2003263609A1 (en) | 2002-09-20 | 2004-04-08 | Semiconductor Energy Laboratory Co., Ltd. | Fabrication system and manufacturing method of light emitting device |
US6821563B2 (en) | 2002-10-02 | 2004-11-23 | Applied Materials, Inc. | Gas distribution system for cyclical layer deposition |
FR2846146A1 (fr) * | 2002-10-18 | 2004-04-23 | St Microelectronics Sa | Procede et installation de dopage d'un motif d'elements resistifs grave |
US7262133B2 (en) | 2003-01-07 | 2007-08-28 | Applied Materials, Inc. | Enhancement of copper line reliability using thin ALD tan film to cap the copper line |
US20040226513A1 (en) * | 2003-05-12 | 2004-11-18 | Applied Materials, Inc. | Chamber for uniform heating of large area substrates |
US7211508B2 (en) | 2003-06-18 | 2007-05-01 | Applied Materials, Inc. | Atomic layer deposition of tantalum based barrier materials |
DE10332163B4 (de) * | 2003-07-15 | 2008-08-21 | Von Ardenne Anlagentechnik Gmbh | Vakuumbeschichtungsanlage mit in Clustern angeordneten Prozessstationen |
JP2005101584A (ja) * | 2003-08-28 | 2005-04-14 | Suss Microtec Test Systems Gmbh | 基板を検査する装置 |
US7207766B2 (en) | 2003-10-20 | 2007-04-24 | Applied Materials, Inc. | Load lock chamber for large area substrate processing system |
US20070269297A1 (en) | 2003-11-10 | 2007-11-22 | Meulen Peter V D | Semiconductor wafer handling and transport |
US7458763B2 (en) | 2003-11-10 | 2008-12-02 | Blueshift Technologies, Inc. | Mid-entry load lock for semiconductor handling system |
US10086511B2 (en) | 2003-11-10 | 2018-10-02 | Brooks Automation, Inc. | Semiconductor manufacturing systems |
KR20070008533A (ko) | 2003-11-10 | 2007-01-17 | 블루쉬프트 테크놀로지스, 인코포레이티드. | 진공-사용 반도체 핸들링 시스템에서 작업 편을 핸들링하기위한 방법 및 시스템 |
KR100611012B1 (ko) * | 2003-12-27 | 2006-08-10 | 동부일렉트로닉스 주식회사 | 반도체 소자 제조 방법 |
US7737051B2 (en) * | 2004-03-10 | 2010-06-15 | Tokyo Electron Limited | Silicon germanium surface layer for high-k dielectric integration |
US7497414B2 (en) | 2004-06-14 | 2009-03-03 | Applied Materials, Inc. | Curved slit valve door with flexible coupling |
US20070196011A1 (en) * | 2004-11-22 | 2007-08-23 | Cox Damon K | Integrated vacuum metrology for cluster tool |
US7351656B2 (en) * | 2005-01-21 | 2008-04-01 | Kabushiki Kaihsa Toshiba | Semiconductor device having oxidized metal film and manufacture method of the same |
US20060240680A1 (en) * | 2005-04-25 | 2006-10-26 | Applied Materials, Inc. | Substrate processing platform allowing processing in different ambients |
US20070020890A1 (en) * | 2005-07-19 | 2007-01-25 | Applied Materials, Inc. | Method and apparatus for semiconductor processing |
JP5269602B2 (ja) * | 2005-11-11 | 2013-08-21 | ディーエスジー テクノロジーズ | シリコンウエハを熱加工するための装置及び方法 |
US7845891B2 (en) | 2006-01-13 | 2010-12-07 | Applied Materials, Inc. | Decoupled chamber body |
JP2009533876A (ja) * | 2006-04-11 | 2009-09-17 | アプライド マテリアルズ インコーポレイテッド | 太陽電池パネルを形成するためのシステム構成及び方法 |
KR100784154B1 (ko) | 2006-04-14 | 2007-12-10 | 주식회사 디엠에스 | 반도체 및 lcd 제조장치의 고밀도 클러스터 툴 |
US7665951B2 (en) | 2006-06-02 | 2010-02-23 | Applied Materials, Inc. | Multiple slot load lock chamber and method of operation |
US7845618B2 (en) | 2006-06-28 | 2010-12-07 | Applied Materials, Inc. | Valve door with ball coupling |
JP5519099B2 (ja) * | 2006-06-28 | 2014-06-11 | アプライド マテリアルズ インコーポレイテッド | ボール継手を有するバルブドア |
US8124907B2 (en) | 2006-08-04 | 2012-02-28 | Applied Materials, Inc. | Load lock chamber with decoupled slit valve door seal compartment |
US20080092819A1 (en) * | 2006-10-24 | 2008-04-24 | Applied Materials, Inc. | Substrate support structure with rapid temperature change |
US20080206036A1 (en) * | 2007-02-27 | 2008-08-28 | Smith John M | Magnetic media processing tool with storage bays and multi-axis robot arms |
US20080206022A1 (en) * | 2007-02-27 | 2008-08-28 | Smith John M | Mult-axis robot arms in substrate vacuum processing tool |
DE102008026133B4 (de) * | 2008-05-30 | 2013-02-07 | Advanced Micro Devices, Inc. | Verfahren zum Verringern der Metallunregelmäßigkeiten in komplexen Metallisierungssystemen von Halbleiterbauelementen |
US8034691B2 (en) * | 2008-08-18 | 2011-10-11 | Macronix International Co., Ltd. | HDP-CVD process, filling-in process utilizing HDP-CVD, and HDP-CVD system |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
AU2009330055B2 (en) * | 2008-12-23 | 2015-11-05 | Xoma (Us) Llc | Flexible manufacturing system |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8602706B2 (en) * | 2009-08-17 | 2013-12-10 | Brooks Automation, Inc. | Substrate processing apparatus |
TWI408766B (zh) * | 2009-11-12 | 2013-09-11 | Hitachi High Tech Corp | Vacuum processing device |
US8481355B2 (en) * | 2009-12-15 | 2013-07-09 | Primestar Solar, Inc. | Modular system and process for continuous deposition of a thin film layer on a substrate |
DE102010048909A1 (de) * | 2010-10-11 | 2012-04-12 | Ekra Automatisierungssysteme Gmbh | Prozessmaschine, insbesondere zum Bearbeiten und/oder Inspizieren von Substraten |
CN102054910B (zh) * | 2010-11-19 | 2013-07-31 | 理想能源设备(上海)有限公司 | Led芯片工艺集成系统及其处理方法 |
US8950470B2 (en) | 2010-12-30 | 2015-02-10 | Poole Ventura, Inc. | Thermal diffusion chamber control device and method |
US8097085B2 (en) | 2011-01-28 | 2012-01-17 | Poole Ventura, Inc. | Thermal diffusion chamber |
US20120225191A1 (en) * | 2011-03-01 | 2012-09-06 | Applied Materials, Inc. | Apparatus and Process for Atomic Layer Deposition |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
KR200482405Y1 (ko) * | 2012-04-10 | 2017-01-19 | 어플라이드 머티어리얼스, 인코포레이티드 | 클러스터 툴용 챔버간 어댑터 |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
WO2014143846A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc | Multi-position batch load lock apparatus and systems and methods including same |
KR101930011B1 (ko) * | 2013-08-22 | 2018-12-18 | 주식회사 원익아이피에스 | 온도 조절 장치 및 기판 처리 장치 |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10889895B2 (en) | 2014-06-12 | 2021-01-12 | Raytheon Technologies Corporation | Deposition apparatus and use methods |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
GB201421151D0 (en) * | 2014-11-28 | 2015-01-14 | Spts Technologies Ltd | Method of degassing |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US9899242B2 (en) | 2015-04-06 | 2018-02-20 | Varian Semiconductor Equipment Associates, Inc. | Device and method for substrate heating during transport |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
JP6625005B2 (ja) * | 2015-06-30 | 2019-12-25 | 東京エレクトロン株式会社 | 温度測定方法 |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
CN107868942B (zh) * | 2016-09-27 | 2019-11-29 | 北京北方华创微电子装备有限公司 | 一种去气腔室及其去气方法和半导体处理设备 |
CN107871681B (zh) * | 2016-09-27 | 2019-10-08 | 北京北方华创微电子装备有限公司 | 一种去气腔室和半导体处理装置 |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) * | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111316417B (zh) | 2017-11-27 | 2023-12-22 | 阿斯莫Ip控股公司 | 与批式炉偕同使用的用于储存晶圆匣的储存装置 |
JP7206265B2 (ja) | 2017-11-27 | 2023-01-17 | エーエスエム アイピー ホールディング ビー.ブイ. | クリーン・ミニエンバイロメントを備える装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
CN116732497A (zh) | 2018-02-14 | 2023-09-12 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR102709511B1 (ko) | 2018-05-08 | 2024-09-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TW202405221A (zh) | 2018-06-27 | 2024-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
TWI756590B (zh) | 2019-01-22 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
CN110335930B (zh) * | 2019-05-21 | 2024-06-07 | 深圳市帆与航电子科技有限公司 | 全自动真空共晶设备 |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210078405A (ko) | 2019-12-17 | 2021-06-28 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
JP2021111783A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
DE102021110305A1 (de) | 2021-04-22 | 2022-10-27 | Aixtron Se | CVD-Reaktor und Verfahren zu dessen Beladung |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (36)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US2690078A (en) * | 1950-02-07 | 1954-09-28 | Leeds & Northrup Co | Radiation temperature measurement |
US3340176A (en) * | 1965-07-28 | 1967-09-05 | Western Electric Co | Vacuum processing machine |
US3584847A (en) * | 1968-05-31 | 1971-06-15 | Western Electric Co | Advancing workpieces through a sputtering chamber |
US4020695A (en) * | 1975-09-18 | 1977-05-03 | Jones & Laughlin Steel Corporation | Method and apparatus for measurement of surface temperature |
US4255717A (en) * | 1978-10-30 | 1981-03-10 | Xerox Corporation | Monolithic multi-emitting laser device |
US4405435A (en) * | 1980-08-27 | 1983-09-20 | Hitachi, Ltd. | Apparatus for performing continuous treatment in vacuum |
DE3118080C2 (de) * | 1981-05-07 | 1986-04-30 | Bergwerksverband Gmbh, 4300 Essen | Vorrichtung zur Messung der Temperaturverteilung entlang der Innenwände von engen, schachtförmigen Räumen |
US4435093A (en) * | 1981-12-08 | 1984-03-06 | Bethlehem Steel Corporation | Pyrometer with sighting window cleanliness monitor |
EP0129150B1 (de) * | 1983-06-16 | 1989-04-26 | Deutsche Forschungsanstalt für Luft- und Raumfahrt e.V. | Verfahren zur berührungslosen, emissionsgradunabhängigen Strahlungsmessung der Temperatur eines Objekts und Vorrichtung zur Durchführung der Verfahren |
US4550684A (en) * | 1983-08-11 | 1985-11-05 | Genus, Inc. | Cooled optical window for semiconductor wafer heating |
US4649261A (en) * | 1984-02-28 | 1987-03-10 | Tamarack Scientific Co., Inc. | Apparatus for heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc. |
US4640223A (en) * | 1984-07-24 | 1987-02-03 | Dozier Alfred R | Chemical vapor deposition reactor |
US4597736A (en) * | 1985-05-03 | 1986-07-01 | Yield Engineering Systems, Inc. | Method and apparatus for heating semiconductor wafers |
US4632056A (en) * | 1985-08-05 | 1986-12-30 | Stitz Robert W | CVD temperature control |
US4666297A (en) * | 1985-11-14 | 1987-05-19 | United Technologies Corporation | Dual spectra optical pyrometer having an air pressure sensitive shutter |
US4708474A (en) * | 1985-11-14 | 1987-11-24 | United Technologies Corporation | Reflection corrected radiosity optical pyrometer |
US4657386A (en) * | 1985-11-14 | 1987-04-14 | United Technologies Corporation | In-flight engine control optical pyrometer |
US4770590A (en) * | 1986-05-16 | 1988-09-13 | Silicon Valley Group, Inc. | Method and apparatus for transferring wafers between cassettes and a boat |
GB8613622D0 (en) * | 1986-06-05 | 1986-07-09 | Smiths Industries Plc | Pyrometer systems |
GB8629492D0 (en) * | 1986-12-10 | 1987-01-21 | Smiths Industries Plc | Optical radiation sensor apparatus |
US4755654A (en) * | 1987-03-26 | 1988-07-05 | Crowley John L | Semiconductor wafer heating chamber |
US5248636A (en) * | 1987-07-16 | 1993-09-28 | Texas Instruments Incorporated | Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation |
US4823291A (en) * | 1987-09-10 | 1989-04-18 | Sensor Control, Inc. | Radiometric measurement of wafer temperatures during deposition |
JPH01125821A (ja) * | 1987-11-10 | 1989-05-18 | Matsushita Electric Ind Co Ltd | 気相成長装置 |
KR970008334B1 (en) * | 1988-02-24 | 1997-05-23 | Tokyo Electron Sagami Kk | Method and apparatus for heat treatment method |
JPH0642474B2 (ja) * | 1988-03-31 | 1994-06-01 | 株式会社東芝 | 半導体製造装置 |
US5061084A (en) * | 1988-04-27 | 1991-10-29 | Ag Processing Technologies, Inc. | Pyrometer apparatus and method |
US4919542A (en) * | 1988-04-27 | 1990-04-24 | Ag Processing Technologies, Inc. | Emissivity correction apparatus and method |
KR960013995B1 (ko) * | 1988-07-15 | 1996-10-11 | 도오교오 에레구토론 가부시끼가이샤 | 반도체 웨이퍼 기판의 표면온도 측정 방법 및 열처리 장치 |
EP0361460A3 (en) * | 1988-09-29 | 1990-08-01 | Sony Corporation | A method for forming a pattern |
US4923584A (en) * | 1988-10-31 | 1990-05-08 | Eaton Corporation | Sealing apparatus for a vacuum processing system |
NL8900544A (nl) * | 1989-03-06 | 1990-10-01 | Asm Europ | Behandelingsstelsel, behandelingsvat en werkwijze voor het behandelen van een substraat. |
US4969748A (en) * | 1989-04-13 | 1990-11-13 | Peak Systems, Inc. | Apparatus and method for compensating for errors in temperature measurement of semiconductor wafers during rapid thermal processing |
US4984902A (en) * | 1989-04-13 | 1991-01-15 | Peak Systems, Inc. | Apparatus and method for compensating for errors in temperature measurement of semiconductor wafers during rapid thermal processing |
JPH0793348B2 (ja) * | 1989-05-19 | 1995-10-09 | アプライド マテリアルズ インコーポレーテッド | 多重チャンバ真空式処理装置及び多重チャンバ真空式半導体ウェーハ処理装置 |
US5156461A (en) * | 1991-05-17 | 1992-10-20 | Texas Instruments Incorporated | Multi-point pyrometry with real-time surface emissivity compensation |
-
1991
- 1991-05-17 US US07/701,800 patent/US5259881A/en not_active Expired - Lifetime
-
1992
- 1992-01-21 KR KR1019930703484A patent/KR100251824B1/ko not_active IP Right Cessation
- 1992-01-21 CA CA002102197A patent/CA2102197A1/en not_active Abandoned
- 1992-01-21 JP JP4505400A patent/JP2729106B2/ja not_active Expired - Fee Related
- 1992-01-21 EP EP92905216A patent/EP0584077A1/en not_active Withdrawn
- 1992-01-21 WO PCT/US1992/000485 patent/WO1992021144A1/en not_active Application Discontinuation
- 1992-01-21 AU AU12700/92A patent/AU1270092A/en not_active Abandoned
- 1992-04-15 US US07/869,465 patent/US5352248A/en not_active Expired - Fee Related
-
1993
- 1993-11-03 US US08/147,666 patent/US5380682A/en not_active Expired - Lifetime
Also Published As
Publication number | Publication date |
---|---|
EP0584077A1 (en) | 1994-03-02 |
AU1270092A (en) | 1992-12-30 |
WO1992021144A1 (en) | 1992-11-26 |
JPH06507524A (ja) | 1994-08-25 |
CA2102197A1 (en) | 1992-11-18 |
KR100251824B1 (ko) | 2000-04-15 |
US5259881A (en) | 1993-11-09 |
US5352248A (en) | 1994-10-04 |
US5380682A (en) | 1995-01-10 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2729106B2 (ja) | ウェファ処理クラスタ・ツール・バッチ予熱及び脱気方法及び装置 | |
US5516732A (en) | Wafer processing machine vacuum front end method and apparatus | |
US5674786A (en) | Method of heating and cooling large area glass substrates | |
US7019263B2 (en) | Substrate heating apparatus and multi-chamber substrate processing system | |
JP3238432B2 (ja) | マルチチャンバ型枚葉処理装置 | |
KR100382292B1 (ko) | 반도체장치의제조방법및반도체제조장치 | |
US6467491B1 (en) | Processing apparatus and processing method | |
JP4174837B2 (ja) | 縦型熱処理炉 | |
EP0584076B1 (en) | Semiconductor wafer processing module | |
JPH06267873A (ja) | 処理装置 | |
US6234788B1 (en) | Disk furnace for thermal processing | |
JP2002261148A (ja) | 処理システム及び被処理体の予熱方法 | |
JPS63133521A (ja) | 半導体基板の熱処理装置 | |
KR100456711B1 (ko) | 열처리장치 | |
JP2002359237A (ja) | 基板処理装置および半導体装置の製造方法 | |
JP2929260B2 (ja) | 塗布膜形成方法及びその装置 | |
JP4876337B2 (ja) | 処理システム | |
JPH09104983A (ja) | 基板処理装置 | |
JP3447974B2 (ja) | 基板処理装置 | |
JP2942388B2 (ja) | 半導体製造装置 | |
JPH07221087A (ja) | 膜形成方法及びその装置 | |
JPH08335572A (ja) | 半導体製造装置 | |
JP2002246445A (ja) | 基板処理装置 | |
JPH04147616A (ja) | 縦型熱処理装置 | |
JP2003243383A (ja) | 縦型熱処理装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20111212 Year of fee payment: 14 |
|
LAPS | Cancellation because of no payment of annual fees |