KR20070008533A - 진공-사용 반도체 핸들링 시스템에서 작업 편을 핸들링하기위한 방법 및 시스템 - Google Patents

진공-사용 반도체 핸들링 시스템에서 작업 편을 핸들링하기위한 방법 및 시스템 Download PDF

Info

Publication number
KR20070008533A
KR20070008533A KR1020067011218A KR20067011218A KR20070008533A KR 20070008533 A KR20070008533 A KR 20070008533A KR 1020067011218 A KR1020067011218 A KR 1020067011218A KR 20067011218 A KR20067011218 A KR 20067011218A KR 20070008533 A KR20070008533 A KR 20070008533A
Authority
KR
South Korea
Prior art keywords
arm
robot
robot arm
handling
handling system
Prior art date
Application number
KR1020067011218A
Other languages
English (en)
Inventor
피터 반 데르 뮬렌
Original Assignee
블루쉬프트 테크놀로지스, 인코포레이티드.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 블루쉬프트 테크놀로지스, 인코포레이티드. filed Critical 블루쉬프트 테크놀로지스, 인코포레이티드.
Publication of KR20070008533A publication Critical patent/KR20070008533A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/02Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type
    • B25J9/04Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type by rotating at least one arm, excluding the head movement itself, e.g. cylindrical coordinate type or polar coordinate type
    • B25J9/041Cylindrical coordinate type
    • B25J9/042Cylindrical coordinate type comprising an articulated arm
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67733Overhead conveying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T74/00Machine element or mechanism
    • Y10T74/20Control lever and linkage systems
    • Y10T74/20207Multiple controlling elements for single controlled element
    • Y10T74/20305Robotic arm

Abstract

진공-사용 반도체 핸들링 시스템에서 재료를 핸들링하기 위해 제공된 방법 및 시스템이 제공되며, 선형 핸들링 시스템을 가로지르기 위해 아암-아암으로 재료를 핸들링하기 위한 방법 및 시스템을 포함한다.

Description

진공-사용 반도체 핸들링 시스템에서 작업 편을 핸들링하기 위한 방법 및 시스템{METHODS AND SYSTEMS FOR HANDLING WORKPIECES IN A VACUUM-BASED SEMICONDUCTOR HANDLING SYSTEM}
본 발명은 반도체 생산에 대한 것이며, 특히 진공 처리 시스템에서 재료의 운반을 위해 사용된 머신에 대한 것이다.
현재 반도체 생산 장치는 여러 개의 다른 형태가 있으며, 각각은 심각한 단점들을 지니고 있다. 클러스터 장치는, 다수의 반도체 처리 모듈을 중앙의 로보트 아암을 중심으로 방사상으로 배열시키는 머신으로서, 많은 양의 공간을 차지하며, 상대적으로 느리고, 그리고 이들의 아키텍쳐에의해 최대 5 개 또는 6 개인 작은 수의 반도체 처리 모듈로 제한된다. 린니어 장치(linear tools)는 상기 클러스터 장치보다 훨씬 복잡하고 빠른 속도를 제공하는 것이지만, 대부분의 현재 반도체 생산 장비 인프라에 맞지 않으며, 더욱이 반도체 생산 진공 환경 내에서 장비 컴포넌트의 선형 이동은 컴포넌트들 사이 마찰에 의해 발생되는 허용할 수 없는 수준의 입자들과 같은 선형 시스템에서의 문제를 일으킨다.
여러 하이브리드 아키덱쳐가 존재하며, 이들은 방사상의 처리 모듈 배열과 선형 배열 컴비네이션을 사용한다.
한 가지 형태의 선형 시스템은 생산 장비에 의해 처리되는 물품을 고정 시킬 수 있는 이동 카트와 함께 레일 또는 트랙을 사용한다. 상기 카트는 카트에 장치된 이동 가능 아암으로 상기 재료를 고정하기도 하고 그렇지 않기도 한다. 레일-타입 시스템에서의 또 다른 문제는 보다 많은 공간을 사용하는 측벽 장착 또는 다른 구성을 필요로 하는, 진공(in-vacuum) 버퍼를 포함시켜야 하는 어려움이 있다는 것이다. 레일-타입 시스템에서는, 일정시간 내에 처리 할 수 있는 작업량을 유지 시키기 위해 레일 위에 많은 수의 카트를 가질 필요가 있는 데, 이는 시스템의 신뢰도 그리고 처리된 재료의 안전과 관련하여, 복잡하고, 비싸며 그리고 높은 위험이 따를 수 있는 것이다. 또한, 상기 카트로부터의 재료를 한 처리 모듈 내로 이동시키기 위해 상기 카트에 하나 또는 두 개의 아암을 장착할 필요가 있는 데, 이는 시스템을 더욱 복잡하게 한다. 레일 시스템에서 상기 선형 모터 또는 레일을 중단 시키지 않고 상기 진공 시스템의 섹션들을 분리시키는 것은 곤란하며, 이는 기술적으로 매우 복잡하고 비싼 것이다. 레일 시스템에서 상기 카트에 장착된 아암은 만약 카트가 자기적으로 부상된다면, 상기 아암이 보상하기가 곤란한 캔틸리버를 발생시키기 때문에, 심각한 편향 문제를 가질 수 있다. 상기 카트는 물리적인 레일위 바퀴로 장치된다면 입자 문제(particle problems)를 가질 수 있다.
따라서, 선형 장치의 문제를 피하면서 클러스터 장치의 고유한 제한을 극복할 수 있는 반도체 생산 장비를 제공할 필요가 존재하는 것이다.
본 발명에 따라 매우 콤팩트한 공간으로 웨이퍼 또는 다른 기판을 이동 시킬 수 있는 진공 처리 시스템과 같은 재료 운반, 특히 처리 시스템을 위해 사용되는 방법 및 시스템이 제공된다. 상기 방법 및 시스템은 반도체 생산을 위해 사용될 수 있지만, 지공에서 재료들을 처리하는 것이 바람직한 다른 처리 또는 산업에서도 상기 방법 시스템이 사용될 수 있기도 하다. 문맥상 특별히 명백한 경우를 제외하고는, 생산 장비, 처리 시스템, 로보트 처리 시스템, 진공 처리 시스템, 반도체 처리 시스템, 반도체 생산 장비, 웨이퍼 처리 시스템, 생산 시스템 등은 반도체 웨이퍼 또는 다른 물품을 처리하고 생산하기위한 모든 종류의 시스템, 처리 그리고 장비를 포함하는 것으로 해석되는 것이다.
상기 방법 및 시스템은 다수의 처리 모듈을 포함하여, 생산처리에서 물품을 처리하기 위한 방법 및 시스템이 제공되며, 각각이 상기 물품에서의 처리를 실행하고, 그리고 하나 이상의 4-링크 로보트 SCARA 아암이 처리 모듈 사이 상기 물품을 이동시키도록 하는 것이다. 실시 예에서, 상기 방법 및 시스템은 진공 처리 시스템과 관련하여 사용된다.
상기 방법 및 시스템은 다수의 처리 모듈을 포함하며, 각각이 상기 물품에서의 처리를 실행하고 그리고 이중 로보트 아암 장치를 포함하며, 상기 이중 로보트 아암 장치는 처리 모듈 사이에서 물품들을 처리하기 위한 상부 아암 그리고 하부 아암을 포함한다. 실시 예에서, 상기 이중 로보트 아암 장치는 두 개의 마주 보고 있는 4-링크 SCARA 아암을 포함한다.
상기 방법 및 시스템은 선형 배열 내 그리고 하나 이상의 처리 장치 내 한 축을 따라 배치된 다수의 처리 모듈을 포함하여, 상기 물품을 한 처리 모듈에서 다른 한 처리 모듈로 이동시키도록 하며, 상기 처리 장치는 로보트 아암을 포함한다. 실시 예에서 상기 방법 및 시스템은 한 진공 생산 장치를 포함한다. 실시 예에서, 상기 로보트 아암은 단일 또는 이중 SCARA 아암이다. 실시 예에서, 상기 SCARA 아암은 4-링크 SCARA 아암이다. 실시 예에서 상기 아암은 단일, -이중, 또는 리프-프로그-레그(Leap-Frog-leg) 스타일 아암이다. 실시 예에서, 상기 SCARA 아암은 4 개 이상 혹은 그 이상의 링크를 갖는다.
상기 방법 및 시스템은 입력 그리고 출력 엔드를 갖는 생산 장비의 선형 배열을 포함하며, 상기 생산 장비는 진공 장치 그리고 리턴 장치를 포함하여, 물품이 생산 처리 중 출력에 도달한 뒤에 상기 입력 엔드로 물품을 되보내도록 한다. 실시 예에서, 상기 생산 장비는 다수의 처리 모듈을 포함하며, 한 물품이 로보트 아암 장치에 의해 처리 모듈들 사이에서 이동된다. 실시 예에서, 상기 로보트 아암 장치는 SCARA 아암 장치이다. 실시 예에서 상기 SCARA 아암 장치는 4-링크 SCARA 아암을 포함한다. 실시 예에서, 상기 SCARA아암 장치는 이중의 마주하는 4-링크 SCARA 아암이다. 실시 예에서, 상기 리턴 장치는 에어 리턴이고 상기 처리 모듈들은 진공 내에 있다.
실시 예에서 상기 시스템을 따라 다수의 입력 및 출력 장치들이 있을 수 있다. 실시 예에서 공기-이용 그립퍼가 웨이퍼를 갖는 캐리어 형태를 취하며, 이를 초기 엔트리 포인트가 아닌, 설비 라인의 반에 도달하는 포인트에서 선형 시스템으로 한다. 실시 예에서 한 그립커가 엔드 출구 포인트가 아닌, 상기 설비 라인 중간 포인트와 같은 한 위치에서 재료를 이동시킬 수 있다.
실시 예에서, 상기 방법 및 시스템은 캔틸 리버이며, 즉 상기 선형 시스템은 일직선 구성이어야 할 필요는 없다.
한 특징에 따라, 상기 시스템은 다수의 처리 모듈을 포함하며, 각각의 처리 모듈은 한 작업 편에 대하여 하나 또는 둘 이상의 생산 처리를 수행하며, 상기 처리 모듈은 제 1 처리 모듈로부터 한 마지막 처리 모듈로 한 시퀀스로 상기 작업 편의 순차적 처리를 위해 배열된다. 그리고 상기 제 1 처리 모듈과 상기 마지막 처리 모듈 사이의 중간-엔트리 포인트는 한 작업 편을 상기 시퀀스로 추가하거나, 상기 중간-엔트리 포인트에서 상기 시퀀스로부터 한 작업 편을 제거하도록 구성된다.
상기 작업 편은 상기 중간-엔트리 포인트에서 상기 시퀀스로 입력 될 수 있다. 상기 작업편은 상기 중간-엔트리 포인트에서 상기 시퀀스로부터 나갈 수 있기도 하다. 상기 시스템은 다수의 중간-엔트리 포인트들을 더욱 포함할 수 있으며, 중간-엔트리 포인트 각각은 상기 다수의 처리 모듈 두개 사이에 위치한다. 상기 시스템은 다수의 중간-엔트리 포인트들중 제 1의 한 포인트로 상기 작업편을 이동시키고 그리고 상기 다수의 중간-엔트리 포인트들 중 제 2의 한 포인트로부터 상기 작업 편을 회수하는 한 리턴 메커니즘을 더욱 포함할 수 있다. 상기 작업 편은 다수의 처리 모듈중 한 선택된 순차적 서브 세트로 처리 될 수 있다. 상기 처리 모듈은 한 작업 편이 상기 시퀀스로 추가되는 또는 상기 작업 편이 상기 시퀀스로부터 제거되는 한 중간-엔트리 포인트에 따라 다수의 각기 다른 생산 처리를 수행하도록 배열될 수 있다. 상기 중간-엔트리 포인트는 다수의 각기 다른 생산 장치들을 연결시키도록 한다. 두 개의 생산 장치는 분리되는 때보다 한 중간-엔트리 포인트에 의해 연결되는 대 보다 공간적으로 경제적이다. 상기 처리 모듈은 제어된 환경에서 상기 작업 편에 작업을 한다. 상기 제어된 환경은 진공, 제어된 압력, 제어된 온도, 제어된 에어 청결, 또는 제어된 가스 혼합 어는 하나 이상을 포함할 수 있다.
또 다른 특징으로, 상기에서 설명된 한 작업 편을 처리하기 위한 방법은 한 시퀀스로 다수의 처리 모듈을 배열하여, 순차적으로 한 작업 편에 작업을 하도록 하고, 한 중간 엔트리 포인트를 통하여 상기 처리 모듈 중 두 개를 연결시키고 그리고 상기 중간-엔트리 포인트에서 상기 시퀀스로 작업 편을 추가시킴을 포함한다. 또 다른 특징에 따라, 한 방법은 한 시퀀스로 다수의 처리 모듈을 배열하여, 한 작업 편에 순차적으로 작업하고, 한 중간-엔트리 포인트를 통하여 상기 처리 모듈중 두 개를 연결시키며, 그리고 한 작업 편을 상기 중간-엔트리 포인트에서 상기 시퀀스로부터 제거시킴을 포함한다.
본원 명세서에서 공개된 한 방법은 한 적재 엔드 및 출구 엔드사이 한 선형 축을 중심으로 다수의 진공-이용 처리 모듈을 제공하고, 그리고 상기 적재 엔드 및 출구 엔드사이 진공-사용 처리 모듈로 물품을 적재하거나 상기 처리 모듈로부터 물품을 제거하기 위한 중간 적재 로크 장치(load lock facility)를 제공한다.
상기 방법은 상기 중간 적재 로크 장치로 그리고 그로부터 물품을 전달시키기 위한 공기-사용 디리버리 시스템을 제공함을 더욱 포함한다. 상기 방법은 상기 중간 적재 로크 포인트에서 한 물품을 소개시킴을 포함할 수 있다. 상기 방법은 또한 상기 중간 적재 로크 포인트에서 한 물품을 제거시킴을 더욱 포함할 수 있다. 상기 방법은 순차적인 처리를 따라 다수의 중간 적재 로크 포인트들을 제공할 수 있으며, 상기 중간 적재 로크 포인트 각각은 두 인접한 진공-사용 처리 모듈 사이에 위치한다. 상기 방법은 다수의 상기 중간 적재 로크 포인트들 하나로 또는 그로부터 물품을 이동시키기위한 리턴 메커니즘을 제공함을 포함한다. 상기 작업 편은 상기 중간 적재 로크 포인트 두 개 사이 선택된, 순차적인 다수의 처리 모듈 서브 세트에 의해 처리된다.
상기 진공-사용 처리 모듈은 상기 작업 편이 시퀀스로부터 제거되는 다수의 중간 적재 로크 포인트들 중 한 포인트 또는 상기 시퀀스로 추가되는, 다수의 중간 적재 로크 포인트 적어도 한 포인트에 따라 다수의 각기 다른 생산 처리를 수행하도록 배열될 수 있다. 상기 생산 장치들은 공간을 절약하도록 배열된다. 다수의 상기 생산 장치들 중 2 개의 장치가 분리된 때 보다는 한 적재 로크 포인트에 의해 연결되는 때 더욱 공간 절약적이다. 상기 진공-이용 처리 모듈들은 한 제어 환경에서 작업 편에 작업 할 수 있다. 상기 제어된 환경은 진공, 제어된 압력, 제어된 온도, 제어된 청결, 또는 제어된 가스 혼합가운데 적어도 하나를 포함한다.
본원 명세서에서 설명된 시스템은 한 작업 편에서 순차적으로 작업하도록 한 시퀀스로 배열된 다수의 처리 모듈, 한 중간-엔트리 포인트를 통하여 처리 모듈 두 개를 연결시키기 위한 연결 수단, 그리고 한 작업 편을 상기 중간-엔트리 포인트에서 상기 시퀀스에 추가시키기 위한 추가 수단을 포함한다.
본원 명세서에서 설명된 시스템은 한 작업 편에서 순차적으로 작업하도록 한 시퀀스로 배열된 다수의 처리 모듈, 한 중간-엔트리 포인트를 통하여 처리 모듈 두 개를 연결시키기 위한 연결 수단, 그리고 한 작업 편을 상기 중간-엔트리 포인트에서 상기 시퀀스로부터 제거 시키기 위한 제거 수단을 포함한다.
또 다른 특징으로, 본원 명세서에서 설명된 생산 장치는 물품들을 처리하기 위한 일련의 진공-이용 처리 모듈; 그리고 물품들을 상기 진공-이용 처리 모듈 하나 또는 둘 이상으로 전달시키고 그리고 이들 처리 모듈로부터 물품들을 가져오기 위한 한 쌍의 적재 로크를 포함하며, 상기 적재 로크가 상기 진공-사용 처리 모듈 하나 또는 둘 이상에 근접하여 수직 스택으로 배치된다.
상기 시스템은 물품을 처리하기 위한 하나 또는 둘 이상의 로보트 아암을 더욱 포함한다. 상기 한 또는 둘 이상의 로보트 아암은 SCARA 아암을 포함한다. 상기 하나 또는 둘 이상의 로보트 아암은 4-링크 SCARA 아암을 포함한다. 상기 하나 또는 둘 이상의 아암은 3-링크 SCARA 아암을 포함한다. 상기 하나 또는 둘 이상의 아암은 한 쌍의 수직 스택 4-링크 SCARA 아암을 포함한다. 실시 예에서 상기 아암은 단일, -이중, 또는 리프-프로그-레그 스타일 아암이다. 상기 시스템은 상기 처리 시스템 내 각기 다른 포인트에서 다수 쌍의 수직 스택 적재 로크들을 포함한다.
상기 각기 다른 포인트들은 반도체 처리 시스템의 중간 포인트를 포함한다.
본원 명세서에서 설명된 한 생산 장치가 로보트 컴포넌트; 작업 편, 그리고 상기 로보트 컴포넌트에 의해 상기 작업 편에 수행된 처리를 모니터하기 위한 센서를 포함한다. 상기 센서는 라이트 센서, 접촉 센서, 근접 센서, 음 센서, 용량성 센서, 그리고 자기 센서 중 하나 이상을 포함한다. 상기 센서는 수직 근접 센서를 포함 할 수 있다. 상기 센서는 수평 근접 센서를 포함할 수 있다. 상기 시스템은 다수의 대각선 배치 센서를 포함할 수있다. 상기 시스템은 다수 위치에서 다수의 근접 센서를 포함할 수 있다. 상기 센서는 상기 작업 편, 상기 로보트 컴포넌트, 또는 작동체 아암 하나 또는 둘 이상 이동을 탐지하기 위한 센서를 포함할 수 있다. 상기 시스템은 상기 로보트 컴포넌트 위치를 결정하기 위해 사용된 다수 센서를 포함할 수 있다. 상기 시스템은 상기 로보트 컴포넌트 최종 위치를 탐지하기 위해 위치한 다수의 위치를 포함할 수 있다. 상기 최종 위치는 펼쳐진 위치 또는 수축된 위치 또는 펼쳐진 위치와 수축된 위치사이 중간 위치일 수 있다. 상기 센서는 상기 작업 편 경로를 확인하도록 사용된 한 신호를 제공한다. 상기 센서는 위치로부터 이동하는 작업 편을 탐지 할 수 있다. 상기 생산 처리는 센서로부터의 신호에 응답하여 작업편이 위치로부터 이동한 곳에서 정지 될 수 있다. 상기 로보트 아암은 작업 편을 한 안전한 위치로 이동시킬 수 있다. 상기 로보트 아암은 상기 작업 편을 자동으로 이동시킬 수 있다. 상기 로보트 아암은 사용자 제어 하에서 상기 작업 편을 이동 시킬 수 있다. 상기 센서는 상기 로보트 아암 또는 작업 편 적어도 하나가 상기 생산 장치와 충돌하는 것을 막도록 사용될 수 있다.
상기 센서는 송신기와 통신할 수 있다. 상기 송신기는 무선 송신기를 포함할 수 있다. 상기 무선 송신기는 상기 센서로부터 한 무선 수신기로 센서 신호를 보낼 수 있다. 상기 처리기는 사용자에게 상기 센서의 위치를 나타낼 수 있다. 상기 센서 신호는 상기 센서의 위치를 나타낼 수 있다. 상기 시스템은 상기 센서로 파워를 공급하는 전지를 포함할 수있다. 상기 시스템은 상기 센서로 연결된 송신기로 파워를 공급하는 전지를 포함할 수 있다. 상기 센서는 상기 로보트 컴포넌트를 트레인하도록 사용될 수 있다. 상기 로보트 컴포넌트는 진공에서 트레인 될 수 있다. 상기 센서는 무선으로 한 외부 수신기에 결합되어, 상기 진공이 대기로 빠져나가는 것을 막을 수 있으며, 대기에 노출된 후 웨이퍼 생산 시스템의 처리 모듈로부터 수분을 없애야 할 필요를 막을 수 있다. 센서 피드백은 상기 센서 위치를 제공할 수 있다. 상기 센서는 상기 작업 편으로 부착될 수 있다. 상기 센서는 상기 웨이퍼 생산 시스템 처리 모듈 내에 위치할 수 있기도 하다. 상기 센서는 위험한 환경에서 사용될 수 있기도 하다. 사용자는 센서 피드백에 따라 상기 로보트 컴포넌트를 제어 할 수 있다. 상기 센서는 상기 로보트 컴포넌트의 비-충돌 트레이닝을 위해 사용될 수있다. 상기 비-충돌 트레이닝은 상기 작업 편 또는 로보트 컴포넌트 적어도 하나의 충돌을 막을 수 있다. 상기 센서는 로보트 컴포넌트 위치를 제공하며, 로보트 컴포넌트는 하나 또는 둘 이상의 로보트 아암을 포함할 수 있다. 상기 센서는 작업 편의 위치를 제공하며, 상기 작업 편은 반도체 웨이퍼를 포함할 수 있다. 상기 센서는 상기 작업 편의 방향을 제공하며, 상기 작업 편은 반도체 웨이퍼를 포함할 수 있다. 상기 센서는 상기 웨이퍼 생산 시스템 처리 모듈 내에 위치하여 질 수 있다.
또 다른 특징에 따라, 본원 명세서에서 설명된 바의 로보트 웨이퍼 생산 시스템을 설치하기 위한 방법은: 로보트 컴포넌트를 제공하고; 작업 편을 제공하며; 그리고 상기 포보트 컴포넌트, 작업 편, 또는 상기 로보트 컴포넌트와 작업 편을 둘러싸는 처리 모듈 챔버 적어도 하나에 한 센서를 위치시키고, 상기 센서가 상기 로보트 컴포넌트에 의해 상기 작업 편에서 수행된 생산 처리를 모니터하도록 함을 포함한다. 또다른 방법은 반도체 생산 처리을 위한 한 로보트 아암을 제공하고; 그리고 다수의 센서들을 제공하여 상기 생산 처리 모듈 내의 한 위치를 탐지하도록 하며 상기 위치가 수직 위치 및 수평 위치를 포함하도록 함을 포함한다.
상기 센서는 상기 로보트 아암의 엔드 작동체 위치를 탐지 할 수 있다. 상기 센서는 로보트 아암의 위치를 탐지할 수 있다. 상기 센서는 상기 로보트 아암의 엔드 작동체에 의해 고정된 작업 편 위치를 탐지할 수 있다. 상기 작업편은 웨이퍼일 수 있으며, 상기 센서 중 적어도 하나는 상기 로보트 아암이 수축되는 때 상기 웨이퍼에 의해 커버되도록 위치 될 수있다. 상기 작업 편은 웨이퍼 일 수 있으며 상기 센서 중 적어도 하나는 상기 웨이퍼 반경 바깥 쪽에 위치하여 져서, 상기 센서가 상기 로보트 아암 펼쳐진 위치와 수축된 위치사이 웨이퍼의 이동 중 웨이퍼의 전연과 후연을 탐지하도록 한다. 상기 웨이퍼의 전연과 후연 탐지는 상기 웨이퍼가 상기 로보트 아암 작동체 중심에 있는 가를 결정하도록 사용된다. 상기 센서들 중 적어도 두 개가 서로 상기 진공 챔버를 가로질러 위치하여 질 수 있다. 상기 센서들은 진공 챔버 대각선을 따라 배열될 수 있기도 하다. 상기 방법은 진공 챔버 내 적어도 하나의 센서로부터 한 비임을 탐지하기 위해 한 거울을 제공함을 더욱 포함할 수 있다.
상기 방법은 반도체 처리 작용을 수행하도록 상기 로보트 아암을 트레이닝하기 위해 상기 로보트 아암의 위치를 탐지함을 더욱 포함한다.
본원 발명의 한 특징에 따라, 본원 명세서에서 공개된 한 처리 방법은 한 작업 편에 상기 작업 편에 근접한 곳에서의 컨디션을 탐지하기 위해 한 센서를 제공하고; 한 처리시스템 내에 상기 작업 편을 배치 시키며; 그리고 상기 데이터로부터의 데이터를 수신하여 상기 처리 시스템에 의해 상기 작업 편의 처리와 관련된 컨디션을 탐지하도록 함을 포함할 수 있다.
상기 작업 편은 한 반도체 웨이퍼일 수 있다. 상기 작업 편은 반도체 웨이퍼 형상으로 구성될 수 있다. 상기 센서는 근접 센서, 용량성 센서, 광학적 센서, 온도계, 압력 센서, 화학적 센서, 방사선 탐지기, 그리고 자기 센서 중 적어도 하나일 수 있다. 상기 방법은 무선 주파수 데이터를 상기 센서로부터 전송함을 포함할 수 있다. 상기 방법은 상기 센서로부터 상기 처리 시스템으로 데이터를 통신시킴을 포함할 수 있다. 상기 방법은 상기 처리 시스템으로 상기 작업 편이 근접함을 탐지함을 포함할 수 있다.
상기 방법은 상기 센서로부터의 테이터를 사용하여 반도체 처리에서 상기 로보트 아암을 트레이닝함을 포함할 수 있다. 상기 처리 시스템은 반도체 처리 시스템일 수 있다.
또 다른 특징으로, 본원 명세서에서 설명된 시스템은 처리 시스템; 상기 처리 시스템 내에 배치된 작업 편; 그리고 상기 작업 편에 연결된 센서를 포함 할 수 있으며, 상기 센서는 상기 작업 편 가까이에서의 컨디션을 탐지하고, 상기 센서는 상기 컨디션과 관련된 한 신호를 제공한다.
상기 작업 편은 반도체 웨이퍼일 수 있다. 상기 작업 편은 한 반도체 웨이퍼 형상으로 구성될 수 있다. 상기 센서는 근접 센서, 용량성 센서, 광학적 센서, 온도계, 압력 센서, 화학적 센서, 방사선 탐지기, 그리고 자기 센서 중 적어도 하나 일 수 있다. 상기 시스템은 상기 센서로부터 무선 주파수 데이터를 송신하기 위한 송신기를 포함할 수 있다. 상기 작업 편은 상기 처리 시스템으로의 데이터가 연결될 수 있게 한다. 상기 센서는 상기 처리 시스템 한 특징으로 상기 작업 편이 근접함을 탐지 할 수 있다. 상기 로보트 아암은 상기 센서로부터의 데이터를 사용하여 반도체 처리를 수행하기 위해 트레인될 수 있다. 상기 처리 시스템은 반도체 처리 시스템일 수 있다.
본 발명의 한 특징에 따라, 본 원 명세서에서 설명된 한 시스템은 진공 생산 처리 챔버 내에 유지되는 진공 내에 위치하는 로보트 컴포넌트; 그리고 상기 로보트 컴포넌트 움직임을 작동시키기 위한 하나 또는 둘 이상의 드리아브를 포함할 수 있으며, 상기 하나 또는 둘 이상의 드라이브는 상기 진공 외부의 모터 드라이브 하드웨어를 포함할 수 있다.
상기 모터 드라이브 하드웨어는 하나 또는 둘 이상의 전기 도선을 포함할 수 있다. 상기 모터 드라이브 하드웨어는 하나 또는 둘 이상의 인코더를 포함할 수 있다. 상기 모터 드라이브 하드웨어는 하나 또는 둘 이상의 신호 LED를 포함할 수 있다. 상기 모터 드라이브 하드웨어는 하나 또는 둘 이상의 픽-엎을 포함할 수 있다. 상기 모터 드라이브 하드웨어는 하나 도는 둘 이상의 베어링을 포함할 수 있다. 상기 모터 드라이브 하드웨어는 하나 또는 둘 이상의 자석을 포함할 수 있다. 상기 모터 드라이브 하드웨어는 립-시일(lip-seal) 또는 철-유동(ferrofluidic) 시일을 사용하여 진공으로부터 밀봉될 수 있다. 최소의 컴포넌트 아웃게싱(outgassing)이 있을 수 있다. 상기 시스템은 신속한 진공 펌프 다운을 제공하는 진공 펌프를 포함할 수 있다. 상기 처리 모듈 내에 진공을 릴리이스 하지 않고 상기 모터 드라이브 하드웨어로의 접근을 허용함으로써 상기 모터 하드웨어의 사용이 개선될 수 있다.
상기 모터 드라이브 하드웨어는 로보트 드라이브를 포함할 수 있다. 상기 모터 드라이브 하드웨어는 상기 처리 모듈 외부에 있을 수 있다. 상기 모터 드라이브 하드웨어는 상기 진공 내에 최소 표면을 제공하도록 위치하여 질 수 있다. 최소의 재료가 아웃게싱을 최소로 하도록 사용될 수 있다. 상기 진공 바깥 모터 드라이브 하드웨어 위치는 더욱 신속한 펌프 다운을 제공할 수 있다. 상기 시스템은 로보트 컴포넌트를 위한 하나 이상의 드라이브 공동을 포함할 수 있다. 상기 진공은 상기 드라이브 공동 내에 유지 될 수 있다. 상기 드리이브 공동의 체적은 작을 수 있다.
본원 명세서에서 설명된 진공-사용 반도체 처리 시스템에서 로보트를 구동(드라이브)하기 위한 시스템은 로보트를 위한 구동 축에 회전 구동력을 제공하는 한 구동 카드리지; 그리고 한 회전 시일 유닛을 포함할 수 있으며, 이때 상기 회전 시일 유닛은 상기 구동 축이 상기 진공 속에 배치되는 동안 상기 구동 카트리지를 상기 진공 바깥에서 시일하게된다.
상기 구동 카트리지는 한 쌍의 구동 카트리지를 포함하며, 각각이 일체형 인코더, 베어링, 그리고 자석을 가질 수 있다. 상기 회전 시일 유닛은 동심 축을 가지며, 멀티플-축 회전 시일 유닛일 수 있다. 상기 회전 시일 유닛은 립(lip) 시일을 사용할 수 있다. 상기 회전 시일 유닛은 철-유동 시일을 사용할 수 있다. 상기 구동 카트리지는 이동 가능성 및 대체 가능성을 위해 상기 구동 축에 결합될 수 있다.
한 특징에 따라, 본원 명세서에서 설명된 방법은 진공 생산 처리 챔버 내에 유지되는 진공 속에 로보트 컴포넌트를 위치시키고; 상기 진공 바깥으로 상기 로보트 컴포넌트 이동을 작동시키기 위해 하나 또는 둘 이상의 드라이브를 위치시키며, 상기 하나 또는 둘 이상의 드라이브가 모터 드라이브 하드웨어를 포함하고; 그리고 상기 로보트 컴포넌트를 한 진공-시일 인터페이스를 통하여 하나 또는 둘 이상의 드라이브에 연결시킴을 포함한다.
상기 모터 드라이브 하드웨어는 하나 또는 둘 이상의 전기 도선, 하나 또는 둘 이상의 인코더, 하나 또는 둘 이상의 신호 LED, 하나 또는 둘 이상의 픽-엎, 하나 또는 둘 이상의 베이링, 및/또는 하나 또는 둘 이상의 자석을 포함할 수 있다. 상기 모터 드라이브 하드웨어는 립-시일 또는 철-유동 시일을 사용하여 상기 진공으로부터 시일될 수 있다. 컴포넌트의 최소 아웃게싱이 있을 수 있다. 진공 펌프가 신속한 진공 펌프 다운을 제공한다. 상기 모터 드라이브 하드웨어 사용가능성은 상기 처리 모듈 내에 진공을 릴리이스하지 않고 상기 모터 드라이브 하드에어로의 접근을 허용함으로써 개선될 수 있다. 상기 모터 드라이브 하드웨어는 로보트 드라이브를 포함할 수 있다. 상기 모터 드라이브 하드웨어는 상기 처리 모듈 외부에 있을 수 있다. 상기 모터 드라이브 하드웨어는 상기 진공 내에 최소의 표면을 제공하도록 위치하여 진다. 최소의 아웃게싱을 달성시키기 위해 최소의 재료가 사용될 수 있다. 상기 모터 드라이브 하드웨어는 상기 진공 바깥측에 위치하여 더욱 신속한 펌프 다운을 제공하도록 한다. 상기 방법은 상기 로보트 컴포넌트를 위한 하나 이상의 드라이브 공동을 제공함을 포함한다. 일정 진공이 상기 드라이브 공동 내에 유지된다. 상기 공동의 체적은 작을 수 있다.
본원 명세서에서 설명된 시스템은 진공 생산 처리 챔버 내에 유지되는 진공 속에 위치하는 로보트 컴포넌트; 상기 진공 외부 모터 드라이브 하드웨어의 하나 또는 둘 이상의 컴포넌트; 그리고 상기 모터 드라이브 하드웨어 하나 또는 둘 이상을 상기 로보트 컴포넌트에 결합하기 위한 결합 수단을 포함할 수 있다.
본원 명세서에서 공개된 반도체 생산 시스템은 다수의 수직 스택 적재 스테이션, 그리고 다수의 수직 스택(적재) 처리 모듈을 포함할 수 있다.
네 개 또는 그 이상의 수직 스택 처리 적재 스테이션이 제공될 수 있다. 다수의 수직 스택 적재 스테이션(stacked loading stations) 중 하나는 하나 또는 두 개의 다수 수직 스택 처리 모듈을 포함하는 생산 처리를 공급할 수 있다. 다수의 수직 스택 적재 스테이션 중 두 번째 것은 다수의 수직 스택 적재 스테이션 하나가 상기 생산 처리를 공급되는 동안 적재될 수 있다. 상기 다수의 수직 스택 처리 모듈은 상기 시스템에 대한 공간을 줄이도록 배열될 수 있다. 적어도 하나의 로보트가 상기 수직 스택 적재 스테이션 어느 하나에 접근 할 수 있다. 상기 시스템은 다수의 수직 스택 출구 스테이션을 포함할 수 있다.
적어도 하나의 로보트 컴포넌트가 상기 수직 스택 출구 스테이션 어느 하나에 접근할 수 있다. 적어도 하나의 로보트 컴포넌트가 두 개 이상의 수직 스택 처리 모듈에 접근할 수 있다. 하나 이상의 로보트 컴포넌트가 두 개 이상의 수평 스택 처리 모듈에 접근할 수 있다. 상기 시스템은 두 개의 수평으로 인접한 처리 모듈 사이 하나 이상의 홀딩 스테이션을 포함할 수 있다. 상기 시스템은 하나 또는 둘 이상의 수직 스택 중간-엔트리 스테이션을 포함할 수 있다.
상기 시스템은 두 개 이상의 수직으로 스택(적재된) 중간-엔트리 스테이션에 접근할 수 있는 하나 이상의 로보트 컴포넌트를 포함할 수 있다. 작업 편이 인접한 처리 모듈의 각기 다른 다수의 경로를 통해 이동할 수 있다. 상기 다수의 수직으로 스택(적재된) 처리 모듈은 하나 또는 둘 이상의 진공-이용 처리 모듈을 포함할 수 있다.
상기 시스템은 상기 반도체 생산 처리의 엔트리 포인트 또는 출구 포인트 중 적어도 하나에 근접하여 배치된 다수의 수직으로 스택 적재된 로크를 포함할 수 있다. 상기 다수의 수직으로 스택(적재된) 처리 모듈은 선형 구조로 배열될 수 있다. 상기 시스템은 다수의 수직으로 스택(적재된) 처리 모듈 가운데 작업 편들을 이동시키는 하나 또는 둘 이상의 로보트 아암을 포함할 수 있다. 상기 시스템은 하나 이상의 상부 로보트 아암 세트 그리고 저부 로보트 아암 세트를 포함할 수 있다. 하나 또는 두 개 이상의 로보트 아암 중 적어도 하나가 수직으로 이동하여, 상기 다수의 수직 스택(적재된) 처리 모듈 상부 처리 모듈 그리고 상기 다수의 수직 스택(적재된) 처리 모듈 하부 처리 모듈에 접근할 수 할 수 있도록 한다. 다수의 수직 스택(적재된) 처리 모듈 중 적어도 하나가 수직 스택 내에 세 개 이상의 처리 모듈을 포함할 수 있다.
본원 명세서에서는: 다수의 처리 모듈을 제공하고; 다수의 처리 모듈 중 적어도 두 개를 배치하여 이들이 수평으로 인접하도록 하며; 그리고 상기 다수의 처리 모듈 중 적어도 두 개 이상을 배치하여 이들이 수직으로 인접하도록 함을 포함하는 반도체 생산 처리에서 처리 모듈들을 배열하기 위한 방법이 공개된다.
4 개 또는 그 이상의 수직 스택 적재 스테이션이 제공된다. 다수의 수직 스택 적재 스테이션 가운데 하나가 다수의 수직 스택(적재된) 처리 모듈 중 하나 또는 둘 이상을 포함하는 한 생산 처리를 공급한다. 다수의 수직 스택 적재 스테이션 중 두 번째 것이, 다수의 수직 스택 적재 스테이션 하나가 상기 생산 처리에 공급되는 동안 적재될 수 있다. 상기 다수의 수직 스택 적재 스테이션 적재는 대기 시간을 최소로 하도록 조정된다. 상기 다수의 수직 스택 처리 모듈은 상기 시스템을 위한 공간을 줄이도록 배열될 수 있다. 적어도 하나의 로보트 컴포넌트가 수직 스택 적재 스테이션의 어느 하나에 접근할 수 있다. 이 같은 방법은 다수의 수직 스택 출구 스테이션을 제공함을 포함한다. 적어도 하나의 로보트 컴포넌트가 상기 수직 스택(적재된) 출구 스테이션 어느 하나에 접근할 수 있다.
적어도 하나의 로보트 컴포넌트는 두 개 이상의 수직 스택(적재된) 처리 모듈에 접근할 수 있다. 적어도 하나의 로보트 컴포넌트는 두 개 이상의 수평으로 인접한 처리 모듈에 접근 할 수 있다.
상기 방법은 두 수평으로 인접한 처리 모듈 사이 하나 이상의 홀딩 스테이션을 제공함을 포함한다. 상기 방법은 하나 또는 둘 이상의 수직으로 스택(적재된) 중간-엔트리 스테이션을 제공함을 포함한다. 하나 이상의 로보트 컴포넌트가 두 개 이상의 수직으로 스택 적재된 중간-엔트리 스테이션에 접근할 수 있다. 한 작업 편이 인접한 처리 모듈의 각기 다른 다수의 경로를 통해 이동할 수 있다. 상기 다수의 수직으로 스택 적재된 처리 모듈은 하나 또는 둘 이상의 진공 사용 처리 모듈을 포함할 수 있다. 상기 방법은 상기 반도체 생산 처리의 엔트리 포인트 또는 출구 포인트 적어도 하나에 근접하여 배치된 다수의 수직으로 스택 적재 로크를 제공함을 포함한다. 상기 다수의 수직으로 스택 적재된 처리 모듈은 선형 구성으로 배열될 수 있다.
상기 방법은 다수의 수직으로 스택 적재된 처리 모듈 가운데에서 작업 편들을 이동시키는 하나 또는 둘 이상의 로보트 아암을 제공함을 포함할 수 있다. 상기 하나 또는 둘 이상의 로보트 아암은 한 상부 로보트 아암 세트 그리고 하부 로보트 아암 세트 적어도 하나를 포함할 수 있다. 하나 또는 둘 이상의 로보트 아암 중 적어도 하나가 수직으로 이동하여 수직으로 스택 적재된 다수의 처리 모듈 하나의 상부 처리 모듈 그리고 수직으로 스택 적재된 처리 모듈 하나의 하부 처리 모듈에 접근할 수 있도록 한다.
본원 명세서에서 설명된 웨이퍼 생산 방법은 주위 이상의 동작 온도를 갖는 처리 모듈을 제공하고; 상기 처리 모듈 내로 삽입하기 위해 웨이퍼를 수용하며, 상기 웨이퍼는 상기 주위 온도 가까이 온도를 가지며; 그리고 상기 웨이퍼를 상기 동작 온도에 더욱 가까운 온도로 가열시킴을 포함할 수 있다.
상기 웨이퍼를 가열하는 것은 상기 처리 모듈로 전달하기 전에 사전 가열 스테이션에서 웨이퍼를 가열함을 포함한다. 상기 방법은 상기 웨이퍼를 상기 처리 모듈을 포함하는 생산 처리로부터 제거시키기 전에 상기 주위 온도에 더욱 근접한 한 온도로 냉각함을 더욱 포함한다. 상기 웨이퍼를 냉각 시킴은 상기 웨이퍼가 상기 생산 처리로부터 제거되는 때 상기 웨이퍼에서 응축이 일어나는 것을 막는 온도로 웨이퍼를 냉각시킴을 포함한다. 상기 방법은 상기 웨이퍼를 상기 재료 처리기로 처리하기 전에 재료 처리기를 사전에 가열함을 포함할 수 있다. 상기 웨이퍼를 가열시킴은 상기 웨이퍼가 상기 처리 모듈 내로 삽입되는 때 상기 웨이퍼의 표면에서 응축이 일어나는 것을 막는 온도로 웨이퍼를 가열시킴을 포함할 수 있다. 상기 웨이퍼를 가열시킴은 상기 처리 모듈의 진공 펌프 다운 중에 웨이퍼를 가열시킴을 포함할 수 있다. 상기 웨이퍼를 가열시킴은 상기 처리 모듈의 가속된 진공 펌프 다운 중에 상기 웨이퍼의 표면에서 응축이 일어나는 것을 막는 온도로 웨이퍼를 가열시킴을 포함할 수 있다. 상기 웨이퍼를 가열시킴은 사전 가열된 재료 처리기를 통해 가열하여 웨이퍼를 가열시킴을 포함할 수 있다. 상기 방법은 상기 웨이퍼를 처리하는 재료 처리기 온도를 조정함에 의해 상기 웨이퍼의 냉각을 조정함을 포함할 수 있다.
본원 명세서에서 설명된 웨이퍼 생산 시스템은 주위 온도 이상의 동작 온도를 갖는 처리 모듈; 상기 처리 모듈 내로 삽입하기 위한 웨이퍼; 상기 주위 온도 가까이 온도를 갖는 웨이퍼; 그리고 상기 웨이퍼를 상기 동작 온도에 더욱 가까운 온도로 가열하기 위한 가열 수단을 포함할 수 있다.
또 다른 특징으로서, 본원 명세서에서 설명된 웨이퍼 생산 시스템은 주변 온도 이상의 동작 온도를 갖는 처리 모듈; 그리고 웨이퍼를 상기 처리 모듈 내로 삽입하기 전에 상기 동작 온도에 더욱 가까운 온도로 가열하는 재료 처리기를 포함한다.
상기 웨이퍼를 가열하는 것은 상기 처리 모듈로 전달하기 전에 사전 가열 스테이션에서 웨이퍼를 가열함을 포함한다. 상기 시스템은 상기 처리 모듈을 포함하는 생산 처리로부터 상기 웨이퍼를 제거하기 전에 주위 온도에 더욱 가까운 온도로 웨이퍼를 냉각시키기 위한 냉각 수단을 포함한다. 상기 웨이퍼를 냉각 시키는 것은 상기 웨이퍼가 상기 생산 처리로부터 제거되는 때 상기 웨이퍼레서 응축이 일어나는 것을 막는 온도로 웨이퍼를 냉각시킴을 포함한다. 상기 재료 처리기는 웨이퍼를 처리하기 전에 사전에 가열될 수 있다. 상기 웨이퍼가 상기 처리 모듈 내로 삽입 되는 때 상기 웨이퍼 표면에서 응축이 일어나는 것을 막는 온도로 웨이퍼가 가열될 수 있다. 상기 웨이퍼는 상기 처리 모듈의 진공 펌프 다운 중에 가열될 수 있다. 상기 웨이퍼는 상기 처리 모듈의 가속된 진공 펌프 다운 중에 상기 웨이퍼의 표면에서 응축이 일어나는 것을 막는 온도로 가열될 수 있다. 상기 웨이퍼는 사전 가열된 재료 처리기를 통하여 가열을 적용함으로써 가열될 수 있다. 실시 예에서, 상기 웨이퍼는 상기 웨이퍼 자체를 가열시키는 가열기에 의해 가열될 수 있다. 이 같은 가열기는 상기 웨이퍼 가열기와는 독립적으로 가열되는 적재 로크 내에 설치되거나 포함된다. 이와 같이 하여 상기 적재 로크 챔버(펌프 다운 중에 응축에 일차적으로 영향을 준다)를 독립적으로 제어할 수 있으며, 웨이퍼 사전 가열 또는 사후 냉각을 독립적으로 제어할 수 있다. 상기 적재 로크는 커다란 축열체를 가지며, 따라서 필요한 온도 변화에 느리게 작용할 뿐이다. 상기 웨이퍼 가열기는 매우 작은 축열체와 함께 만들어 질수 있으며, 따라서 펌프 다운 중에는 섭씨 300도로 그리고 벤트 중에는 섭씨 80도로 가열기를 세트할 수 있다. 실시 예에서, 상기 웨이퍼는 상기 웨이퍼를 처리하는 재료 처리기 온도를 제어함으로써 냉각될 수 있다.
또 다른 특징으로서, 진공-사용 반도체 처리 시스템으로 물품을 전달하거나 처리 시스템으로부터 물품을 수용하기 위한 적재 로크를 제공하고; 그리고 상기 적재 로크를 가열함을 포함하는 반도체 가열 방법이 제공된다. 이 같은 방법은 상기 적재 로크의 펌핑 다운 중에 상기 적재 로크를 가열시킴을 포함할 수 있다.
상기 적재 로크는 약 섭씨 50도 그리고 약 섭씨 100도로 가열될 수 있다. 상기 적재 로크는 약 섭씨 10도와 200 도사이로 가열될 수 있다.
본원 명세서에서 설명되는 반도체 처리 시스템은 진공-사용 반도체 처리 시스템으로 물품을 전달하거나 상기 처리 시스템으로부터 물품을 수용하기 위한 적재 로크; 그리고 상기 적재 로크를 가열하기위한 가열 요소를 포함할 수 있다. 상기 적재 로크는 상기 적재 로크 펌핑 다운 중에 가열될 수 있다. 사이 적재 로크는 약 섭씨 50로 그리고 약 섭씨 100도로 가열될 수 있다. 상기 적재 로크는 약 섭씨 10도와 200 도사이로 가열될 수 있다.
또 다른 특징에 따라, 본원 명세서에서 설명된 시스템은 반도체 생산 처리에서 재료 처리를 위한 한 컴포넌트를 포함할 수 있으며, 상기 컴포넌트는 상기 컴포넌트 내 공진 진동 전파를 누그러뜨리는 불 균일 단면을 만드는 테이퍼를 갖는다.
상기 컴포넌트는 엔드 작동체를 포함할 수 있다. 상기 엔드 작동체 상부 표면은 편평하다. 상기 엔드 작동체 하부 표면은 테이퍼되어 있다. 상기 엔드 작동체는 캐스트 재료로 만들어질 수 있다. 상기 테이퍼는 상기 엔드 작동체를 만들기위해 사용된 캐스트 재료를 위한 캐스팅으로 디자인된다. 상기 컴포넌트는 로보트 아암일 수 있다. 상기 컴포넌트는 로보트 아암 링크일 수 있다. 상기 시스템은 다수의 테이퍼 링크를 포함하며, 이들 중 적어도 두 개가 상기 테이퍼 링크가 겹쳐지는 때 상기 테이퍼 링크의 두께를 최소로 하도록 테이퍼된다. 상기 컴포넌트는 엔드 작동체 그리고 로보트 아암을 포함할 수 있으며, 상기 엔드 작동체 그리고 로보트 아암 각각은 테이퍼된다.
또 다른 특징에 따라, 본원 명세서에서 설명된 반도체 처리 방법은 반도체 웨이퍼를 처리하기 위한 엔드 작동체를 제공하고, 그리고 상기 엔드 작동체 공진 진동을 줄이기 위해 상기 엔드 작동체를 테이퍼함을 포함할 수 있다. 상기 방법은 알루미늄 실리콘 카바이드의 엔드 작동체를 구성시킴을 포함할 수 있다.
또 다른 특징에 따라, 본원 명세서에서 설명된 반도체 처리 방법은 로보트 아암 장치를 제공하고; 그리고 상기 로보트 아암 장치의 진동을 줄이기 위해 상기 로보트 아암 장치의 하나 이상의 링크를 테이퍼함을 포함할 수 있다. 상기 방법은 알루미늄 실리콘 카바이드로부터 상기 로보트 아암의 하나 이상의 링크를 구성시킴을 포함한다.
본원 명세서에서 설명된 반도체 처리 방법은: 다수의 로보트 아암 그리고 다수의 처리 모듈을 한 축을 따라 위치시키고; 그리고 다수의 로보트 아암 중 첫 번째 아암으로부터 다수의 로보트 아암 중 두 번째 아암으로 상기 작업 편을 이동시킴으로써 상기 다수의 처리 모듈 가운데에서 한 작업 편을 이동시킴을 포함할 수 있다.
상기 축은 선형일 수 있다. 상기 축은 곡선일 수 있다. 상기 축은 U-자형일 수 있다. 상기 다수의 로보트 아암은 SCARA 아암을 포함할 수 있다.
상기 다수의 로보트 아암은 4-링크 SCARA 아암을 포함할 수 있다. 상기 다수의 로보트 아암은 3-링크 SCARA 아암을 포함할 수있다. 상기 다수의 로보트 아암은 로보트 아암의 링크 쌍을 포함할 수 있으며, 각 링크된 쌍은 두 개의 수직으로 배치된 로보트 아암을 포함한다.
본원 명세서에서 설명된 반도체 처리 시스템은: 한 축을 따라 배열된 다수의 로보트 아암 그리고 다수의 처리 모듈; 그리고 다수의 로보트 아암 중 첫 번째 아암으로부터 다수의 로보트 아암 중 두 번째 아암으로 상기 작업 편을 이동시킴으로써, 상기 다수의 처리 모듈 가운데에서 한 작업 편을 이동시키기 위한 패싱 수단을 포함할 수 있다.
본원 명세서에서 설명된 바의 반도체 처리 방법은: 한 작업 편을 처리하기 위해 첫 번째 로보트 아암을 제공하고; 그리고 상기 첫 번째 로보트 아암에 대하여 수직으로 한 위치에서 상기 작업 편을 처리하기 위한 두 번째 로보트 아암을 배치함을 포함할 수 있다.
상기 방법은 상기 첫 번째 로보트 아암을 상기 두 번째 로보트 아암에 기계적으로 결합시킴을 포함한다. 상기 방법은 상기 두 번째 로보트 아암으로부터 상기 첫번째 로보트 아암의 결합을 기계적으로 떼어냄을 포함할 수 있다. 하나 이상의 첫 번째 로보트 아암 그리고 두 번째 로보트 아암은 SCARA 아암일 수 있다. 하나 이상의 첫 번째 로보트 아암 그리고 두 번째 로보트 아암은 4-링크 SCARA 아암일 수 있다. 하나 이상의 첫 번째 로보트 아암 그리고 두 번째 로보트 아암은 3-링크 SCARA 아암일 수 있다.
본원 명세서에서 설명된 반도체 처리 시스템은: 한 작업 편을 처리하기 위한 첫 번째 로보트 아암, 상기 로보트 아암은 한 처리 모듈내에 위치하고; 그리고 상기 작업 편을 처리하기 위한 두 번째 로보트 아암을 포함할 수 있으며, 상기 두 번째 로보트 아암이 상기 첫 번째 로보트 아암에 대하여 수직으로 한 위치에서 상기 처리 모듈 내에 위치하게 된다.
상기 첫 번째 로보트 아암은 상기 두 번재 로보트 아암에 기계적으로 결합될 수 있다. 상기 첫 번째 로보트 아암은 상기 두 번째 로보트 아암으로부터 그 결합이 떼어내 질 수 있다. 하나 이상의 첫 번째 로보트 아암 그리고 두 번째 로보트 아암이 SCARA 아암일 수 있다. 하나 이상의 첫 번째 로보트 아암 그리고 두 번째 로보트 아암이 4-링크 SCARA 아암일 수 있다. 하나 이상의 첫 번째 로보트 아암 그리고 두 번째 로보트 아암이 3-링크 SCARA 아암일 수 있다.
본원 명세서에서 설명된 시스템은: 로보트 드라이브; 물품을 조작하기 위한 엔드 작동체; 상기 로보트 드라이브 메커니즘을 상기 엔드 작동체에 연결하기 위한 로보트 아암으로서 네 개 또는 그 이상의 링크를 포함하는 아암; 상기 네 개 또는 그 이상의 링크를 서로 기계적으로 결합시키어 상기 엔드 작동체가 로보트 드라이브 제어 하에 선형 방향으로 이동하도록 하는 하나 또는 두 개 이상의 코넥터를 포함할 수 있다.
상기 링크 각각이 상기 로보트 아암의 도달-억제 비를 최적으로 하기 위해 선택된 한 길이를 가질 수 있다. 상기 링크 각각이 상기 처리 시스템 근접 컴포넌트와 충돌을 피하도록 선택된 한 길이를 가질 수 있다. 상기 시스템은 상기 로보트 드라이브 동작을 제어하는 컨트롤러를 포함할 수 있다. 상기 컨트롤러는 원격 컨트롤러일 수 있다. 상기 컨트롤러는 시각화 소프트웨어 프로그램과 통합될 수 있다. 상기 엔드 작동체에 근접한 로보트 아암의 링크는 한 오프 셋 손목을 포함하여, 상기 아암이 접힐 수 있도록 할 수 있다. 상기 로보트 아암은 하나 이상의 다른 링크가 접힐 수 있는 한 커트아웃을 갖는 하나 이상의 링크를 포함할 수 있다. 상기 로보트 아암의 적어도 두 개 이상의 연속 링크가 수직 갭이 있으며 스택 적재되어 상기 로보트 아암의 하나 이상의 다른 링크가 적어도 두 개의 연속 링크 사이에서 수직 공간으로 접혀 질 수 있도록 한다. 상기 시스템이 링크들 사이의 적어도 한 바이패스 스플라인을 포함할 수 있다.
본원 명세서에서 설명된 방법은 로보트 드라이브, 물품을 조작하기 위한 엔드 작동체, 그리고 상기 엔드 작동체에 상기 로보트 드라이브 메커니즘에 연결시키는 로보트 아암을 제공하고, 상기 로보트 아암은 4 개 또는 그 이상의 링크를 포함하며; 그리고 상기 4 개 도는 그 이상의 링크를 상호 연결시키어, 상기 엔드 작동체가 상기 로보트 드라이브의 제어하에 선형 방향으로 이동하도록 함을 포함할 수 있다.
상기 링크 각각은 상기 로보트 아암의 도달-억제(reach-to-containment) 비를 최적으로 하도록 선택된 한 길이를 가질 수 있다. 상기 링크 각각은 상기 처리 시스템의 근접 컴포넌트와의 충돌을 피하도록 선택된 한 길이를 가질 수 있다. 상기 방법은 한 컨트롤러로 상기 로보트 드라이브의 동작을 조정함을 포함할 수 있다. 상기 컨트롤러는 가시화 소프트웨어 프로그램으로 통합될 수 있다. 상기 컨트롤러는 두 개 이상의 로보트 아암을 제어 할 수 있다. 상기 엔드 작동체에 근접한 로보트 아암의 링크는 한 오프셋 손목을 포함하여 상기 아암이 접혀질 수 있도록 할 수 있다. 상기 로보트 아암은 하나 이상의 다른 링크가 접혀질 수 있는 커트아웃을 갖는 하나 이상의 링크를 포함할 수 있다. 상기 로보트 아암의 두 개 이상의 연속 링크가 수직 갭을 가지며 스택 적재되어, 상기 로보트 아암의 하나이상의 다른 링크가 상기 두 개 이상의 연속 링크 사이 수직 공간으로 접혀 질 수 있도록 한다. 상기 로보트 아암의 두 개 이상의 연속 링크는 수직 갭을 가지며 스택 적재되어, 상기 로보트 아암이 상기 처리 시스템 컴포넌트와 충돌하지 않고 사전에 정해진 전달 평면에 도달 할 수 있도록 한다. 상기 방법은 링크들 사이의 하나 이상의 바이패스 스플라인을 제공함을 포함할 수 있다.
본원 명세서에서 설명된 시스템은 선형 트랙을 중심으로 배치된 반도체 생산 처리를 위한 다수의 처리 모듈; 상기 선형 트랙에 이동할 수 있도록 결합된 그리고 상기 선형 트랙을 따라 이동하도록 구성된 카트; 그리고 상기 다수의 처리 모듈 가운데에서 작업 편들을 조작하기 위해 상기 카트 상에 배치된 로보트 아암을 포함할 수 있다.
상기 로보트 아암은 SCARA 아암을 포함할 수 있다. 상기 SCARA 아암은 4-링크 SCARA 아암을 포함할 수 있다. 상기 SCARA 아암은 3-링크 SCARA 아암을 포함할 수 있다.
본원 명세서에서 설명된 반도체 처리 시스템은 한 적재 엔드 그리고 출구 엔드를 갖는 선형 구성으로 배치된 진공 처리 시스템; 그리고 상기 출구 엔드로부터 상기 적재 엔드로 물품을 리턴시키기 위한 비-진공 리턴 시스템을 포함할 수 있다.
상기 비-진공 리턴 시스템은 상기 진공 처리 시스템 위에 배치될 수 있다. 상기 비-진공 리턴 시스템은 상기 진공 처리 시스템 아래에 배치될 수 있다. 상기 비-진공 리턴 시스템은 상기 진공 처리 시스템 옆에 배치될 수 있다. 상기 비-진공 리턴 시스템은 상기 진공 처리 시스템 내에 배치될 수 있다. 상기 비-진공 리턴 시스템은 상기 진공 처리 시스템으로부터 상기 비-진공 리턴 시스템으로 물품을 이동시키기 위해 상기 출구 엔드에서 한 적재 로크를 포함할 수 있다. 상기 비-진공 리턴 시스템은 상기 출구 엔드로부터 상기 적재 엔드로 물품을 이동시키기 위해 한 슬라이드 메커니즘 그리고 한 그립퍼를 포함할 수 있다.
상기 진공 처리 시스템은 다수의 처리 모듈을 포함할 수 있다. 상기 진공 처리 시스템은 상기 물품을 상기 처리 모듈 가운데에서 이동시키는 하나 또는 둘 이상의 아암을 포함할 수 있다. 상기 시스템은 상기 다수의 로보트 아암 첫 번째 아암으로부터 두 번재 아암으로 상기 물품을 이동시킴으로써, 물품을 이동시키는 다수의 로보트 아암을 포함할 수있다. 상기 다수의 로보트 아암은 SCARA 아암을 포함할 수있다. 상기 다수의 로보트 아암은 4-링크 SCARA 아암을 포함할 수 있다. 상기 다수의 로보트 아암은 3-링크 SCARA 아암을 포함할 수 있다. 상기 다수의 로보트 아암은 서로 수직으로 배치된 적어도 한 쌍의 링크된 로보트 아암을 포함할 수 있다.
상기 다수의 처리 모듈은 2 또는 그 이상의 인수로 공간이 변경될 수 있다. 상기 시스템은 반도체 생산 장치를 포함하며, 상기 반도체 생산 장치는 다수의 선형 반도체 처리 시스템을 포함하고, 상기 다수의 선형 반도체 처리 시스템은 나란히 배열되어, 상기 다수의 선형 반도체 처리 시스템 적재 엔드가 상기 반도체 생산 장치 주요 수송 루트와 마주볼 수 있도록 한다.
본원 명세서에서 설명된 반도체 생산 장치는 하나 이상의 텀블 그립퍼(tumble gripper)를 포함할 수 있어서, 한 반도체 웨이퍼를 수용할 수 있으며, 상기 텀블 그립퍼는 한 쌍의 그립핑 모듈을 포함하고, 그립핑 모듈 각각이 상기 반도체 웨이퍼 한 쌍의 병렬 에지 하나를 수용할 수 있도록 하고, 상기 그립핑 모듈 수평 부분이 한 수평 평면 내에서 상기 반도체 웨이퍼를 지지하며 상기 그립핑 모듈의 수직 부분이 상기 반도체 웨이퍼가 상기 수평 평면에서 이동하지 못하도록 하는, 한 위치로 반도체 웨이퍼를 수용하자마자 그립핑 모듈 각각이 회전할 수 있다.
본원 명세서에서 설명된 바의 반도체 웨이퍼를 처리하는 방법은 반도체 웨이퍼를 홀딩하기 위한 엔드 작동체를 제공함을 포함하며, 상기 엔드 작동체는 수평 평면에서 상기 반도체웨이퍼를 지지하도록 구성된 한 수용 슬롯을 포함하고, 상기 반도체 웨이퍼가 상기 수평 평면에서 이동하지 못하게 하며, 그리고 상기 엔드 작동체가 상기 반도체 웨이퍼가 상기 엔드 작동체위로 위치하여 지는 때 상기 수용 슬롯 내로 상기 반도체 웨이퍼를 슬라이드하도록 구성된 램프를 포함한다.
본원 명세서에서 설명된 반도체 처리 시스템은 다수의 로보트 아암을 포함하며, 적어도 두 개의 로보트 아암이 한 공통 드라이브 장치를 공유한다. 상기 적어도 두 개의 로보트 아암은 SCARA 아암일 수 있다. 상기 적어도 하나의 로보트 아암은 4-링크 SCARA 아암이다. 상기 적어도 두 개의 로보트 아암은 독립적으로 동작할 수 있거나, 종속적으로 동작할 수 있다.
또 다른 특징에 따라, 본원 명세서에서 설명된 반도체 처리 시스템은 프로그-레그 아암 구성을 갖는 로보트 아암을 포함할 수 있으며, 상기 프로그-레그 아암 구성은 적어도 두 쌍의 프로그 레그 아암을 포함할 수 있다.
본원 명세서에서 설명된 바, "로버트"는 기계적 능력 그리고 조정 능력을 포함하는 로보트 또는 유사 장치를 포함하며, 이는 컨트롤러, 처리기, 컴퓨터, 또는 유사 장치, 한 세트의 모터 또는 유사 장치, 하나 또는 둘 이상의 리졸버, 인코더 또는 유사 장치, 하나 또는 둘 이상의 기계적 또는 동작 장치를 포함하며, 일례로서 아암, 바퀴, 레그, 링크, 갈구리, 익스텐더, 그립, 노즐, 스프레이, 작동체, 액추에이터, 등등, 그리고 이들의 조합을 들 수 있다. 한 실시 예는 로보트 아암이다.
본원 명세서에서 "드라이브"는 이동을 발생시키기 위한 구동 메커니즘 또는 장치의 형태를 포함한다. 실시 예에서 이는 로보트의 모터/인코더 섹션을 포함한다.
본원 명세서에서, "축"은 아암 멤버와 같이, 한 기계적 멤버로 연결, 벨트 또는 유사한 장치를 통하여 기계적으로 연결된 모터 또는 드라이브를 포함한다. "N-축 드라이브"는 N축을 포함하는 드라이브를 포함하며; 가령 "2-축 드라이브"는 두 개의 축을 포함하는 한 드라이브이다.
본원 명세서에서 사용되는 바, "아암"은 하나 또는 둘 이상의 아암 또는 레그 부재, 베어링, 그리고 처리될 재료를 홀딩하거나 그립핑하기 위한 하나 또는 둘 이상의 엔드 작동체를 포함할 수 있는 수동 또는 능동(모터/인코더를 담고 있음을 의미함) 연결을 포함한다
본원 명세서에서 사용되는 바, "SCARA 아암"은 당업 자에게 알려진 선택적 컴플라이언트 어셈블리 로보트 아암(Selectively Compliant Assembly Robot Arm)(SCARA)을 의미하며, 한 드라이브에 연결된 하나 또는 둘 이상의 상측 링크로 구성된 아암, 상기 드라이브의 일부인 모터에 벨트 또는 메커니즘을 통해 연결된 하나 또는 둘 이상의 하측 링크, 그리고 엔드 작동체 또는 액추에이터와 같은 하나 또는 둘 이상의 엔드 유닛을 포함한다.
본원 명세서에서 사용되는 바, "턴 반경"은 아암이 완전히 수축되는 때 아암이 수용되는 반경을 의미한다.
본원 명세서에서 사용되는 바, "리치(도달)"은 로보트 아암과 관련하여, 아암이 완전히 확장되는 때 얻어지는 최대 도달을 포함한다. 완전히 확장되지 않은 아암을 조정하는 것이 더욱 용이하기 때문에(완전 확장 시 좌/우 단독 실시는 조정하기가 곤란할 수 있다) 대개 상기 기계적 리미트는 실질적인 유효 도달보다 다소 더 연장된다.
본원 명세서에서, "억제(containment)"는 아암이 최적으로 수축되어 최소 반경을 갖는 아암/엔드 작동체/재료를 중심으로 가상의 원이 그려질 수 있도록 하는 때의 상황을 의미한다.
본원 명세서에서, "도달-억제 비"는 로보트 아암과 관련하여 최대 도달/최소 억제의 비를 의미한다.
본원 명세서에서, "로보트-로보트" 거리는 두 각기 다른 로보트 드라이브 회전의 중심 축 사이 수평 거리를 포함한다.
본원 명세서에서, "슬롯 밸브"는 로보트 아암이 통과 할 수 있도록 하는 개방 및 폐쇄되는 장방형 형상 밸브(진공 챔버의 펌프 다운을 제어하는 진공 (고립) 밸브와는 다른 것이다.)를 포함한다. 가령, SEMI E21.1-1296 스탠다드(반도체 생산을 위해 공표된 스탠다드)는 일정 반도체 생산 처리 모듈에서 300mm 웨이퍼용의 슬롯 밸브는 336mm의 오픈닝 폭을 가지며, 50mm의 오픈닝 높이, 60mm의 총 밸브 두께, 그리고 장착 볼트 및 정렬 핀 스탠다드를 명시한다.
본원 명세서에서, "전달 평면"은 재료가 한 로보트 챔버로부터 한 슬롯 밸브를 통하여 한 처리 모듈 챔버로 이동되는 평면(엘리베이션)을 포함한다. 반도체 생산 장치에 대한 SEMI E21.1-1296 스탠다드에 따르면, 상기 전달 평면은 상기 슬롯 밸브 센터 라인 위 14 mm에 있다.
본원 명세서에서, "섹션"은 하나 또는 둘 이상의 로보트 드라이브를 갖는 진공 챔버를 포함한다. 이는 선형 시스템에서 반복가능한 가장 작은 요소이다.
본원 명세서에서, "링크"는 두 엔드(단부)에서 한 링크, 한 엔드 작동체, 또는 로보트 드라이브에 연결된 로보트 아암의 기계적 부재를 포함한다.
본원 명세서에서, "LI,""L2","L3" 등등은 상기 드라이브로부터 시작되는 상기 엔드 작동체로의 상기 아암 링크의 넘버링을 포함한다.
본원 명세서에서, "엔드 작동체"는 상기 로보트 드라이브로부터 멀리 떨어진 그리고 상기 로보트 아암이 작용하는 물품에 근접한 로보트 아암 능동 엔드에서의 요소를 포함한다. 상기 엔드 작동체는 반도체 처리시 운반되어질 재료를 수동적 혹은 능동적으로 홀딩하는 로보트 손이거나, 상기 로보트 아암 엔드에 배치된 액추에이터일 수 있다.
본원 명세서에서, 용어 "SCARA 아암"은 하나 또는 둘 이상의 링크를 포함하는 로보트 아암과 관련하며, 엔드 작동체를 포함할 수 있고, 여기서 제어되는 아암은 물체를 속박하도록 선형으로 이동할 수 있다. SCARA 아암은 3, 4, 또는 그 이상의 다양한 수의 링크를 가질 수 있다. 본원 명세서에서 사용되는 바, "3-링크 SCARA 아암"은 세 개의 부재: 링크 하나(L1), 링크 둘(L2) 그리고 엔드 작동체를 가지는 SCARA 로보트 아암을 포함한다. 3-링크 SCARA 아암을 위한 드라이브는 3 개의 모터: L1에 연결된 하나, L2에 연결되며, 다시 풀리를 통해 상기 엔드 작동체로 연결되는 하나, 그리고 Z(리프트) 모터를 갖는다. 네 번 째 모터를 상기 엔드 작동체에 연결시킬 수 있으며, 이는 세개의 모터만으로는 가능하지 않은 몇가지 유용한 이동을 가능하게 한다.
본원 명세서에서 사용되는 바, "이중 SCARA 아암"은선택적으로 한 공통 드라이브에 연결되는 두 SCARA 아암(두 개의 3 또는 4-링크 SCARA 아암(대개 A 및 B로 지정됨)) 조합을 포함한다. 실시 예에서 상기 두 SCARA 아암은 완전히 독립적이거나 한 공통 링크 부재 L1을 공유한다. 두 개의 독립적인 SCARA 아암을 위한 드라이브는 5 개의 모터를 가진다: 하나는 L1-A에 연결되고, 하나는 L1-B에 연결되며, 하나는 아암 A의 벨트 시스템에 연결되고, 하나는 아암 B의 벨트 시스템에 연결되며, 그리고 하나의 공통 Z(리프트) 모터. 두 개의 종속적인 SCARA 아암을 위한 드라이브는 아암 A 및 B 모두를 위한 한 공통 공유 L1 링크를 가지며, 네 개의 모터를 포함한다: 하나는 상기 공통 링크 L1에 연결되고, 하나는 아암 A를 위한 상기 벨트 시스템에 연결되며, 하나는 아암 B를 위한 상기 벨트 시스템에 연결되고, 그리고 한 공통 Z(리프트) 모터.
본원 명세서에서, 4-링크 SCARA 아암은 4 개의 부재: L1, L2, L3 및 작동체를 갖는 아암을 포함한다. 4-링크 SCARA 아암을 위한 드라이브는 4 개의 모터를 가질 수 있다: 하나는 L1에 연결되고, 하나는 L2 및 L3에 연결된 상기 벨트 시스템에 연결되며, 하나는 상기 엔드 작동체에 연결되고 그리고 Z 모터. 실시 예에서는, 단지 3 개의 모터만이 필요하다: 하나는 L1에 연결되며, 하나는 L2, L3그리고 엔드 작동체에 연결된 상기 벨트 시스템에 연결되고, 그리고 Z 모터.
본원 명세서에서, "프러그-레그 스타일 아암"은 5 개의 부재: L1A, LIB, L2A, L3B 및 엔드 작동체를 갖는 한 아암을 포함한다. 프로그-레그 아암을 위한 드라이브는 세개의 모터를 가질 수 있다: 하나는 기어링 등에 의해 L1B- 에 기계적으로 연결된 L1A- 에 연결되며, 하나는 전체 아암 어셈블리를 회전시키는 터릿대에 연결되고, 그리고 Z 모터. 실시 예에서, 상기 드라이브는 세 개의 모터를 포함한다: 하나는 L1A에 연결되고, 하나는 L1B에 연결되며, 그리고 Z 모터. 그리고 상기 드라이브는 모터들 간의 조정을 통하여 바람직한 이동을 달성한다.
본원 명세서에서, "이중 프로그-레그 스타일 아암"은 8 개의 부재, L1A, L1B, L2A-1, L2A-2, L2B-1, L2B-2, 그리고 두 개의 엔드 작동체를 갖는 아암을 포함한다. 상기 제 2 링크 부재 L2A-1 및 L2B-1는 단일-레그 스타일 아암을 형성시키며, 반면 상기 제 2 링크 부재 L2A-2 및 L2B-2는 단일의 프로그-레그 스타일 아암을 형성시키지만, 반대 방향으로 향한다. 이중 프로그 아암을 위한 드라이브는 단일 프로그 아암을 위한 드라이브와 같은 것일 수 있다.
본원 명세서에서, "리프 프로그-레그 스타일 아암(Leap Frog-leg style arm)"은 8 개의 부재, L1A, L1B, L2A-1, L2A-2, L2B-1, L2B-2, 그리고 두 개의 엔드 작동체를 갖는 아암을 포함한다. 상기 제 1 링크 부재 L1A 및 L1B는 이들의 원격한 엔드에 의해서가 아닌, 이들의 중심에 의해 상기 모터들 중 한 모터에 각각 연결된다. 상기 제 2 링크 부재 L2A-1 및 L2B-1는 단일 프로그-레그 스타일 아암을 형성시키며, 반면 상기 제 2 링크 부재 L2A-2 및 L2B-2는 단일의 프로그-레그 스타일 아암을 형성시키며, 같은 방향을 향한다. 이중 프로그 아암을 위한 드라이브는 단일 프로그 아암을 위한 드라이브와 같은 것일 수 있다.
하기에서는 첨부 도면을 참조하여 본원 발명을 상세히 설명한다.
도 1은 다양한 생산 장비 타입에 대한 장비 아키텍쳐를 도시한 도면.
도 2는 반도체 생산 처리에서 물품을 처리하기 위한 통상의 클러스터-타입을 도시한 도면.
도 3A 및 3B는 일련의 두 개와 6 개 처리 모듈 사이를 수용하기 위한 클러스터-타입 시스템을 도시한 도면.
도 5는 도 4에서와 유사한 아키텍쳐를 갖는 선형 처리 시스템 평면도.
도 6은 3-링크 SCARA 아암 및 4-링크 SCARA 아암을 도시한 도면.
도 7은 SCARA 아암 도달 및 억제 특성을 도시한 도면.
도 8은 로보트 시스템에 대한 고-수준 컴포넌트를 도시한 도면.
도 9는 처리 시스템에서 사용하기 위한 로보트 아암 시스템에 대한 이중-아암 아키텍쳐 컴포넌트를 도시하는 도면.
도 10은 4-링크 SCARA 아암에 대한 도달 및 억제 능력을 도시한 도면.
도 11은 4-링크 SCARA 아암에 대한 간섭 특성을 도시한 도면.
도 12는 전송 메커니즘으로서 벨트를 사용하여 4-링크 SCARA 아암의 이중-아암 세트 측면도.
도 13은 전송 메커니즘으로서 스플라인 링크를 사용하여 4-링크 SCARA 아암 이중-아암 세트 측면도.
도 14는 선형 아키텍쳐를 갖는 처리 시스템에 대한 외부 리턴 시스템을 도시한 도면.
도 14a는 선형 처리 시스템에 대한 U-자형 구성을 도시한 도면.
도 15는 도 14의 처리 시스템에 대한 외부 리턴 시스템 세부사항을 도시한 도면.
도 16은 도 14의 처리 시스템에 대한 외부 리턴 시스템 추가 세부사항을 도시한 도면.
도 17은 도 14의 상기 리턴 시스템 내 출력 캐리어 이동을 도시한 도면.
도 18은 도 14의 리턴 시스템 내 빈 캐리어 처리를 도시한 도면.
도 19는 적재 로크 위치 내로 도 14의 리턴 시스템 내 빈 캐리어 이동을 도시한 도면.
도 20은 도 14 리턴 시스템 내 그립퍼 이동과 낮추어진 그리고 비워진 빈 캐리어를 도시한 도면.
도 21은 가득 찬 캐리어가 도 14 리턴 시스템 내에서 비워지는 때 빈 캐리어가 재료를 수용함을 도시한 도면.
도 22는 도 14 리턴 시스템 내 새로운 리턴 사이클을 시작하여, 한 홀딩 위치로 가져가진 빈 캐리어를 도시한 도면.
도 23은 한 생산 처리를 위한 처리 장치에 대한 아키텍쳐로서, 한 선형 아키텍쳐에 이중 아암 로보트 아암 시스템 및 리턴 시스템이 있음을 도시한 도면.
도 24는 본원 발명의 처리 방법 및 시스템에 대한 전체 시스템 아키텍쳐 선택적 실시 예를 도시한 도면.
도 25는 종전 클러스터 시스템과 비교하여 선형 시스템 차지 공간 비교를 도시한 도면.
도 26은 본 발명의 실시 예에 따른 처리 시스템에서 오버사이즈 처리 모듈로 배열된 선형 아키텍쳐를 도시한 도면.
도 27은 본 발명 실시 예에 따른 처리 시스템에 대한 후방-출구 아키텍쳐를 도시한 도면.
도 28은 본 발명의 다양한 실시 예에 따른 선형 처리 시스템을 사용하는 생산 장치에 대한 다양한 레이아웃 가능성을 도시한 도면.
도 29는 로보트가 멀티플 드라이브 및/또는 멀티플 컨트롤러를 포함하는, 본원 발명의 한 실시 예를 도시한 도면.
도 30은 본원 발명의 실시 예와 관련한 전달 평면 및 슬롯 밸브를 도시한 도면.
도 31은 웨이퍼를 중심에 맞추기 위한 텀블 그립퍼를 도시한 도면.
도 32은 웨이퍼를 중심에 맞추기 위한 수동 슬라이딩 램프를 도시한 도면.
도 33은 중간-엔트리 장치를 포함하는 생산 장치를 도시한 도면.
도 34는 평면도로부터 중간-엔트리 장치를 포함하는 생산 장치를 도시한 도면.
도 35은 본 발명의 실시 예에 따라 로보트 아암 위치 그리고 재료의 탐지를 위한 광학적 센서의 이동을 포함하는 생산 장치를 도시한 도면.
도 36은 광학적 광선 경로 그리고 선택적 광선 경로를 도시한 단면 측면 도로서, 한 생산 장치를 도시한 도면.
도 37은 로보트 아암에 의해 처리된 재료 중심을 결정하기 위해 광학적 센서가 어떻게 사용될 수 있는 가를 도시한 도면.
도 38은 종래 기술의 3-축 로보트 진공 드라이브 아키텍쳐를 도시한 도면.
도 39는 본 발명의 실시 예에 따른 신규한 3-축 로보트 진공 드라이브 아키텍쳐를 도시한 도면.
도 40A는 본원 실시 에 따른 수직 배열 적재 로크 어셈블리를 도시한 도면.
도 40B는 본 발명 실시예에 따른 웨이퍼 생산 장치 양 측 모두에서 수직으로 배열된 적재 로크 어셈블리를 도시한 도면.
도 41은 본 발명 실시 예에 따른 수직 배열 적재 로크 및 수직 스택 적재 처 리 모듈을 도시한 도면.
도 42는 본 발명 실시 예에 따른 단면 측면도로서, 수직 스택 적재 처리 모듈을 갖는 선형 배열의 두-단계 처리 아키텍쳐를 도시한 도면.
도 43은 평면도로서, 도 42의 처리 레이아웃을 도시한 도면.
도 44는 본 발명 실시 예에 따른, 한 표적에 대상 물체의 근접함을 탐지하기 위한 센서를 갖는 로보트 아암상의 기계가 설치된 대상 물체를 도시한 도면.
도 45는 한 표적에 대한 센서의 이동이 어떻게 로보트 아암이 장애물과 관련한 위치를 탐지할 수 있도록 하는 가를 도시하는 도면.
도 46은 기계가 장치된 대상 물체가 어떻게 중앙 컨트롤러로 위치를 알리기 위해 진공 환경에서 무선 주파수 통신을 사용할 수 있는 가를 도시하는 도면.
도 47은 위치의 함수로서 일련의 센서 출력을 도시한 도면.
도 48은 본 발명의 실시 예에 따라 대상 물체의 열처리를 위해 적재 로크에 가열 요소가 어떻게 위치할 수 있는 가를 도시하는 도면.
도 49는 엔드 작동체에서 능동의 진동 모드를 줄이는, 테이퍼된 엔드 작동체를 도시한 도면.
도 50은 로보트 평면 아암에 대한 로보트 아암 요소의 수직 테이퍼링이 어떻게 수직 스택 높이에 영향을 주지않고, 아암 세트내 진동을 줄이는 데 사용될 수 있는 가를 도시한 도면.
도 51은 이중의 독립 SCARA 로보트 아암을 도시한 도면.
도 52는 이중의 독립 SCARA 로보트 아암을 도시한 도면.
도 53은 프로그-레그 스타일 로보트 아암을 도시한 도면.
도 54는 이중 프로그-레그 스타일 로보트 아암을 도시한 도면.
도 55A는 인버트 이동가능 카트에 장치된 4-링크 SCARA 아암, 그리고 이동 가능 카트에 장치된 4-링크 SCARA 아암을 도시한 도면.
도 55B는 도 55A 평면도를 도시한 도면.
도 56은 선형 축을 따라 웨이퍼를 패스하기 위해 3-링크 싱글 또는 이중 SCARA 아암 로보트 시스템을 도시한 도면.
도 57은 2-단계 진공 처리 로보트 시스템을 도시한 것으로서, 상부 그리고 하부 처리 모듈이 로보트 아암 내 수직 축에 의해 접근 가능함을 도시한 도면.
도 58A은 두 단계 중 한 단계에서 선형 축을 따라 기판이 패스되는 두 단계 처리 장치를 도시한 도면.
도 58B는 상기 시스템 후방으로부터 기판이 이동되는 도 58a의 변경을 도시한 도면.
도 59B는 선형 축에서 매우 큰 처리 모듈을 수용하는 생산 장치를 도시하는 도면이며, 서비스 공간이 이용가능하여 처리 모듈 내부로 접근을 허용하도록 함을 도시한 도면.
도 59B는 4 개의 커다란 처리 모듈 그리고 하나의 작은 처리 모듈을 위한 보다 콤팩트한 레이아웃을 도시한 도면.
도 60은 시스템과 같은 면에 기판을 갖는 이중 프로그-레그 스타일 로보트 조작기를 도시한 도면.
도 1은 다양한 생산 장치 타입에 대한 장치 아키텍쳐(1000)를 도시한 도면이다. 각 타입의 생산 장치가 반도체 웨이퍼와 같은 물품을 화학적 증기 증착 처리, 에칭 처리 등과 같은 여러 처리 사이에서 핸들한다. 반도체 생산 처리는 입자 그리고 휘발성 유기 화합물과 같은 오염물에 극도로 민감하기 때문에, 이 같은 처리는 특정 처리용으로 만들어진 하나 또는 둘이상의 처리 모듈 내에서 진공 환경으로 발생된다.
반도체 웨이퍼는 칩과 같은 최종 제품을 생산하기 위해 다양한 처리 가운데 핸들링 시스템에 의해 이동된다. 다양한 구성(1000)이 핸들링 시스템을 위해 존재한다. 널리 알려진 시스템은 클러스터91002)이며, 그 처리 모듈은 로보트 아암과 같은 중앙 핸들링 시스템을 중심으로 방사상으로 위치하여 진다. 다른 실시 예에서, 핸들링 시스템은 실시 예(1004)에서와 같이 수평으로 물품을 회전시킬 수 있다. 장치 각 타입의 중요한 특징은 "차지면적(footprint)" 혹은 상기 장치가 반도체 생산 장비에서 차지하는 면적이다. 상기 차지 면적이 더욱 크면 클수록 더욱 더 많은 공간이 생산 장비에서 다수의 기계를 수용하기 위해 요구되어진다. 또한 상기 차지 공간이 더욱 크다는 것은 더욱 큰 진공 시스템의 필요와 관련이 있으며, 이는 생산 비용의 급격한 증가를 의미하는 것이다.
상기 아키텍쳐(1004)는 "에이지 수잔(lazy susan)" 장비에서 물품을 회전시킨다. 상기 (1006)에서의 아키텍쳐는 처리 모듈내로 그리고 이로부터 바깥으로 물품을 이동시키며, 상기 처리 모듈은 서로 인접하여 배열된다. 상기 아키텍쳐(1008) 는 (1002)에 유사한 클러스터로 처리 모듈들을 위치시키며, 다만 중앙의 로보트가 나란히 놓인 두 개의 웨이퍼를 핸들링한 다는 것이 다르다. 이들 시스템 각각은 클러스터 장치의 많은 과제들을 공유하며, 한 웨이퍼가 정해진 처리 모듈 내로 그리고 그로부터 또 다른 모듈 이동하는 때 상당한 프로그램 교환 시간 지연을 포함하고, 뿐 만 아니라 더욱 더 많은 웨이퍼가 상기 시스템을 통해 이동되는 때 정해진 처리 모듈에 대한 진공 환경의 청결함을 유지하는 데 상당한 어려움을 포함한다.
도 2는 종래의 반도체 생산 처리에서 물품을 핸들링하기 위한 종래의 클러스터-타입 아키텍쳐(2000)를 도시한 것이다. 로보트 아암(2004)은 상기 로보트 아암(2004)을 중심으로 클러스터로 위치하는 다양한 처리 모듈(2002) 가운데에서 웨이퍼와 같은 물품을 이동시킨다. 한 대기 기판 핸들링 미니-환경 챔버(2008)가 상기 장치에 의한 핸들링을 위해 재료를 수용하고 그리고 처리가 종료되면 재료를 홀딩한다. 더욱 더 많은 처리 모듈(2002)을 추가하는 것이 얼마나 어려운 것인 가를 알 수 있다. 하나 추가 모듈(2002)이 잠정적으로 맞을 것이면, 실질적인 구성은 5 개의 처리 모듈(2002)로 제한된다. 6번째 모듈을 추가시킨다는 것은 특히 로보트 아암(2004)과 같은 장치의 사용가능에 심각한 영향을 미칠 것이다.
도 3A 및 3B는 클러스터 도구 모듈, 대기 미니-환경 핸들링 챔버, 진공 핸들링 챔버 그리고 진공 사용 생산 처리를 위한 플렉시블 아키텍쳐 시스템으로부터의 다른 컴포넌트(300)를 도시한다. 각기 다른 모듈이 바람직한 처리 기술의 생산을 용이하게 하기 위해 함께 어셈블될 수 있다. 가령, 한 기정 칩이 각기 다른 처리 모듈 내에서 각기 다른 화학적 성분(가령 티타늄 니트라이드, 텅스텐 등등)의 화학적 증기 증착 그리고 다른 처리 모듈에서 에칭을 필요로 할 수 있다. 상기 각기 다른 처리 모듈에서의 처리 시퀀스는 독특한 최종 제품을 생산한다. 반도체 컴포넌트가 점점 복잡해짐에 따라, 생산자가 보다 많은 처리 모듈을 추가시킬 수 있도록 하는 플렉시블한 아키텍쳐를 갖는 것이 요구되고 있다.
그러나, 상기 설명된 클러스터 장치는 공간 제한적이며; 따라서 더욱 더 많은 처리 모듈을 추가하는 것은 불가능하며, 더욱 더 복잡한 반도체를 완성하기 위해서는 두 번째 클러스터 장치로 생산을 이동시키는 것이 필요할 수 있다. 도 3A 및 3B에서 도시된 바와 같이, 클러스터 장치는 단계로 나뉘어진 진공 격리를 갖는 두 개의 (3002), 세 개의 (3004), 네 개의 (3006), 5 개의 (3008, 3010), 또는 6 개의 (3012) 처리 모듈을 갖는 구성을 포함할 수 있다.
도 4는 한 생산 처리에서 물품을 핸들링하기 위한 선형 처리 아키텍쳐(4000)의 하이-레벨 컴포넌트를 도시한다. 상기 아키텍쳐는 한 선형 방식으로 배열된 두 개 또는 그 이상의 고정 로보트(4002)를 사용한다. 상기 로보트(4002)는 상기 시스템의 저부에 장치되거나 상기 챔버 리드로부터 아래로 걸려 매달리도록 하거나, 아니면 두 경우가 모두 해당될 수 있기도 하다. 상기 선형 시스템은 상기 로보트를 중심으로 진공 챔버(4012)를 사용한다. 상기 시스템은 여러 개가 연결된 진공 챔버로 구성될 수 있으며, 각각의 진공 챔버(4012)는 선형 방식으로 배열된 그 자신의 로보트를 가지고 있다. 실시 예에서, 한 단일 컨트롤러가 상기 아키텍쳐의 하나 또는 둘 이상의 섹션을 핸들하기위해 설치될 수 있다. 실시 예에서, 진공 챔버(4012) 섹션은 연장가능하며; 즉 생산자는 용이하게 추가의 섹션/챔버(4012)를 추가시킬 수 있고 따라서 클러스터 아키텍쳐에서 보다 훨씬 용이하게 처리 용량을 추가 시킬 수 있다.
각 섹션이 독립된 로보트 드라이브(4004) 그리고 아암(4002)을 사용하기 때문에, 추가의 섹션, 즉 로보트가 추가되는 때 처리량은 높게 유지 될 수 있다. 이와 대조적으로, 클러스터 장치에서는 상기 생산자가 처리 챔버(2002)를 추가시키는 때, 로보트에 이중 아암이 장치된 때에도 상기 시스템은 단일 로보트에 대한 부하를 증가시키며, 결국 로보트의 속도가 제한 인수가 될 수 있다. 실시 예에서, 시스템은 한 단일 드라이브 내로 추가의 로보트 아암(4002)을 추가기킴으로써 이 같은 문제를 해결한다. 다른 생산자는 이중 SCARA 또는 이중 프로그-레그 로보트와 같은 두 개의 완전히 독립된 아암을 갖는 4-축 로보트를 사용하였다. 본원 명세서에서 설명된 선형 시스템은, 각 섹션(4012)이 한 로보트를 포함하기 때문에 로보트 용량에 의해 제한 되지 않을 수 있으며, 따라서 각 섹션(4012)은 클러스터 장치에서 보다 훨씬 큰 볼륨(크기, 양)의 재료를 운반할 수 있다.
실시 예에서 상기 시스템의 컴포넌트는 실시 예에서 상기 컴포넌트 각각을 제어하는 중앙 컨트롤러일 수 있는 소프트웨어 컨트롤러에 의해 제어될 수 있다. 실시 예에서, 상기 컴포넌트는 상기 소프트웨어 제어 하에 한 연결 핸들링 시스템을 형성시키며, 이때 상기 소프트웨어는 각 로보트를 제어하여 또 다른 로보트로 한 재료를 보내거나, 다음 로보트에의해 픽-엎하기 위해 버퍼 내로 보내진다. 실시 예에서, 상기 소프트웨어 제어 시스템은 처리 모듈 또는 로보트와 같은 처리 모듈 또는 로보트와 같은 새로운 컴포넌트가 상기 시스템 내로 폴러그되는 때 그 같은 컴포넌트의 추가를 인식할 수 있는 데, 이는 USB, 이더넷, 파이어와이어(firewire), 블루투쓰(Bluetooth), 802. 11a, 802. 11a, 802. 11g 또는 다른 네트워크와 같은 네트워크를 통해 상기 컴포넌트를 인식하게 된다. 이 같은 실시 예에서, 다음 로보트, 처리 모듈, 또는 다른 컴포넌트가 처리되어질 재료의 흐름을 위해 소프트웨어 스케듈러 내에 플러그 되자 마자, 웨이퍼가 자동으로 재구성되어 상기 재료가 상기 시스템 내 새로운 링크를 통해 경로가 배정될 수 있도록 한다. 실시 예에서, 상기 소프트웨어 스케듈러는 신경 네트를 기초로 하며, 혹은 규칙을 이용한 스케듈러일 수 있기도 하다. 실시 예에서 처리 모듈은 한 네트워크를 통해 이들이 알려질 수 있도록 할 수 있으며, 따라서 상기 소프트웨어 컨트롤러가 어는 새로운처리 모듈, 로보트, 또는 다른 컴포넌트가 연결되었는 가를 알 수 있도록 한다. 새로운 처리 모듈이 비어 있는 면내로 플러그 된 때, 상기 시스템이 이를 인식하고 그리고 상기 재료 핸들링 흐름 내로 스케듈될 수 있도록 한다.
실시 예에서, 상기 소프트웨어 시스템은 사용자가 상기 시스템의 시뮬레이션을 실행하도록 하는 인터페이스를 포함할 수 있다. 상기 인터페이스는 사용자가 다양한 링크, 로보트 아암 그리고 다른 컴포넌트의 연결 그리고 구성을 볼 수 있도록 하여, 구성(다양한 컴포넌트를 통한 재료의 흐름을 이동시키고, 처리 모듈들을 이동시키며, 로보트들을 이동시키는 등과 같은)을 최적으로 하게하며, 그리고 한 공급자로부터 어는 구성을 구매할 것인가를 결정할 수 있도록 한다. 실시 예에서, 상기 인터페이스는 웹 인터페이스일 수 있다.
본원 명세서에서 설명된 상기 방법 그리고 시스템은 로보트 드라이브들 사이 에서 선택적인 버퍼 스테이션(4010)을 사용할 수 있다. 로보트들은 직접 서로에세 핸드-오프(건네주기)할 수 있다. 그러나 이는 최적합하게 하기에는 기술적으로 더욱 어려우며, 두 개의 로보트를 전유할 수 있는 데, 이는 이들이 모두 핸드오프를 하기 위해 동시에 이용될 수 있어야하기 때문이며, 이는 이들이 다른 로보트가 준비된 때 픽-엎 할 수 있는 더미 위치(4010)로 두는 때보다 더욱 제한 적이다. 상기 버퍼(4010)는 또한 더욱 더 높은 처리량를 허용하는 데, 이는 상기 시스템이 이용가능해지는 로보트 모두를 기다려야 할 필요가 없기 때문이다. 또한, 상기 버퍼(4010)는 가열, 냉각, 정렬, 검사, 도량, 시험 또는 세척과 같은 웨이퍼에 대한 몇 가지 작은 처리를 수행하기 위한 좋은 기회를 제공할 수 있기도 하다.
실시 예에서, 본원 명세서에서 설명된 상기 방법 및 시스템은 로보트 세그먼트들(4012)사이 선택적인 진공 격리 밸브(4006)를 사용한다. 세그먼트 각각(4012)은 어떤 다른 세그먼트(4012)로부터도 완전히 격리 될 수있다. 한 로보트가 극도록 청결하고 민감한 재료(가령 웨이퍼)를 세그먼트(4012)에서 핸들한다면, 그와 같은 세그먼트(4012)를 상기 시스템 나머지로부터 격리시키는 것이 보다 청결하지 못한 세그먼트(4012)로부터 청결한 세그먼트(4012)로의 크로스-오염을 막을 수 있게 한다. 상기 생산자는 또한 각기 다른 압력으로 세그먼트(4012)들을 동작시킬 수 있다. 상기 생산자는 진공 레벨을 계단식으로 할 수 있으며, 이때 진공이 상기 기계 내로 더욱 더 높아지게 된다. 세그먼트들(401) 사이 진공 격리 밸브(4006)를 사용하는 큰 장점은 자동으로 청결한 웨이퍼를 핸들링하는 것(세척 단계 후에 발생되며 환경으로부터 오염 없이 처리 모듈 사이에서 운반될 필요가 있는)이 상기 격리된 챔버 세그먼트(4012)로 들어가는 시스템의 다른 부분 내 재료 또는 웨이퍼로부터 아웃-개싱(out-gassing)없이 수행될 수 있다는 것이다.
실시 예에서, 로보트 사이 진공 격리는 버퍼 모듈(4010), 미니-처리 모듈 또는 조사 모듈(4010)을 사용하는 것과 같은 로보트들 사이 실질적인 버퍼링과 같이 가능하다.
도 5는 선형 처리 시스템(4000)의 평면도이며, 이는 도 4의 것과 유사한 한 선형 아키텍쳐를 갖는 시스템의 평면도이다.
각기 다른 형태의 로보트가 반도체 생산 장비에서 사용될 수 있으며, 클러스터 장치 또는 선형 처리 머신은 도 4 및 도 5와 관련하여 설명된 바와 같다.
도 6은 3-링크 SCARA 아암(6002) 그리고 4-링크 SCARA 아암(6004)을 도시한다. 상기 3-링크 또는4-링크 아암(6002,6004)은 로보트 드라이브에 의해 구동된다. 상기 3-링크 아암(6002)은 산업 분야에서 일반적으로 사용된다. 상기 3-링크 SCARA 아암(6002)이 사용되는 때, 상기 시스템은 도달-억제 비가 양호하지 않은 점에서 최 적합하지 않은 것이다. 따라서, 상기 진공 챔버는 더욱 커야 할 필요가 있으며, 진공 챔버 크기에 따라 생산 비용은 급격하게 상승하기 때문에, 3-링크 SCARA 아암(6002)은 시스템의 가격을 증가시킬 수 있다. 또한 시스템의 전체 차지 면적이 3-링크 SCARA 아암(6002)에 따라 더욱 커지게 된다. 더욱이, 3-링크 SCARA 아암(6002)의 도달은 4-링크 아암(6004)에서의 도달 보다 작다. 어떤 경우 생산자는 한 처리 모듈 내로 크고 깊은 핸드오프를 달성하고자 하며, 상기 4-링크 아암(6004)은 그 억제 비를 지나 더욱 멀리 도달한다. 이는 비-SEMI-스탠다드 처리 모듈에서 장점을 갖는다. 이는 또한 생산자가 세그먼트들 사이에서 큰 거리를 커버하기를 원하는 때 장점을 갖는다.
상기 4-링크 아암(6004)은 3-링크 SCARA 아암(6002) 보다 훨씬 작은 억제 비로 접히지만, 같은 억제 직경에 대하여 종래의 3-링크 SCARA(6002)보다 훨씬 멀리 도달한다는 점에서 유리한 것이다. 상기 시스템의 상부에 장치된 두번 째 드라이브 그리고 두번째 4-링크 아암(6004)을 갖는 능력과 조합하여, 상기 처리 모듈 내에서 빠른 재료 교환을 허용할 수 있다. 상기 4-링크 SCARA 아암(6004)은 가령 도시된 바와 같이 고정 드라이브 상부에, 또는 아암 및 벨트를 작동시키기 위해 회전 이동의 전달을 제공하는 이동 카트 상부에 장치된다. 어느 경우에도, 상기 4-링크 아암(6004)은 선택적으로 두번째 5-링크 아암(6004)과 함께, 콤팩트하고 긴-도달 아암을 제공하며, 이는 상기 오픈닝의 에지와 충돌함없이 작은 오픈닝을 통과 할 수 있다.
도 7은 4-링크 SCARA 아암(7004) 도달 및 억제 특성을 도시한다. 실시 예에서, 상기 4-링크 SCARA 아암(7004) 링크 길이는 다른 시스템에서와 같은 도달/억제 비 최적화에 의해 강요되지 않는다.
상기 도달/억제 비의 최적화는 너무 긴 두 번째 아암 멤버에 도달할 수 있다. 상기 아암이 상기 최소 억제 직경에 가능한한 가까이 위치하는 슬롯 밸브를 통해 도달하는 때 이와 같은 두번째 아암 멤버는 상기 슬롯 밸브의 내측 에지와 충돌할 수 있다.
따라서 상기 두 번째(그리고 세 번째) 링크는 상기 아암이 도달하도록 만들 어지는 슬롯 밸브와의 충돌을 피할 수 있도록 하는 크기를 갖는다. 이와 같이 함으로써, L1, L2 및 L3 사이에서 각기 매우 다른 비를 발생시키게 된다. 상기 L2의 길이는 L3의 길이를 억제할 수 있다. 최적 아암 길이에 대한 식은 반복적인 해결에 대해 수정할 수 있는 4 번째 파워 방정식 일 수 있다.
도 8은 컨트롤러(8004), 드라이브/모터(8080), 아암(8010), 엔드 작동체(8012) 그리고 핸들될 재료(8014)를 포함하는 로버트 시스템(8002)에 대한 고-레벨 컴포넌트를 도시한다.
도 9는 핸들링 시스템에서 사용하기 위한 로보트 아암 시스템에 대한 이중-아암(9002) 아키텍쳐 컴포넌트를 도시한다. 한 아암이 상기 하부(9004)로부터 장치되며 다른 하나가 상기 상부(9008)로부터 장치된다. 실시 예에서 이들 모두는 4-링크 SCARA 아암이다.
상기 두 번째 아암을 상부에 장치하는 것이 바람직하다. 어떤 다른 시스템에서는 아암이 챔버의 상부를 통해 장치되는 드라이브에 연결되지만, 하측 및 상측 드라이브는 통상 기계적으로 결합된다. 실시 예에서, 도 4 그리고 도 5와 관련하여 공개된 선형 시스템에서 두 드라이브 사이에 어떠한 기계적 연결도 없으며, 충돌을 막기 위한 두 아암의 조정이 소프트웨어 시스템 또는 컨트롤러로 수행될 수 있다. 상기 두 번째 (상부)아암(9008)은 처리량 이유로 필요한 때에만 선택적으로 포함될 수있다.
또 다른 특징은 종래의 SCARA 아암과 같이 단지 두 개의 모터만이 4-링크 아암을 구동하기 위해 필요할 수 있다. 상기 아암 내 벨트는 평행을 유지시킬 수 있 다.
평행 또는 다른 조정된 이동은 가령 벨트 대신 평행 바아를 사용하여 달성될 수 있기도 하다. 일반적으로 단지 두 개의 모터만을 사용하는 것은 상당한 비용 장점을 제공할 수 있다. 동시에, 세 개의 모터는 마지막 (L4) 링크가 독립적으로 조향될 수 있다는 점에서 기능적 장점을 제공할 수 있으나, 추가의 벨트, 베어링, 연결, 축 그리고 모터가 상기 시스템을 훨씬 더 비싸도록 한다. 또한 상기 추가 벨트는 상기 아암 메카니즘에 상당한 두께를 추가시킬 수 있으며, 상기 아암이 (SEMI 스탠다드) 슬롯 밸브를 통과하도록 하는 것을 어렵게 할 수 있다. 또한 보다 적은 모터를 사용하는 것은 관련된 제어 소프트웨어를 단순하게 한다.
본원 명세서에서 설명된 상기 4-링크 SCARA 아암의 또다른 특징은 손목이 중심 선으로부터 오프셋될 수 있다는 것이다. 상기 이상적인 시스템이 상부 마운트(9008) 그리고 하부(9004) 마운트 4-링크 아암을 가지기 때문에, 상기 아암 멤버의 수직 배열은 만약 생산자가 상기 SEMI 스탠다드에 부합하려한다면 고수하기가 어려울 수 있다. 요약하면, 이들 스탠다드는 그 크기를 명시하며 한 처리 모듈 내로 슬롯 밸브(4006)를 통한 요구에 도달한다. 이들은 또한 웨이퍼가 운반되어야 하는 중심선위 레벨을 명시하기도 한다. 많은 기존 처리 모듈들은 이와 같은 스탠다드에 맞는다. 상기 스탠다드에 맞지 않는 시스템에서, 상기 슬롯 밸브(4006)는 비록 상기 오픈닝 크기가 다소 다르고 상기 전달 평면의 정의도 다르다 해도 매우 유사한 형상을 갖는다. 상기 SEMI 스탠다드 크기 제한은 상기 아암의 매우 콤팩트한 패키징을 필요로 한다. 한 오프셋 손목을 사용함으로써, 상기 상부(9008) 그리고 저부(9004) 아암이 더욱 가까워지도록 하며, 이들이 상기 슬롯 밸브(4006)를 통과하는 것을 용이하게 한다. 만약 상기 손목이 오프셋되지 않는다면, 그러면 상기 아암은 수직으로 더욱 떨어져 있을 필요가 있으며, 웨이퍼 교환은 보다 자주 발생될 수 있는 데, 이는 상기 드라이브가 수직으로 더욱 이동할 필요가 있기 때문이다.
도 10은 4-링크 SCARA 아암(6004)의 도달 및 억제 능력을 도시한 도면이다.
도 11은 4-링크 SCARA 아암(6004)의 간섭 특성(1102)을 도시한 도면이다. 상기 손목 오프셋은 더욱 작은 공간에서 아암을 접을 수 있도록 하는 데 도움이 된다.
도 12는 4-링크 SCARA 아암(6004)의 이중-아암 세트 측면도이다. 상기 상부 아암의 패키징 제한 때문에, 몇 가지 특유한 특징을 갖는 아암을 구성하는 데 필요하다. 실시 예에서, 수축하자 마자 한 링크가 또 다른 아암 링크 내 커트아웃으로 들어간다. 벨트가 단일 벨트가 아닌 이중으로 세트 될 수 있으며, 한 벨트는 (12004)위로 그리고 한 벨트는 (12008) 아래에 있도록 한다. 4-링크 아암인 사실과는 관계없는 한 가지 방법은 L2를 (12002) 보다 훨신 낮게 만드는 것이며, L1까지 수직 갭을 갖도록 하여, L3 및 L4가 안쪽으로 접힐 수 있도록 한다. L2(12002)를 낮추는 것은 L3 및 L4가 바른 전달 평면에 도달할 수 있게 하며, 보다 나은 억제 비를 허용할 수 있게 한다. 상기 전달 평면 정의 때문에 L2를 낮추는 것이 요구 될 수 있다.
도 13은 벨트 및 연결의 조합이 사용되는 실시 예를 도시한다. 상기 L1(13002) 그리고 L3(13006)를 통한 이동의 전달은 단일 벨트 또는 이중 벨트 장치 에 의해 달성될 수 있다. 이와 대조적으로, L2(13004)에서의 이동 전달은 기계적 연결(스플라인)(13010)에 의해 달성될 수 있다. 이 같은 장치의 장점은 상기 아암 어셈블리의 수직 크기를 줄이는 조인트가 사용될 수 있으며, 이는 아암이 SEMI 스탠다드 슬롯 밸브를 보다 용이하게 통과 할 수 있도록 한다.
도 14는 선형 아키텍쳐(1400)를 갖는 핸들링 시스템에 대한 외부 리턴 시스템을 도시한다. 상기 리턴 메커니즘은 선택적으로 상기 선형 진공 챔버 상부에 있을 수 있다. 종래의 진공 핸들링 시스템에서, 상기 리턴 경로는 엔트리 경로에서와 같은 면적을 통과한다. 이는 크로스 오염을 일으킬 수 있도록 하며, 이는 처리 단계들 사이를 이동하는 청결한 웨이퍼가 아직 청결해 지지 않은 웨이퍼로부터 상기 시스템내로 들어가는 잔류물에 의해 오염되는 때 발생된다. 이는 또한 들어가는 재료 뿐 아니라 나가는 재료를 로보트(4002)가 처리할 것을 필요하게 만들며, 진공환경을 제어하는 것을 더욱 어렵게 만든다. 상기 진공 시스템 후방으로부터 나감으로써, 그리고 상부에 있는 웨이퍼를 에어 터널(14012) 내 정면으로 다시 이동시킴으로써, 명백한 장점이 있게 된다: 상기 에어 리턴은 상대적으로 실행하는 데 비용이 저렴하다; 밖으로 나가는 재료를 핸들해야 할 필요가 없기 때문에 에어 리턴이 상기 진공 로보트(4002) 까지 자유로울 수 있다; 그리고 상기 에어 리턴은 청결한 최종 재료를 들어오는 영역으로부터 격리 시킬 수 있으며, 이에 의해 크로스 오염 위험을 낮추도록 한다.
작은 적재 로크(14010)를 후방에서 사용함으로써 비용을 추가 시키며, 에어 터널(14012)도 마찬가지 이고, 에어 리턴은 보다 적은 가치를 가지며, 그러나 많은 집적 처리 스텝을 갖는 긴 시스템에서는 상기-시스템 에어 리턴이 상당한 이익을 가질 수 있다. 상기 리턴 시스템은 또한 진공 리턴일 수 있으나, 보다 값 비싸고 실시하기가 보다 복잡할 수 있다. 어떤 실시 예에서는 한 적재 로크(14010)가 도 14에서 도시된 바와 같이 선형 시스템 단부(엔드)에 위치하여 질 수 있으며, 상기 적재 로크(14010)는 상기 시스템의 중간에서와 같은 그 밖의 장소에 있을 수 있기도 하다. 이 같은 실시 예에서, 생산 물품은 시스템에서 나와서 상기 에어 리턴으로 들어가는 것과 같이, 상기 시스템 내 또 다른 포인트에서 시스템으로 들어가지도 하고 시스템으로부터 나가기도 한다.
중간-시스템 출구 포인트의 장점은 부분적인 시스템 고장이 있게 되는 때 재료 또는 웨이퍼가 복구될 수 있다는 것이다. 중간-시스템 엔트리 포인트의 장점은 웨이퍼가 상기 시스템 내 멀티플 위치에서 삽입될 수 있으며, 매우 융통성 있는 처리 흐름을 허용한다는 것이다. 사실 중간 시스템 엔트리 또는 출구 위치는 상기 중간-시스템 위치에 의해 함께 연결된 두 개의 머신과 같이 행동하며, EFEM 위치를 효과적으로 제거시킨다. 도 14 및 뒤 이은 도면들의 실시 예는 직선 시스템이며, 상기 선형 시스템은 곡선일 수 있고, 즉 상기 시스템이 생산 장치의 구성에 맞도록 하기 위해 생산자가 필요로 하는 어떠한 포맷으로도 곡선, U-자, V-자, S-자, 또는 이들이나 다른 곡선 경로의 조합을 가질 수 있다. 각 경우에 상기 시스템은 선택에 따라 엔트리 포인트 그리고 엔트리 포인트로부터 라인(선택적으로 직선이 아닐 수 있기도 하다)을 따라 아래에 위치하는 출구 포인트를 포함한다. 선택에 따라 상기 시스템은 하나 이상의 출구 포인트를 포함할 수 있다. 각 경우에, 본원 명세서에서 설명된 상기 로보트 아암은 다른 선형 시스템의 문제 없이 상기 라인 아래로 물품을 효과적으로 이동시키는 데 도움이 될 수 있다. 도 14A는 U-자형 선형 시스템의 예를 도시한다.
도 14에서, 상기 시스템의 실시 예는 이중 캐리어 메커니즘(14008)을 사용하여 최종 처리된 웨이퍼가 상기 시스템의 정면으로 신속하게 리턴될 수 있도록 하며 또한 빈 캐리어(14008)가 이제 막 채워진 캐리어가 제거된 곳에 위치하여 지도록 한다. 실시 예에서 상기 에어 리턴은 N개 웨이퍼를 담고 있는 캐리어(14008)를 특징으로 한다. N는 처리율 및 비용 요구에 따라 최적합 하게 될 수 있다. 실시 예에서, 상기 에어 리턴 메커니즘은 빈 캐리어(14008)을 담고 있을 수 있어서, 채워진 캐리어(14018)가 진공 적재 로크(14010)로부터 제거되는 때 새로운 빈 캐리어(14008)가 즉시 위치하여지고 그리고 적재 로크(14010)는 보다 많은 재료를 수용할 수 있도록 비워 질 수 있도록 된다. 실시 예에서, 상기 에어 리턴 메커니즘은 웨이퍼를 상기 시스템 정면으로 이동할 수 있다. 상기 드롭-오프 포인트에서 수직 리프트(14004)가 사용되어, EFEM(Equipment Front End Module) 로보트가 도달할 수 있는 레벨로 상기 캐리어를 낮추도록 한다. 상기 적재 로크 포인트에서 상기 수직 리프트(14004)는 상기 적재 로크로부터 한 빈 캐리어(14008)를 픽엎하도록 낮추어 질수 있다.
실시 예에서 상기 에어 리턴 메커니즘은 상기 적재 로크(14010) 위치 엔드 및 뒤에 위치하는 빈 캐리어(14008)에 대한 저장 영역을 특징으로 한다. 그 이유는 상기 적재 로크(14010)가 캐리어(14018)를 릴리이스하는 때 그립퍼(14004)가 상기 캐리어(14018)를 그립할 수 있으며 이를 다소 전방으로 이동시킬 수 있다는 것이다. 다음 상기 그립퍼(14004)는 채워진 그립퍼(14018)를 릴리이스 할 수 있으며, 맨 뒤로 이동하여 빈 캐리어(14008)를 회수 하고, 이를 상기 적재 로크(14010)에 위치시킬 수 있다. 이 포인트에서 상기 적재 로크(14010)는 비워질 수 있다. 상기 그립퍼(14004)는 이제 상기 채워진 캐리어(14018)로 되돌아 가며 그리고 이를 상기 시스템 전방으로 이동시킨다. 일단 상기 캐리어(14018)가 EFEM에 의해 비워지면, 다음 주기를 위해 대기하였던 그 장소로 되돌아 갈 수 있다.
상기 그립퍼 내 수직 이동을 사용하지 않고 상기 적재 로크 내에 리프트를 위치시키는 것이 가능할 수 있으나, 이는 비용이 더욱 드는 것이다. 이는 다소 덜 유연하기도 할 것이다. 생산자는 다수의 위치에서 상기 캐리어(14018) 수직 이동을 원할 수 있으며, 상기 그립퍼(14004)내에 위치시킴으로써 생산자가 하나의 수직 메커니즘만을 필요로 할 것이기 때문에 더욱 경제적이게 될 것이다.
도 15는 도 14의 핸들링 시스템에 대한 외부 리턴 시스템의 추가 세부 사항을 도시한 도면이다.
도 16은 도 14의 처리 시스템에 대한 외부 리턴 시스템 추가 세부사항을 도시한 도면이다.
도 17은 도 14의 상기 리턴 터널(14012)내 출력 캐리어(14018) 이동을 도시한 도면이다.
도 18은 도 14의 리턴 시스템(14012)내 빈 캐리어(14008) 처리를 도시한 도면이다.
도 19는 적재 로크(14010) 위치 내로 도 14의 리턴 터널(14012) 내 빈 캐리어(14008) 이동을 도시한 도면이다.
도 20은 도 14 리턴 시스템 내 그립퍼(14004) 이동과 낮추어진 그리고 비워진 빈 캐리어(14008)를 도시한 도면이다.
도 21은 가득 찬 캐리어(14018)가 도 14 리턴 터널(14012)내에서 비워지는 때 빈 캐리어가 재료를 수용함을 도시한다.
도 22는 도 14 리턴 터널(14012)내 새로운 리턴 사이클을 시작하여, 한 홀딩 위치로 가져가진 빈 캐리어를 도시한 도면이다.
도 23은 한 생산 처리를 위한 처리 장치에 대한 아키텍쳐로서, 한 선형 아키텍쳐에 이중-아암 로보트 아암 시스템(23002) 및 리턴 시스템이 있음을 도시한 도면이다.
도 24는 본원 발명의 처리 방법 및 시스템에 대한 전체 시스템 아키텍쳐 선택적 실시 예를 도시한 도면이다.
도 25는 종전 클러스터 시스템(25004)과 비교하여 선형 시스템(25002) 차지 공간 비교를 도시한 도면이다. 상기 선형 시스템(25002)으로 생산자는 시스템 처리율에 영향을 미치지 않고 추가의 모듈을 갖는 머신으로 용이하게 확장할 수 있다.
도 26은 본 발명의 실시 예에 따른 처리 시스템에서 오버사이즈 처리 모듈(26002)로 배열된 선형 아키텍쳐를 도시한 도면이다.
도 27은 본 발명 실시 예에 따른 처리 시스템에 대한 후방-출구 아키텍쳐를 도시한 도면이다.
도 28은 본 발명의 다양한 실시 예에 따른 선형 처리 시스템을 사용하는 생산 장치에 대한 다양한 레이아웃 가능성을 도시한 도면이다.
도 29는 로보트(29002)가 멀티플 드라이브(29004) 및/또는 멀티플 컨트롤러(29008)를 포함하는, 본원 발명의 한 실시 예를 도시한 도면이다. 실시 예에서, 컨트롤러(29008)는 슬롯 밸브, 진공 시험기와 같은 주변 장치 그리고 다수의 드라이브(29004)를 제어하며, 따라서 로보트(29002)는 다수의 드라이브(29004)를 갖는 컨트롤러(29008) 또는 다수의 드라이브(29002)를 갖는 다수의 컨트롤러(29008)일 수 있다.
도 30은 본원 발명의 실시 예와 관련한 전달 평면(30002) 및 슬롯 밸브(30004)를 도시한 도면이다.
도 31은 웨이퍼를 중심에 맞추기 위한 텀블 그립퍼(31002)를 도시한 도면이다. 상기 수동 센터링 그립퍼(32002)에 대한 상기 텀블 그립퍼(31002)의 장점은 상기 텀블러(31004)와 웨이퍼(31008) 배면 사이에 상대적인 이동이 적다는 것이다. 상기 텀블러(31004)는 상기 웨이퍼(31008)를 가볍게 밀어 제쳐 엔드 작동체에서 중앙에 오도록 할 수 있으며, 아래로 이동하는 때 두 양 면에서 지지한다. 일정 생산 처리에서는 진공 환경에서 웨이퍼(31008)를 중앙에 오도록 하는 것이 바람직하다. 상기 텀블 그립퍼(31004)는 로보트 아암 엔드에서 한 엔드 작동체를 사용하는 때와 같은 매우 약한 웨이퍼(31008)를 처리함을 허용하는 데, 이는 처리 중에 상기 웨이퍼의 두 엔드를 지지하기 때문이다.
도 32는 웨이퍼(31008)를 홀딩하기 위한 엔드 작동체(32002)를 수동적으로 센터링함을 도시한다. 상기 웨이퍼(31008)는 상기 엔드 작동체가 리프트되는 때(또는 웨이퍼(31008)가 낮추어지는 때) 다소 오프-센터 된다. 이는 상기 웨이퍼(31008)가 상기 램프를 따라 아래로 그리고 상기 커트아웃(32004)내로 떨어지게 한다. 이는 결국 상기 웨이퍼(31008)가 돌연히 떨어지거나 이동하게 만들 수 있으며, 이는 다시 작은 조각들을 만들게 할 수 있다.
본원 명세서에서 설명된 상기 방법 및 시스템은 생산 처리 중에 재료 또는 물품의 처리에 많은 장점을 제공한다. 로보트들 사이 진공 격리가 가능하며, 로보트들 사이 재료 버퍼링이 또한 가능하다. 생산자는 최종 웨이퍼를 진공을 통하지 않고 시스템 상부위로 리턴시킬 수 있으며, 이는 단지 절반의 필요한 처리 단계만을 필요로 하고, 최종 처리 재료와 처리 되지 않은 재료 사이 크로스 오염을 제거하며, 기존의 청결한 룸 디자인과 양립할 수 있게 되는 상당한 장점을 제공하는 것이다. 생산자가 상기 시스템내로 들어가는 상대적으로 청결하지 않은 웨이퍼를 갖는 경우, 생산자는 이들이 청결해 지는 동안 이들을 머신의 나머지로부터 격리시킬 것을 원할 수 있으며, 이는 대개 처리의 첫 번째 단계가 된다. 최종 처리된 또는 부분적으로 최종 처리된 재료를 머신의 청결한 부분으로부터 격리시키는 것이 바람직한 것이다.
다른 장점들이 본원 명세서에서 설명된 방법 및 시스템에서 제공된다. 상기 이중 아암(상부 및 하부에 장치되는)은 조정된 방식으로 작용하며, 매우 빠른 재료 교환을 허용하게 한다. 정확한 아암 디자인(3-링크, 4-링크 또는 기타)에도 불구하고, 한 아암을 그 하부에서 상기 아암에 기계적으로 연결되지 않은 리드(lid)에 장 치하는 것이 바람직할 수 있다. 본원 명세서에서 제공된 상기 4-링크 SCARA 아암의 링크 길이는 종래의 아암과는 달리 이들이 슬롯 밸브 그리고 챔버 반경의 기계적 제한에 의해 결정되기 때문에 매우 바람직한 것이다. 본원 명세서에서 설명된 상기 4-링크 SCARA 아암은 또한 이들이 세개의 모터 플러스 Z 모터를 사용하지 않고, Z 모터와 함께 상기 링크를 위해 두 개의 모터를 사용할 수 있다는 점에서 바람직한 것이기도 하다.
재료가 후방에서 나오게 되는 선형 진공 시스템은 상당한 이익을 제공한다. 또 다른 실시는 두 반대편 벽을 통해 설치된 엔트리 시스템 그리고 출구 시스템 모두를 가져야 한다.
본원 명세서에서 설명된 상기 4-링크 SCARA 아암은 링크 L3가 상부 로보트 드라이브를 위해 링크 L2위로 그리고 그 속으로 스윙할 수 있도록 한다. 이는 상기 3-링크 SCARA로 또는 기존 4-링크 SCARA 아암 버전으로는 용이하게 실시 될 수 없는 데, 이는 이들이 부적당한 링크 길이를 갖기 때문이다.
캐리어를 위한 그립퍼 그리고 상기 선형 시스템 내 다수의 캐리어 위치는 선형 생산 아키텍쳐 내 재료 처리에 상당한 이익을 제공할 수 있기도 하다. 상기 그립퍼 및/또는 후방 적재 로크에서 수직 이동을 포함하는 것이 이익을 제공할 수 있기도 하다.
본원 발명은 바람직할 실시 예와 관련하여 설명되었으나, 당업자라면 다른 실시예가 가능함 을 이해 할 것이다.
도 33은 중간-엔트리 포인트(33022)를 포함하는 생산 장치를 설명한다. 실시 예에서, 상기 생산 장치는 한 적재 로크 중간-스트림(33002)을 포함하며, 웨이퍼(31008)가 꺼내 질수 있기도 하고 들여 넣어 질 수 있기도 하다. 이와 같은 시스템에는 상당한 장점이 있으며, 이중 처리 능력(가령 두 머신을 서로 등을 대고 연결시키지만, 하나의 EFEM를 사용할 필요가 있을 뿐이다)을 제공하는 처리 장치를 제공함을 포함한다. 한 실시 예에서, 상기 에어 리턴 시스템(14012)은 또한 새로운 웨이퍼(31008)를 중간 포인트(33002)로 가져갈 수 있으며 웨이퍼(31008)를 그 곳에 집어 넣을 수 있기도 하다.
도 34는 중간-엔트리 포인트(33002)를 갖는 생산 장치의 여러 평면도를 도시한 것이다. 상기 도면은 또한 어떻게 중간-엔트리 포인트가 상기 EFEM(34002) 하나를 제거하도록 효과적으로 작용하는 가를 설명한다.
도 35는 일련의 센서(35002)를 포함하는 생산 장치를 설명한다. 많은 생산 장치에서 이와 같은 센서(35002)는 통상 한 재료(35014)가 아직 한 로보트 아암(35018)에 존재하는 가를 탐지하도록 사용된다. 이 같은 센서(35002)는 진공 챔버(4012) 엔트리 및 출구 포인트 각각에서 위치할 수 있다. 이 같은 센서(35002)는 에미터 그리고 탐지기를 사용하는, 또는 에미터/탐지기 그리고 반사경 조합을 사용하는 수직 광학 비임으로 구성될 수 있다. 한 진공 처리 장치에서, 상기 로보트 스테이션의 트레이닝은 로보트 아암의 위치 그리고 재료의 위치를 파악하는, 그리고 로보트 위치를 조정하여 상기 재료(35014)가 적절한 위치에서 사용될 수 있도록 하는 오포레이터에 의해 달성될 수 있다.
그러나 빈번하게 이들의 위치는 관찰하기가 매우 곤란하며, 그리고 시차가 있고 그리고 다른 광학적 문제가 로보트 시스템을 적절하게 트레이닝하는 데 심각한 장애를 제시한다. 따라서 트레이닝 절차는 많은 시간의 장비 다운타임을 소모한다.
여러 자동 트레이닝 응용이 개발되어 왔으나, 이들은 벽 또는 에지와 같은 물리적인 장애물 속으로 로보트 아암을 집어넣음을 포함할 수 있다. 이와 같은 접근 방법은 심각한 단점이 된다: 로보트가 장애물에 물리적으로 접촉하는 것은 로보트 혹은 장애물 어느 하나에 손상을 가져올 수 있으며, 가령 많은 로보트 엔드 작동체는 상처받기 쉬운 세라믹 재를 사용하여 구성되며, 그러나 매우 높은 웨이퍼 온도를 인내할 수 있다. 유사하게, 많은 처리 모듈 안쪽에 깨지기 쉽거나 손상 받기 쉬운 물체들이 있다. 또한 로보트 엔드 작동체에 존재하는 웨이퍼(31008)과 같은 일정 재료에 있어서는 이들 자동-트레이닝 절차를 사용하는 것이 가능하지 않다. 더구나, 장애물 내로 집어넣음으로써 발생되는 아암에 대한 상측을 향한 또는 하측을 향한 작용력은 탐지하기가 훨씬 더 곤란하기 때문에 수직 위치의 결정은 더욱 곤란하다.
본원 명세서에서 설명된 시스템에서, 일련의 센서(35002-35010)는 수평 센서(35004-35010) 그리고 수직 센서(35002)를 포함할 수 있다. 이와 같은 센서(35002-35010)의 조합은 가령 로보트 엔드 작동체 아암 또는 처리된 목적물의 광학적 비임 차단을 통하여 탐지를 허용할 수 있다. 상기 수직 센서(35002)는 상기 로보트 아암(35018)이 수축된 위치에 있는 때 상기 웨이퍼(31008) 영역 다소 바깥 쪽에 위치하여 질 수 있다. 상기 수직 센서(35002)는 또한 입구 오프닝 정면에서 중심을 갖는 웨이퍼 내 한 포인트(35012)와 같은 한 위치 내에 위치하여 지며 상기 로보트가 완전히 수축되는 때 상기 웨이퍼에 의해 커버될 수 있다. 이와 같은 위치에서 상기 센서는 주변 모듈로부터 한 웨이퍼(31008)를 성공적으로 픽엎하였음을 로보트 컨트롤러에 전달할 수 있다.
수평 센서(35004-35010)가 또한 사용될 수 있다. 진공 클러스터 장치에서, 수평 센서(35004-35010)는 진공 챔버의 큰 직경으로 인해 실용적이지 못할 수 있는 데, 이는 수평 센서(35004-35010)의 정렬을 매우 복잡하게 하기 때문이다. 본원 명세서에서 설명된 시스템에서, 상기 챔버 크기는 크게 줄어들 수 있으며, 따라서 하나 또는 둘 이상의 수평 센서(35004-35010)를 포함하는 것이 실질적이도록 한다.
도 36은 상기 수평 센서(35004-35010) 그리고 수직 센서(35002)의 다른 가능한 위치를 도시하며, 상기 진공 시스템 안쪽에 위치한 거울(36006)을 통해 또는 상기 챔버(36002 및 36008)를 가로질러 일직선으로 그 위치가 가능해진다.
도 37은 상기 로보트 아암이 완전히 수축되는 때 상기 웨이퍼(37001) 반경 다소 바깥 쪽에 상기 센서(35002)를 위치시키는 가능한 장점을 도시한다. 수축 이동중에 상기 센서(35002)가 포인트 "a"(37002)에서 웨이퍼(37001)의 전연을 검사하고 포인트 "b"(37004)에서 후연을 검사한다. 이들 결과는 상기 웨이퍼(37001)가 성공적으로 회수되었음을 나타낼 수 있으며, 그러나, 상기 센서(35002)를 연결시킴으로써 상기 로보트 드라이브에 존재하는 인코더, 리졸버 또는 다른 위치 엘리먼트에 신호를 보내며, 상기 웨이퍼(37001)가 상기 엔드 작동체와 관련하여 중심에 있게 되는 가를 계산 할 수 있다. 상기 라인 세그먼트 "a-b"(37002, 37004)의 중간 포인트는 웨이퍼(37001)의 원형 구조 때문에 상기 엔드 작동체의 중앙에 해당하여야 한다. 만약 상기 웨이퍼(37001)가 상기 엔드 작동체에서 벗어난다면, 일관되지 않은 길이 측정으로 인해 작업 손실을 가져올 수 있다.
또한 뒤이은 회전 및 이동으로 인해, 상기 웨이퍼(37001) 에지가 상기 센서를 통과하는 때 두 번째 라인 세그먼트"c-d"(37008, 37010)가 검사될 수 있다. 다시 상기 라인 세그먼트 "c-d"(37008, 37010)의 중간 포인트는 상기 엔드 작동체와 일치하여야 하며, 웨이퍼 센터링 측정 또는 확인을 허용할 수 있다.
상기 방법은 로보트가 웨이퍼(37001)를 검사하는 것을 허용하며 상기 웨이퍼(37001)가 상기 엔드 작동체상의 기대된 위치로부터 오프-세트되는 가를 결정할 수 있도록 한다.
상기 수평 및 수직 센서(35002-35010)의 조합은 상기 시스템이 비-접촉 모듈을 사용하여 매우 신속하게 가르쳐 질 수 있도록 한다: 상기 로보트 아암 및 엔드 작동체가 기계적 접촉 없이 광학적으로 검사될 수 있다.
또한, 상기 광학적 비임이 실시간 웨이퍼(37001) 핸들링(처리)중에 사용될 수 있어서 모든 웨이퍼(37001) 핸들링 이동 중에 웨이퍼(37001)가 올바른 위치에 있는 가를 확일 할 수 있도록 한다.
도 38은 두 개의 회전축(38020, 38018) 그리고 하나의 수직(Z) 축(38004)을 갖는 종래의 진공 드라이브를 도시한다. 주름 상자(38016)이 수직 Z-축(38002) 이동을 허용한다. 상기 주름 상자(38016)의 저부에 고정된 얇은 금속 실린더(38024)가 모터(38010, 38002)의 회전자 및 고정자 사이 진공 배리어를 제공할 수 있다. 이 같은 배치는 많은 컴포넌트의 인-진공 배치를 필요로 한다: 전기 도선 및 피드스루(두 회로 연결 도체), 인코더, 신호 LED 그리고 픽엎(38008), 베어링(38012) 그리고 자석(38006). 자석(38006), 베어링(38012), 도선 그리고 코넥터, 그리고 인코더는 상기 진공 환경에 존재하는 잔류하는 이전의 가스에 영향을 받기 쉽다. 또한 상기 가스가 제거되는 때 가스가 회선 경로(38022)를 따라야 하기 때문에 상기 실린더(380240 저부에 트랩된 가스를 제거하는 것이 곤란하다.
도 39는 본원 명세서에서 설명된 시스템과 함께 사용될 수 있는 진공 로보트 드라이브를 설명한다. 상기 회전 드라이브 작용력은 두 모터 카트리지(39004, 39006)에 의해 제공될 수 있다. 카트리지 각각은 한 일체의 인코더(39008), 베어링(39018) 그리고 자석(39020)을 갖는다. 이들 컴포넌트 몇 개 혹은 전부는 상기 진공 엔벨로프 바깥 쪽에 위치하여진다. 동심의 이중-축 회전 시일 유닛(39016)은 가령 립-시일(lip-seal) 또는 철 유동 시일을 사용하여 회전 이동을 위한 진공 격리를 제공한다. 이 같은 방법은 상기 진공 시스템 안쪽 컴포넌트의 수를 줄인다. 이는 또한 진공을 파괴함이 없이 모터(39004, 39006) 그리고 인코더(39008)의 서비스를 허용한다.
도 40은 진공 환경 내로 재료를 집어넣기 위한 스택 진공 적재 로크(4008, 40004)를 도시한다. 웨이퍼(31008)를 진공 시스템 내로 가져오는 데 한 가지 제한 요인은 상기 적재 로크가 높은 진공으로 진공될 수 있는 속도이다. 만약 상기 적재 로크가 너무 빨리 펌프 된다면, 상기 적재 로크 챔버 내 공기에서 응축이 발생할 수 있으며, 이는 상기 웨이퍼(31008) 표면에서 응결 핵의 강하를 일으키게 되며, 이는 결국 작은 알갱이 입자를 만들게 되고 그리고 결함 또는 열악한 장치 성능을 발생시키게 한다.
클러스터 장치는 나란히 놓이며, 각각이 교대로 진공되는 두 개의 적재 로크를 사용한다. 각 적재 로크의 상기 펌핑 속도는 따라서 더욱 느리며, 결국 시스템의 개선된 성능을 발생시킨다. 두 개의 적재 로크(4008, 40004)가 한 수직 스택 내에 있음으로써, 상기 장비 차지 공간은 매우 작은 상태로 있게 되며, 그러나 더욱 느린 펌핑 속도의 유익함을 갖게된다. 실시 예에서, 상기 적재 로크(40004)는 선택으로서 추가될 수 있다. 실시 예에서, 상기 로보트 아암(4004, 40006)은 두 적재 로크(4008, 40004) 중 어느 하나에 접근할 수 있다. 실시 예에서, 상기 남아 있는 핸드오프 모듈(7008)은 단일 레벨 핸드오프 모듈일 수 있다.
도 40B는 또 다른 적재 로크 레이아웃을 도시한다. 도면에서 웨이퍼(31008)가 상기 시스템의 어느 한 사이드에서 두 레벨로 들여보내지고 그리고 내 보내질 수 있으며, 그리나 상기 시스템의 나머지에서는 공유의 레벨을 따르게 된다.
도 41은 이전의 스택 적재 로크(4008, 40004) 개념이 두 개의 처리 모듈(41006, 41008)을 스택으로 적재함으로써 어떻게 한 처리를 통해 실시 될 수 있는 가를 설명한다. 이 같은 모듈이 비록 SEMI 스탠다드와는 맞지 않는 다 해도, 이와 같은 아키텍쳐는 장치 차지 면적 및 처리율에 있어서 상당한 유리한 이점을 제공할 수 있다.
도 42는 두 핸들링 레벨(4008, 40004, 4010, 42004)을 갖는 시스템을 도시한다: 웨이퍼는 상부 링크 또는 하부 링크(4004)를 사용하여 모듈들 사이에서 독립적 으로 운반될 수 있다. 선택적으로, 핸들링 레벨 각각은 두 개의 적재 로크를 가져서 상기 언급된 줄어든 진공 속도의 장점을 제공하도록 한다. 따라서 4 개의 입력 적재 로크, 두 개의 핸들링 레벨, 그리고 선택적으로 4 개의 출력 적재 로크를 갖는 한 시스템이 본원 명세서에서 설명되며, 추가의 적재 로크 및 핸들링 레벨을 갖는 시스템이 또한 설명된다.
도 43은 도 42 시스템 평면도이다.
도 44는 웨이퍼와 같은 특별 장비를 갖춘 오브젝트(44014)를 도시한 것이다. 하나 또는 둘 이상의 센서(44010)가 상기 대상 물체(44014) 내로 집적되며, 상기 대상 물체(44014)둘레 환경 요인들을 검사 할 수 있다. 상기 센서(44014)는 용량성, 광학적 또는 자기적 근접 센서와 같은 근접 센서를 포함할 수 있다.
상기 센서(44010)는 한 수신기(44004)로 802.11b 스탠다드에 따른 신호와 같은 무선 주파수 또는 다른 센서 신호를 전송하기 위해 배터리 파워를 사용하는 증폭기/송신기(44012)에 연결될 수 있다.
여러 실시 예 경우에, 상기 장비 그리고 센서들로 전원을 제공하고 이들과 연결되기 위해 필요한 도선들이 적절한 로보트 이동과 간섭되거나, 로보트가 이동하게 되는 환경과 간섭되기 때문에, 로보트를 트레인하기 위해 사용된 오브젝트(44014)에 장비를 갖추도록 하는 것은 곤란하거나 불가능하다. 상기 오브젝트로 무선 연결을 사용함으로써, 상기 오브젝트로 도선을 연결시키는 문제가 해결될 수 있다. 상기 오브젝트(44014)는 각기 다른 타입의 다수의 센서로 장치되며 각기 다른 기하학적 장점의 패턴으로 장치된다. 본 발명의 실시 예에서, 상기 센서(1 내지 6)(44010)는 대상 오브젝트(44008)의 반경과 같은 반경에 배열된다. 상기 실시 예에서, 이들 센서는 근접 센서들이다. 가령 센서(1) 그리고 센서(6)과 같은 센서(44010)으로부터의 과도 신호를 비교함으로써, 상기 오브젝트(44014)가 올바른 방향으로 한 표적 대상(44008)에 접근하는 가를 결정할 수 있다. 상기 표적 대상(44008)이 올바르게 접근되지 않는다면, 두 센서 중 한 센서(44010)는 파라미터 트리거를 나타낼 수 있다. 멀티플 센서(44010)를 모니터함으로써, 상기 시스템은 상기 오브젝트(44010)가 핸드오프에 영향을 미치기 전에 상기 표적 대상(44008)위에서 적절하게 중심을 갖게 되는가를 결정할 수 있다. 상기 센서(44010)는 가령 신호 분석의 효율 또는 다른 제한에 따른 어떤 다른 패턴으로 배열될 수 있기도 하다. 무선 주파수 신호가 또한 진공 환경에서 동작할 수 있다.
도 45는 상기 장비를 갖춘 오브젝트(44014)를 한 표적(44008)으로 향하게 하는 비-접촉 특성을 설명하며, 측면 방향 설정으로 도 44의 시스템을 도시한다. 상기 센서(44010)는 온도와 같은 상기 표적(44008)의 특성을 측정하기 위해 다른 센서를 포함할 수 있다.
도 46은 하나 또는 둘 이상의 센서를 갖는 무선 주파수 통신을 도시한다. 무선 주파수 센서 신호(44016)는 진공 속 안테나(46002)로 송신될 수 있다. 파장의 적절한 선택이 금속 진공 인클로져와 함께 신호 전파를 개선시킬 수 있다. 외부 수신기 그리고 컨트롤러와의 무선 통신에서 센서들을 사용함으로써 상당한 장점을 제공할 수 있다. 가령, 이 같은 기술은 표적 대상의 중심을 발견하는 것과 같은 동작에 요구되는 시간을 줄일 수 있으며, 그리고 상기 센서(들)로부터의 정보가 사용되 어 오퍼레이터에게 비쥬얼 피드백을 제공하도록 하고, 혹은 로보트 아암을 사용하여 일정 동작을 자동화 할 수 있다.
또한, 하나 또는 둘 이상의 센서를 사용함으로써, 그렇지 않았더라면 상기 챔버를 개방하고 물리적으로 조사하기 위해 상기 진공을 릴리이스해야 할, 상기 챔버 내 측정을 허용하도록 한다. 이와 같이 함으로써, 기압을 낮추고 베이킹(수분 또는 수증기를 제거시키기 위해)하는 것과 같은 상기 챔버 내부를 컨디션닝하는 비싸고 시간 소모적인 단계들을 피할 수 있다.
도 47은 상기 로보트 이동의 함수로서 멀티플 센서(44010)로부터의 출력을 도시한다. 상기 로보트가 상기 표적 대상(44008)로 이동하는 때, 이 같은 이동은 결국 센서들이 가령 근접 센서들이라면 상기 표적 대상(44008)까지의 거리에 대한 정보를 제공하게 된다. 상기 신호들은 개별적으로 또는 집합적으로 분석되어 상기 센서들에 대한 표적 대상(44008)의 상대적인 위치를 결정하도록 한다. 두 개의 각기 다른 방향으로 상기 센서(들)을 이동시킴으로써 그리고 센서 신호들을 모니터함으로써 물리적으로 상기 표적 대상(44008)에 접촉함이 없이 각기 다른 방향으로의 위치 또는 형상이 결정될 수 있다.
도 48은 진공 시스템으로부터 웨이퍼(48008)를 삽입시키고 제거하는 기술을 도시한다. 한 세트의 가열 요소(48002, 48004, 48006)와 같은 하나 또는 둘 이상의 가열 요소가 개별적으로 혹은 조합하여 사용되어 챔버(4008) 그리고 기판 재료(48008)를 50 C 내지 400 C의 상승된 온도로 가열시키도록 한다. 이와 같은 시작 온도의 상승은, 그렇지 않았더라면 상기 챔버 내 압력 감소로 발생될, 응축을 완화 시킬 수 있으며, 진공을 발생시키기 위해 더욱 신속한 펌프 다운 시퀀스를 허용할 수 있다. 가열된 웨이퍼(48008)가 로보트 아암(4002)에 의해 상기 적재 로크(4008)로 이동되는 때, 이들은 선반(48004, 48006)보다 크게 온도가 높을 수 있으며, 따라서 상기 선반(48004, 48006)은 접촉시 웨이퍼들을 냉각 시킬 수 있다. 가열 파워 공급은 선반(48004, 48006)으로 제공된 열을 조절할 수 있으며, 상기 선반들 및/또는 웨이퍼들에 대한 바람직한 온도를 유지시키도록 한다. 상기 선반(48004, 48006)들에 대한 적절한 재료 선택을 함으로써, 상기 시스템이 가열 파워 변화에 신속하게 작용하게 되며, 결국 각기 다른 조건, 가령 상기 챔버(4008)의 펌프-다운 중 더욱 높은 온도 세팅 그리고 챔버(4008)의 통기 중 더욱 낮은 온도 세팅과 같은 각기 다른 조건에 대한 각기 다른 온도 세팅을 만들 수 있도록 한다.
상기 웨이퍼(48008)를 사전 가열시킴은 처리 시간을 줄이고 응축 그리고 작은 입자들을 줄일 수 있도록 한다. 동시에, 상기 웨이퍼(48008)는 상기 시스템으로부터 퇴장하는 때 너무 온도가 높기 때문에 안전 위험을 제공하거나, 플라스틱과 같은 핸들링 및 지지 재료를 녹이도록 할 수 있다. 약 80 내지 100C의 내부 온도, 그리고 약 50C의 외부 온도가 이와 같은 일반적인 염려를 안심시킬 수 있다.
도 49는 로보트 엔드 작동체(49002)를 설명한다. 상기 로보트 엔드 작동체(49002)는 테이퍼되어, 하나 또는 둘 이상의 축에서 균일하지 않은 두께를 가지도록 한다. 가령, 상기 로보트 엔드 작동체(49002)는 측면으로부터 볼 때 혹은 평면으로부터 볼 때 한 테이퍼를 가진다. 상기 테이퍼가 상기 작동체(49002)를 따라 공진하는 진동을 줄일 수 있다. 동시에, 상대적으로 좁은 단면 프로파일(상기 측면 으로부터 볼 때)이 상기 웨이퍼들 사이의 더욱 용이한 이동을 허용할 수 있도록 한다. 상기 사이드-뷰 테이퍼는 그라인딩 또는 기계가공, 혹은 한 테이퍼를 갖는 작동체(49002)의 주조 처리에 의해 달성될 수 있다. 알루미늄 실리콘 카바이드(AlSiC 9)와 같은 재료가 그와 같은 형상으로 주조될 수 있으며 뒤이은 기계 가공 또는 다른 처리 단계를 피할 수 있도록 한다. 한 주조 가공 처리는 상기 웨이퍼 지지 재료(49004)가 상기 주조 처리 중 상기 몰드 내로 주조될 수 있으며 이에의해 물리적인 어셈블리를 필요로 하는 컴포넌트의 수를 줄이도록 하는 추가의 장점을 제공한다.
도 50에서 도시된 바와 같이, 유사한 기술이 로보트 아암 세그먼트(50002, 50004)에 적용될 수 있다. 상기에서 설명된 바와 같은 댐프닝 효과가 달성되어 상기 아암 세그먼트(50002, 50004)에서 공진 진동을 약하게 한다. 상기 테이퍼 형상은 다양한 공지의 처리를 사용하여 달성될 수 있으며, 결과의 로보트 아암 세그먼트에 대하여 더욱 빠른 이동 그리고 더욱 정확한 제어를 허용할 수 있도록 한다.
도 51은 5 개의 모터(51014)를 사용하는 이중 독립 SCARA 아암을 도시한다. 하측 아암(51002, 51008) 각각은 모터(51014)에 의해 독립적으로 작동될 수 있다. 상기 아암은 말단에서 상측 아암(51004, 51010)에 연결된다. 상기 구성은 상대적으로 작은 수축 반경을 제공하며, 그리나 다소 제한된 확장을 제공한다.
도 52는 4 개의 모터(52010)을 사용하는 이중 종속 SCARA 아암을 도시한다. 상기 링크(52002, 52004)는 상기 엔드 작동체(52006, 52008)에 공통일 수 있다. 상기 모터(52010)는 상기 엔드 작동체(52006, 52008)를 제어하여, 상기 하측 아 암(52002)의 확장이동중에 상기 필요한 엔드 작동체(가령 52008)가 상기 처리 모듈 내로 확장되도록 하고, 상기 비 작동 엔드 작동체(가령 52006)는 상기 처리 모듈로부터 멀리 향하도록 된다.
도 53은 프로그-레그 스타일 로보트 아암을 도시한다. 상기 아암은 반도체와 같은 작업 편을 직렬의 아암-아암으로부터 패싱할 수 있도록 하며, 반도체 처리 모듈 사이에서 작업편 들을 이동할 수 있도록하는 등, 본원 명세서에서 설명된 다양한 실시 예와 관련하여 사용될 수 있다.
도 54는 본원 명세서에서 설명된 아암-아암 시스템 하나와 같은 평면 로보트 시스템에서 사용될 수 있는 이중 프로그-레그 아암을 도시한다.
도 55A는 카트(55004)에 장치된 본원 명세서에서 설명된 바의 4-링크 SCARA 아암을 도시한다. 이 같은 카트는 가이드 레일 또는 자기 부상 트랙(55008)에 의해 선형방식으로 이동하며, 상기 시스템 내부 또는 외부 한 모터(55002)에 의해 구동된다. 상기 4-링크 SCARA 아암은 3-링크 SCARA 아암 보다 작은 수축 반경으로 접히는 장점을 가지며, 처리 모듈과 같은 주변 모듈 내로 더욱 큰 확장을 달성시키고 상기 아암이 통과해야 하는 오픈닝과의 충돌은 피할 수 있도록 한다. 인버트 카트(55006)는 상기 카트(55004)를 통해 기판을 통과시키도록 사용될 수 있다.
도 55B는 도 55A에서 설명된 시스템의 평면도이다.
도 56은 이중 독립 및 단일 SCARA 로보트 아암의 조합을 사용하는 본원 명세서에서 설명된 선형 시스템을 도시한다. 이 같은 시스템은 4-링크 SCARA 아암 로보트 시스템을 사용하는 시스템만큼 콤팩트하지 않다.
도 57은 4-링크 SCARA 로보트 아암을 사용하는 수직 스택 적재 핸들링 시스템을 도시하며, 여기서 아암은 어떠한 그리고 모든 주변 처리 모듈(5002)에 도달할 수 있다. 대략 45도의 각도로 상측 레벨(57004)내 처리 모듈을 회전시킴으로써, 그리고 상기 상측 레벨 컴포넌트들을 상기 하측 레벨 챔버(57002)로 장착시킴으로써, 상기 처리 모듈 각각의 상측 및 하측이 서비스 접근을 위해 그리고 펌프, 전극, 가스 라인 등등과 같은 컴포넌트들을 장착하기 위해 노출 상태로 있게된다. 상기 제안된 레이아웃은 매우 콤팩트한 공간에서 7 개의 처리 모듈(5002) 조합을 가능하게 한다.
도 58A는 도 57의 한 변경 실시를 도시하며, 상기 시스템의 하측 레벨(58002)은 본원 명세서에서 설명된 바의 다수의 로보트 시스템으로 구성되며 상기 상측 레벨 시스템(58004)은 상기 메인 시스템 축에 대하여 45도의 각도로 방향이 설정된 처리 모듈(5002)을 사용한다. 상기 제안된 레이아웃은 매우 콤팩트한 공간에 9 개의 처리 모듈(5002) 조합을 허용한다.
도 58B는 상기 시스템으로부터 반도체 웨이퍼와 같은 기판을 제거시키기 위해 후방-출구 적재 로크 장치를 사용하는, 도 58A의 한 변경을 도시한 도면이다.
도 59A는 커다란 기판 처리 모듈(59004)을 수용하면서도 서비스 접근(59002)을 허용하고, 그리고 동시에 두 개의 스탠다드 크기 처리 모듈(5002)을 제공하는 선형 핸들링 시스템을 도시한다.
도 59A는 네 개의 큰 처리 모듈(59004) 그리고 스탠다드 크기 처리 모듈(59002)를 수용하면서, 처리 모듈(59002) 내부로의 서비스 접근을 허용하는 시스 템 레이아웃을 설명한다.
도 60은 상기 로보트 드라이브 컴포넌트와 같은 측에 아암을 갖는 이중 프로그 로보트를 도시한다. 상기 하측 아암(60002)은 상기 모터 세트(54010)에 기계적으로 결합된 두 세트의 상측 아암(60004)을 지지한다.
이제 까지의 설명은 본원 발명에 대한 실시 예로서, 여러 수정 및 변경이 가능한 것이며, 본원 발명을 제한 하는 것으로 받아들여서는 아니 된다.

Claims (162)

  1. 진공-사용 핸들링 시스템의 축을 따라 다수의 로보트 아암 그리고 다수의 처리 모듈을 위치시키고; 그리고
    다수의 로보트 아암 첫 번째 아암으로부터 그 두번째 아암으로 상기 작업 편을 통과시킴으로써 상기 다수의 처리 모듈을 따라 작업 편을 이동시킴을 포함하는 반도체 핸들링 방법.
  2. 제 1항에 있어서, 상기 핸들링 시스템이 선형 핸들링 시스템임을 특징으로 하는 방법.
  3. 제 2항에 있어서, 상기 작업 편이 상기 선형 핸들링 시스템 반대편 엔드에서 들어가고 나가게 됨을 특징으로 하는 방법.
  4. 제 2항에 있어서, 상기 선형 핸들링 시스템이 에어-이용 리턴 시스템을 가짐을 특징으로 하는 방법.
  5. 제 2항에 있어서, 상기 작업 편이 상기 선형 핸들링 시스템 중간 포인트에서 나가게 됨을 특징으로 하는 방법.
  6. 제 1항에 있어서, 각 로보트 아암 사이에 두 개 이상의 핸드오프 포인트가 있음을 특징으로 하는 방법.
  7. 제 1항에 있어서, 상기 로보트 아암이 하나 이상의 4-링크 SCARA 아암을 포함함을 특징으로 하는 방법.
  8. 제 1항에 있어서, 상기 로보트 아암이 하나 이상의 수직 대향 SCARA 아암 쌍을 포함함을 특징으로 하는 방법.
  9. 제 1항에 있어서, 상기 작업 편이 처리 모듈 사이 한 통로에서 이동됨을 특징으로 하는 방법.
  10. 제 9항에 있어서, 상기 통로가 상기 처리 모듈로부터 분리됨을 특징으로 하는 방법.
  11. 제 1항에 있어서, 상기 로보트 아암이 상기 핸들링 시스템 내 수직으로 작업 편을 이동시키기 위한 능력을 포함함을 특징으로 하는 방법.
  12. 제 11항에 있어서, 상기 핸들링 시스템이 상기 로보트 아암에 의해 서비스되는 수직 스택 적재 처리 모듈을 포함함을 특징으로 하는 방법.
  13. 제 11항에 있어서, 상기 핸들링 시스템이 상기 로보트 아암에 의해 서비스되는 수직 스택 적재 로크를 포함함을 특징으로 하는 방법.
  14. 제 1항에 있어서, 상기 축이 선형임을 특징으로 하는 방법.
  15. 제 1항에 있어서, 상기 축이 곡선임을 특징으로 하는 방법.
  16. 제 1항에 있어서, 상기 축이 U자형을 형성함을 특징으로 하는 방법.
  17. 제 1항에 있어서, 상기 다수의 로보트 아암이 SCARA 아암을 포함함을 특징으로 하는 방법.
  18. 제 1항에 있어서, 상기 다수의 로보트 아암이 4-링크 SCARA 아암을 포함함을 특징으로 하는 방법.
  19. 제 1항에 있어서, 상기 다수의 로보트 아암이 3-링크 SCARA 아암을 포함함을 특징으로 하는 방법.
  20. 제 1항에 있어서, 상기 로보트 아암이 프로그 레그 로보트 아암을 포함함을 특징으로 하는 방법.
  21. 제 1항에 있어서, 상기 로보트 아암이 이중 SCARA 아암을 포함함을 특징으로 하는 방법.
  22. 제 1항에 있어서, 상기 로보트 아암이 이중 프로그 아암을 포함함을 특징으로 하는 방법.
  23. 제 1항에 있어서, 상기 로보트 아암이 4-링크 SCARA 아암을 포함함을 특징으로 하는 방법.
  24. 제 1항에 있어서, 상기 로보트 아암이 다수의 링크, 드라이브 장치 그리고 링크들 사이 커플러를 갖는 좌우 상칭 아암을 포함함을 특징으로 하는 방법.
  25. 제 1항에 있어서, 다수의 로보트 아암이 링크 쌍의 로보트 아암에 제공되며, 링크 쌍 각각이 두 개의 수직 배치 로보트 아암을 포함함을 특징으로 하는 방법.
  26. 진공-이용 핸들링 시스템 내 한 축을 따라 배열된 다수의 처리 모듈; 그리고
    다수의 로보트 아암 첫 번째 아암으로부터 그 두 번째 아암으로 작업 편을 이동시킴으로써, 다수의 처리 모듈들 가운데에서 작업 편을 이동시키기 위한 다수 의 로보트 아암을 포함하는 반도체 핸들링 시스템.
  27. 제 26항에 있어서, 상기 핸들링 시스템이 선형 핸들링 시스템임을 특징으로 하는 반도체 핸들링 시스템.
  28. 제 27항에 있어서, 상기 작업 편이 상기 선형 핸들링 시스템 반대편 엔드에서 들어가고 나가게 됨을 특징으로 하는 반도체 핸들링 시스템.
  29. 제 27항에 있어서, 상기 선형 핸들링 시스템이 에어-이용 리턴 시스템임을 특징으로 하는 반도체 핸들링 시스템.
  30. 제 27항에 있어서, 상기 작업 편이 상기 선형 핸들링 시스템 중간 포인트에서 나감을 특징으로 하는 반도체 핸들링 시스템.
  31. 제 26항에 있어서, 각 로보트 아암 사이에 두 개 이상의 핸드오프 포인트가 있음을 특징으로 하는 반도체 핸들링 시스템.
  32. 제 26항에 있어서, 상기 로보트 아암이 하나 이상의 4-링크 SCARA 아암을 포함함을 특징으로 하는 반도체 핸들링 시스템.
  33. 제 26항에 있어서, 상기 로보트 아암이 하나 이상의 수직 대향 SCARA 아암 쌍을 포함함을 특징으로 하는 반도체 핸들링 시스템.
  34. 제 26항에 있어서, 상기 작업 편이 처리 모듈 사이 한 통로에서 이동됨을 특징으로 하는 반도체 핸들링 시스템.
  35. 제 34항에 있어서, 상기 통로가 상기 처리 모듈로부터 분리됨을 특징으로 하는 반도체 핸들링 시스템.
  36. 제 26항에 있어서, 상기 로보트 아암이 상기 핸들링 시스템 내 수직으로 작업 편을 이동시키기 위한 능력을 포함함을 특징으로 하는 반도체 핸들링 시스템.
  37. 제 36항에 있어서, 상기 핸들링 시스템이 상기 로보트 아암에 의해 서비스되는 수직 스택 적재 처리 모듈을 포함함을 특징으로 하는 반도체 핸들링 시스템.
  38. 제 36항에 있어서, 상기 핸들링 시스템이 상기 로보트 아암에 의해 서비스되는 수직 스택 적재 로크를 포함함을 특징으로 하는 반도체 핸들링 시스템.
  39. 작업 편을 핸들링하기 위한 첫 번째 로보트 아암을 제공하고; 그리고
    상기 첫 번째 로보트 아암에 대하여 수직상의 한 위치에서 상기 작업 편을 핸들링하기 위한 두 번째 로보트 아암을 배치시킴을 포함하는 반도체 핸들링 방법.
  40. 제 39항에 있어서, 상기 제 1 로보트 아암을 상기 제 2 로보트 아암에 기계적으로 결합시킴을 더욱 포함함을 특징으로 하는 반도체 핸들링 방법.
  41. 제 39항에 있어서, 상기 제 2 로보트 아암으로부터 상기 첫 번째 아암의 결합을 기계적으로 해체시킴을 더욱 더 포함함을 특징으로 하는 반도체 핸들링 방법.
  42. 제 39항에 있어서, 상기 첫 번째 로보트 아암 적어도 하나 그리고 두 번째 아암이 SCARA 아암임을 특징으로 하는 반도체 핸들링 방법.
  43. 제 39항에 있어서, 상기 첫 번째 로보트 아암 적어도 하나 그리고 두 번째 아암이 4-링크 SCARA 아암임을 특징으로 하는 반도체 핸들링 방법.
  44. 제 39항에 있어서, 상기 첫 번째 로보트 아암 적어도 하나 그리고 두 번째 아암이 3-링크 SCARA 아암임을 특징으로 하는 반도체 핸들링 방법.
  45. 제 39항에 있어서, 상기 로보트 아암이 프로그 레그 로보트 아암을 포함함을 특징으로 하는 반도체 핸들링 방법.
  46. 제 39항에 있어서, 상기 로보트 아암이 이중 SCARA 아암을 포함함을 특징으로 하는 반도체 핸들링 방법.
  47. 제 39항에 있어서, 상기 로보트 아암이 이중 프로그 아암을 포함함을 특징으로 하는 반도체 핸들링 방법.
  48. 제 39항에 있어서, 상기 로보트 아암이 4-링크 SCARA 아암을 포함함을 특징으로 하는 반도체 핸들링 방법.
  49. 제 39항에 있어서, 상기 로보트 아암이 다수의 링크, 드라이브 장치 그리고 상기 링크들 사이 커플러를 가지는 좌우 상칭(bisymmetric)임을 특징으로 하는 반도체 핸들링 방법.
  50. 작업 편을 핸들하기 위한 첫 번째 로보트 아암으로서, 처리 모듈에 근접하여 위치하는 상기 로보트 아암; 그리고
    상기 작업 편을 핸들하기 위한 두 번째 로보트 아암으로서, 상기 첫 번째 로보트 아암에 대하여 수직상의 한 위치 처리 모듈 내에 위치하는 상기 두 번째 로보트 아암을 포함하는 반도체 핸들링 시스템.
  51. 제 50항에 있어서, 상기 제 1 로보트 아암이 상기 제 2 로보트 아암에 기계 적으로 결합됨을 특징으로 하는 반도체 핸들링 시스템.
  52. 제 50항에 있어서, 상기 제 2 로보트 아암으로부터 상기 첫 번째 아암의 결합을 기계적으로 해체됨을 특징으로 하는 반도체 핸들링 시스템.
  53. 제 50항에 있어서, 상기 첫 번째 로보트 아암 적어도 하나 그리고 두 번째 아암이 SCARA 아암임을 특징으로 하는 반도체 핸들링 시스템.
  54. 제 50항에 있어서, 상기 첫 번째 로보트 아암 적어도 하나 그리고 두 번째 아암이 4-링크 SCARA 아암임을 특징으로 하는 반도체 핸들링 시스템.
  55. 제 50항에 있어서, 상기 첫 번째 로보트 아암 적어도 하나 그리고 두 번째 아암이 3-링크 SCARA 아암임을 특징으로 하는 반도체 핸들링 시스템.
  56. 제 50항에 있어서, 상기 로보트 아암 적어도 한 아암이 4 링크 SCARA 아암으로서 엔드 작동체에 의한 선형 이동을 제공함을 특징으로 하는 반도체 핸들링 시스템.
  57. 로보트 드라이브; 아이템을 조작하기 위한 엔드 작동체; 그리고 상기 로보트 드라이브 메커니즘을 상기 엔드 작동체에 연결시키기 위한 로보트 아암을 포함하 며, 상기 로보트 아암이 4 개 또는 그 이상의 링크 그리고 상기 엔드 작동체 정렬을 위한 장치를 포함함을 특징으로 하는 시스템.
  58. 제 57항에 있어서, 상기 정렬 장치가 4 개 또는 그 이상의 링크들을 서로 기계적으로 연결시키어, 상기 엔드 작동체가 상기 로보트 드라이브 제어하에서 선형 방향으로 이동할 수 있도록 하는 하나 이상의 코넥터를 포함함을 특징으로 하는 시스템.
  59. 제 57항에 있어서, 상기 정렬 장치가 한 모터를 포함함을 특징으로 하는 시스템.
  60. 제 59항에 있어서, 상기 정렬 장치가 상기 엔드 작동체를 수축 및 확장시키기 위한 모터 그리고 상기 엔드 작동체의 회전을 위한 모터를 포함함을 특징으로 하는 시스템.
  61. 제 57항에 있어서, 상기 링크 각각이 상기 로보트 아암의 도달-억제 비를 최적으로 하도록 선택된 길이를 가짐을 특징으로 하는 시스템.
  62. 제 57항에 있어서, 상기 링크들이 로보트 아암이 수축되고 확장되는 때 슬롯 밸브의 에지를 피하도록 디자인 됨을 특징으로 하는 시스템.
  63. 제 57항에 있어서, 상기 로보트 아암의 링크가 1:2:1 이상의 길이 비를 가짐을 특징을 하는 시스템.
  64. 제 57항에 있어서, 상기 로보트 드라이브의 동작을 컨트롤하는 한 컨트롤러를 더욱 포함을 특징으로 하는 시스템.
  65. 제 64항에 있어서, 상기 컨트롤러가 원격 컨트롤러임을 특징으로 하는 시스템.
  66. 제 64항에 있어서, 상기 컨트롤러가 시각화 소프트웨어 프로그램과 통합됨을 특징으로 하는 시스템.
  67. 제 64항에 있어서, 상기 컨트롤러가 하나 또는 그 이상의 로보트 아암을 컨트롤함을 특징으로 하는 시스템.
  68. 제 57항에 있어서, 상기 엔드 작동체에 근접한 로보트 아암 링크가 상기 아암이 접히도록 하는 한 오프셋 손목을 포함함을 특징으로 하는 시스템.
  69. 제 57항에 있어서, 상기 로보트 아암이 하나 이상의 링크를 포함하며, 이 같 은 링크가 한 커트 아웃을 가져서 이 같은 커트 아웃 속으로 하나 이상의 다른 링크가 접힐 수 있게 됨을 특징으로 하는 시스템.
  70. 제 57항에 있어서, 상기 로보트 아암의 두 개 이상의 연속 링크가 한 수직의 갭을 가지며 스택 적재되어, 로보트 아암의 상기 하나 이상의 다른 링크가 상기 두 개 이상의 연속 링크 사이의 수직 공간 내로 접힐 수 있도록 함을 특징으로 하는 시스템.
  71. 제 57항에 있어서, 링크들 사이 하나 이상의 바이패스 스플라인을 더욱 포함함을 특징으로 하는 시스템.
  72. 로보트 드라이브, 아이템을 조작하기 위한 작동체, 그리고 상기 로보트 드라이브 메커니즘을 상기 엔드 작동체에 연결시키는 로보트 아암으로서, 4 개 또는 그 이상을 포함하는 상기 로보트 아암을 제공하고; 그리고
    상기 4 개 또는 그 이상을 서로 연결시키어, 상기 엔드 작동체가 상기 로보트 드라이브의 제어하에 선형 방향으로 이동하도록 하며, 상기 로보트 아암이 4 개 또는 그 이상의 링크 그리고 상기 엔드 작동체 정렬을 위한 장치를 포함하는 방법.
  73. 제 72항에 있어서, 상기 정렬 장치가 상기 4 개 또는 그 이상의 링크를 기계적으로 서로 연결시키는 하나 또는 둘 이상의 커넥터를 포함하여, 상기 엔드 작동 체가 상기 로보트 드라이브의 제어하에 선형방향으로 이동하도록 함을 특징으로 하는 방법.
  74. 제 72항에 있어서, 상기 정렬 장치가 한 모터를 포함함을 특징으로 하는 방법.
  75. 제 72항에 있어서, 상기 정렬 장치가 상기 엔드 작동체를 수축 및 확장시키기 위한 한 모터 그리고 상기 엔드 작동체의 회전을 위한 한 모터를 포함함을 특징으로 하는 방법.
  76. 제 72항에 있어서, 상기 링크 각각이 상기 로보트 아암의 도달-억제를 최적으로하도록 선택된 한 길이를 가짐을 특징으로 하는 방법.
  77. 제 72항에 있어서, 상기 링크가 상기 로보트 아암이 수축 또는 확장되는 때 한 슬롯 밸브의 가장자리를 피하도록 만들어짐을 특징으로 하는 방법.
  78. 제 72항에 있어서, 상기 로보트 아암의 링크들이 1:2:1 이상의 비 길이를 가짐을 특징으로 하는 방법.
  79. 제 72항에 있어서, 한 컨트롤러로 상기 로보트 드라이브으 동작을 컨트롤함 을 더욱 더 포함함을 특징으로 하는 방법.
  80. 제 79항에 있어서, 상기 컨트롤러가 시각화 소프트웨어 프로그램과 통합됨을 특징으로 하는 방법.
  81. 제 79항에 있어서, 상기 컨트롤러가 두 개 이상의 로보트 아암을 컨트롤함을 특징으로 하는 방법.
  82. 제 72항에 있어서, 상기 엔드 작동체에 근접한 상기 로보트 아암의 링크가 아암이 접혀질 수 있도록 하는 오프셋 손목을 포함함을 특징으로 하는 방법.
  83. 제 72항에 있어서, 상기 로보트 아암이 하나의 커트아웃을 갖는 하나 이상의 링크를 포함하여, 상기 커트아웃 내로 하나 이상의 다른 링크가 접혀질 수 있도록 함을 특징으로 하는 방법.
  84. 제 72항에 있어서, 상기 로보트 아암의 두 개이상의 연속 링크가 수직을 갭을 갖는 스택 적재되어, 두 개 이상의 연속 링크 사이에서 수직의 공간 내에서 상기 로보트 아암의 하나 이상의 다른 링크가 접혀 질 수 있도록 함을 특징으로 하는 방법.
  85. 제 72항에 있어서, 링크들 사이에서 하나 이상의 바이패스 스플라인을 제공함을 더욱 포함함을 특징으로 하는 방법.
  86. 선형 트랙을 중심으로 배치된 반도체 생산 처리를 위한 다수의 처리 모듈;
    제거 할 수 있도록 상기 선형 트랙에 결합되며 그리고 상기 선형 트랙을 따라 이동할 수 있도록 구성된 카트; 그리고
    다수의 처리 모듈을 따라 작업 편을 조작하기 위해 상기 카트상에 배치된 로보트 아암을 포함하는 시스템.
  87. 제 86항에 있어서, 상기 로보트 아암이 SCARA 아암을 포함함을 특징으로 하는 시스템.
  88. 제 87항에 있어서, 상기 SCARA 아암이 4-링크 SCARA 아암을 포함함을 특징으로 하는 시스템.
  89. 제 87항에 있어서, 상기 SCARA 아암이 3-링크 SCARA 아암을 포함함을 특징으로 하는 시스템.
  90. 선형 트랙을 따라 배치된 반도체 생산 처리를 위한 다수의 처리 모듈을 제공하고; 그리고;
    이동할 수 있도록 상기 선형 트랙에 결합되며 그리고 선형 트랙을 따라 이동하도록 구성된 카트를 제공하며; 그리고
    다수의 처리 모듈 가운데에서 작업 편들을 조작하기 위해 상기 카트상에 한 로보트를 배치함을 포함하는 방법.
  91. 제 90항에 있어서, 상기 로보트 아암이 SCARA 아암을 포함함을 특징으로 하는 방법.
  92. 제 91항에 있어서, 상기 SCARA 아암이 4-링크 SCARA 아암을 포함함을 특징으로 하는 방법.
  93. 제 91항에 있어서, 상기 SCARA 아암이 3-링크 SCARA 아암을 포함함을 특징으로 하는 방법.
  94. 적재 엔드 그리고 출구 엔드를 갖는 선형 구성으로 배치된 진공 처리 시스템; 그리고
    상기 출구 엔드로부터 상기 적재 엔드로 한 아이템을 리턴시키기 위한 비-진공 리턴 시스템을 포함하는 반도체 핸들링 시스템.
  95. 제 94항에 있어서, 상기 비-진공 리턴 시스템이 상기 진공 처리 시스템 위에 배치됨을 특징으로 하는 시스템.
  96. 제 94항에 있어서, 상기 비-진공 리턴 시스템이 상기 진공 처리 시스템 아래에 배치됨을 특징으로 하는 시스템.
  97. 제 94항에 있어서, 상기 비-진공 리턴 시스템이 상기 진공 처리 시스템 옆에 배치됨을 특징으로 하는 시스템.
  98. 제 94항에 있어서, 상기 비-진공 리턴 시스템이 상기 진공 처리 시스템 내에 배치됨을 특징으로 하는 시스템.
  99. 제 94항에 있어서, 상기 리턴 시스템이 상기 진공 처리 시스템 중간-엔트리 포인트에 연결됨을 특징으로 하는 시스템.
  100. 제 94항에 있어서, 상기 비-진공 리턴 시스템이 상기 출구 엔드에서 한 적재 로크를 포함하여 상기 진공 처리 시스템으로부터 상기 비-진공 리턴 시스템으로 이동할 수 있도록 함을 특징으로 하는 방법.
  101. 제 94항에 있어서, 상기 비-진공 리턴 시스템이 슬라이드 메커니즘 그리고 그립퍼를 포함하여, 상기 아이템을 상기 출구 엔드로부터 상기 적재 엔드로 이동시 키도록 함을 특징으로 하는 시스템.
  102. 제 94항에 있어서, 상기 진공 처리 시스템이 다수의 처리 모듈을 포함함을 특징으로 하는 시스템.
  103. 제 102항에 있어서, 상기 진공 처리 시스템이 상기 처리 모듈가운데에서 상기 아이템을 이동시키는 하나 이상의 로보트 아암을 포함함을 특징으로 하는 시스템.
  104. 제 103항에 있어서, 다수의 로보트 아암 첫 번째 아암으로부터 그 두 번째 아암으로 상기 아이템을 보내어 상기 아이템을 이동시키는 다수의 로보트 아암을 더욱 포함함을 특징으로 하는 시스템.
  105. 제 104항에 있어서, 상기 다수의 로보트 아암이 SCARA 아암을 포함함을 특징으로 하는 시스템.
  106. 제 104항에 있어서, 상기 다수의 로보트 아암이 4-링크 SCARA 아암을 포함함을 특징으로 하는 시스템.
  107. 제 104항에 있어서, 상기 다수의 로보트 아암이 3-링크 SCARA 아암을 포함함 을 특징으로 하는 시스템.
  108. 제 104항에 있어서, 상기 다수의 로보트 아암이 서로 수직으로 배치된 하나 이상의 링크된 로보트 아암 쌍을 포함함을 특징으로 하는 시스템.
  109. 제 104항에 있어서, 상기 다수의 처리 모듈이 2 또는 그 이상의 인수로 차지 면적 변하도록 함을 특징으로 하는 시스템.
  110. 제 94항에 있어서, 한 반도체 생산 장치를 포함하며, 상기 반도체 생산 장치가 다수의 선형 반도체 핸들링 시스템을 포함하고, 상기 다수의 선형 반도체 핸들링 시스템이 나란히 배치되어, 다수의 선형 반도체 핸들링 시스템 적재 엔드가 상기 반도체 생산 장치 코리도를 마주하도록 함을 특징으로 하는 시스템.
  111. 다수의 선형 반도체 핸들링 시스템을 포함하며, 이들 시스템이 나란히 배치되어, 다수의 선형 반도체 핸들링 시스템 적재 엔드들이 상기 반도체 생산 장치 코리도를 마주하도록 함을 특징으로 하는 반도체 생산 장치.
  112. 다수의 선형 반도체 핸들링 시스템을 포함하며, 이들 시스템이 끝과 끝으로 배치되어, 상기 시스템이 한 링의 선형 핸들링 시스템을 형성하도록 함을 특징으로 하는 반도체 생산 장치.
  113. 반도체 웨이퍼를 수용하기 위한 하나 이상의 텀블 그립퍼를 포함하며, 상기 텀블 그립퍼가 한 쌍의 그립핑 모듈을 포함하고, 그립핑 모듈 각각이 반도체 웨이퍼의 한 쌍의 병렬 에지 하나를 수용하도록 구성되고, 상기 그립핑 모듈 한 수평 부분이 수평 평면에서 상기 반도체 웨이퍼를 지지하고 그리고 상기 그립핑 모듈 수직 부분이 반도체 웨이퍼가 수평 평면에서 이동하지 못하도록 막는 한 위치로 반도체 웨이퍼를 수용하자 마자 그립핑 모듈 각각이 회전하게 됨을 특징으로 하는 반도체 생산 장치.
  114. 반도체 웨이퍼를 홀딩하기 위한 한 엔드 작동체를 제공하며, 상기 엔드 작동체가 한 수평 평면에서 반도체 웨이퍼를 지지하고 반도체 웨이퍼가 수평 평면에서 이동하는 것을 막도록 구성된 한 수용 슬롯을 포함하고, 상기 엔드 작동체가 반도체 웨이퍼가 상기 엔드 작동체에 놓여지는 때 상기 수용 슬롯 내로 반도체 웨이퍼를 슬라이딩하도록 구성된 램프를 포함하는, 반도체 웨이퍼를 핸들링하기 위한 방법.
  115. 다수의 로보트 아암, 한 공통 드라이브 장치를 공유하는 두 개 이상의 다수의 로보트 아암을 포함하는 반도체 핸들링 시스템.
  116. 제 115항에 있어서, 하나 이상의 다수의 로보트 아암이 SCARA 아암임을 특징 으로 하는 시스템.
  117. 제 115항에 있어서 하나 이상의 로보트 아암이 4-링크 SCARA 아암임을 특징으로 하는 시스템.
  118. 제 115항에 있어서, 상기 두개 이상의 다수의 로보트 아암이 독립적으로 동작함을 특징으로 하는 시스템.
  119. 제 115항에 있어서, 상기 두 개 이상의 다수의 로보트 아암이 비 독립적으로 동작함을 특징으로 하는 시스템.
  120. 프로그-레그 아암 구성을 갖는 로보트 아암을 포함하며, 상기 프로그-레그 아암 구성이 두 개 이상의 프로그 레그 아암 쌍을 포함함을 특징으로 하는 반도체 핸들링 시스템.
  121. 재료를 핸들링하기 위한 로보트 아암을 제공하며, 상기 로보트 아암이 세 개 이상의 링크를 가지며, 상기 링크들이 연결되어 이들이 평행한 이동 평면으로 서로에 대하여 회전할 수 있도록 하고; 그리고
    두 개 이상의 인접한 링크 사이에 한 조인트를 제공하여, 상기 조인트가 인접한 링크들의 수직 이동 평면 사이에 한 공간을 만들어, 세 번째 링크가 상기 인 접한 링크들의 이동 평면 사이에 있는 이동 평면 내에서 접힐 수 있도록 함을 포함하는 재료 핸들링 방법.
  122. 제 121항에 있어서, 상기 로보트 아암이 진공 핸들링 시스템으로 배치됨을 특징으로 하는 방법.
  123. 제 121항에 있어서, 상기 로보트 아암이 다수의 로보트 아암 중 한 아암이고, 그리고 상기 로보트 아암이 아암으로부터 아암으로 재료를 이동시키는 것임을 특징으로 하는 방법.
  124. 재료를 핸들링하기 위한 로보트 아암으로서, 세 개의 링크를 가지며, 상기 링크가 연결되어 이들이 평행한 이동 평면에서 서로에 대하여 회전할 수 있도록 하는 로보트 아암; 그리고
    두 개 이상의 인접 링크 사이 한 조인트로서, 상기 인접한 링크들의 수직한 이동 평면 사이에 한 공간을 만들어, 세 번째 링크가 상기 인접한 링크들의 이동 평면 사이의 한 이동 평면 내로 접혀질 수 있도록 하는 조인트를 포함하는 재료 핸들링 시스템.
  125. 제 124항에 있어서, 상기 로보트 아암이 진공 핸들링 시스템내에 배치됨을 특징으로 하는 시스템.
  126. 제 124항에 있어서, 상기 로보트 아암이 다수의 로보트 아암 중 한 아암이며, 상기 로보트 아암이 아암으로부터 아암으로 재료를 이동시킴을 특징으로 하는 시스템.
  127. 4-링크 SCARA 로보트 아암을 제공하며; 그리고
    한 진공 핸들링 시스템 내에 상기 4-링크 SCARA 로보트 아암을 배치시키어, 상기 4-링크 SCARA 로보트 아암이 상기 진공 핸들링 시스템을 처리 할 수 있도록 함을 포함함을 특징으로 하는 방법.
  128. 제 127항에 있어서, 상기 4-링크 SCARA 로보트 아암이 반도체 생산 처리의 처리 모듈 가운데에서 아암으로부터 아암으로 재료를 이동시키는 다수의 SCARA 아암 중 한 아암임을 특징으로 하는 방법.
  129. 제 127항에 있어서, 상기 핸들링 방법이 선형임을 특징으로 하는 방법.
  130. 제 127항에 있어서, 상기 처리 방법이 적재 엔드 그리고 출구 엔드를 가지며, 상기 출구 엔드가 상기 적재 엔드로부터 멀리 있음을 특징으로 하는 방법.
  131. 제 127항에 있어서, 상기 핸들링 방법이 에어-사용 리턴 시스템으로 진공에 의한 것임을 특징으로 하는 방법.
  132. 제 127항에 있어서, 상기 핸들링 방법이 한 중간 포인트에 배치된 적재 로크 장치를 가짐을 특징으로 하는 방법.
  133. 제 127항에 있어서, 상기 4-링크 SCARA 로보트 아암이 상기 진공 사용 핸들링 시스템의 슬롯 밸브와의 간섭을 피하도록 구성됨을 특징으로 하는 방법.
  134. 제 127항에 있어서, 상기 핸들링 방법이 이중, 수직으로 마주하여 배치된 4-링크 SCARA 로보트 아암을 포함함을 특징으로 하는 방법.
  135. 제 127항에 있어서, 상기 핸들링 방법이 반도체 생산 처리를 위한 다수의 처리 모듈을 포함함을 특징으로 하는 방법.
  136. 제 127항에 있어서, 두 개 이상의 처리 모듈이 상기 재료 핸들링 방법의 선형 방향과 관련하여 각기 다른 수직 위치로 배치됨을 특징으로 하는 방법.
  137. 제 127항에 있어서, 상기 재료 핸들링 방법이 적재를 위한 스트래치 적재 로크 장치를 포함함을 특징으로 하는 방법.
  138. 제 127항에 있어서, 상기 로보트 아암이 상기 선형 핸들링 방향에 수직한 수직 방향으로 이동시키기 위한 능력을 포함하여, 한 로보트 아암이 각기 다른 평면에 위치한 처리 모듈을 서비스 할 수 있도록 함을 특징으로 하는 방법.
  139. 제 138항에 있어서, 상기 방법이 다수의 로보트 아암을 포함함을 특징으로 하는 방법.
  140. 제 127항에 있어서, 상기 핸들링이 멀티-레벨임을 특징으로 하는 방법.
  141. 제 127항에 있어서, 상기 핸들링이 상기 선형 방향에 대한 불 규칙한 각도로 배치된 처리 모듈을 포함함을 특징으로 하는 방법.
  142. 제 141항에 있어서, 상기 불규칙한 각도가 90도와 180도 사이임을 특징으로 하는 방법.
  143. 제 127항에 있어서, 하나 이상의 처리 모듈이 오버 사이즈 처리 모듈임을 특징으로 하는 방법.
  144. 제 143항에 있어서, 상기 오버 사이즈 처리 모듈이 상기 처리 모듈의 상부를 통해 접근을 제공하기 위한 회전 리드를 포함함을 특징으로 하는 방법.
  145. 한 진공 핸들링 시스템 내에 배치된 4-링크 로보트 아암을 포함하며, 상기 4-링크 SCARA 로보트 아암이 상기 진공 핸들링 시스템에서 한 재료를 핸들할 수 있도록 함을 특징으로 하는 재료 핸들링 시스템.
  146. 제 145항에 있어서, 상기 4-링크 SCARA 로보트 아암이 반도체 생산 처리의 처리 모듈 가운데에서 아암으로부터 아암으로 재료를 이동시키는 다수의 SCARA 아암 중 한 아암임을 특징으로 하는 시스템.
  147. 제 145항에 있어서, 상기 핸들링 시스템이 선형 핸들링 시스템임을 특징으로 하는 시스템.
  148. 제 145항에 있어서, 상기 핸들링 시스템이 한 적재 엔드 그리고 한 출구 엔드를 가지며, 상기 출구 엔드가 상기 적재 엔드로부터 멀리 떨어져 있음을 특징으로 하는 시스템.
  149. 제 145항에 있어서, 상기 핸들링 시스템이 진공-이용 핸들링 시스템 그리고 에어-이용 리턴 시스템을 가짐을 특징으로 하는 시스템.
  150. 제 145항에 있어서, 상기 핸들링 시스템이 핸들링 시스템 중간 포인트에 배 치된 한 적재 로크 장치를 가짐을 특징으로 하는 시스템.
  151. 제 145항에 있어서, 상기 4-링크 SCARA 로보트 아암이 진공-사용 핸들링 시스템 슬롯 밸브와의 간섭을 피하도록 구성됨을 특징으로 하는 시스템.
  152. 제 145항에 있어서, 상기 핸들링 시스템이 이중, 수직으로 마주하여 배치된 4-링크 SCARA 로보트 아암을 포함함을 특징으로 하는 시스템.
  153. 제 145항에 있어서, 상기 핸들링 시스템이 한 반도체 생산 처리를 위한 다수의 처리 모듈을 포함함을 특징으로 하는 시스템.
  154. 제 145항에 있어서, 두 개 이상의 처리 모듈이 상기 재료 핸들링 시스템의 선형 방향에 대하여 각기 다른 수직상의 위치에 배치됨을 특징으로 하는 시스템.
  155. 제 145항에 있어서, 상기 재료 핸들링 시스템이 상기 핸들링 시스템을 적재하기 위한 스트래치 적재 로크 장치를 포함함을 특징으로 하는 시스템.
  156. 제 145항에 있어서, 상기 로보트 아암이 상기 핸들링 시스템의 선형 방향에 직각인 수직 방향으로 이동하도록 하는 능력을 가져서, 한 로보트 아암이 상기 핸들링 시스템의 각기 다른 평면 내에 위치한 처리 모듈에 서비스할 수 있도록 함을 특징으로 하는 시스템.
  157. 제 156항에 있어서, 상기 시스템이 다수의 로보트 아암을 포함함을 특징으로 하는 시스템.
  158. 제 145항에 있어서, 상기 핸들링 시스템이 멀티-레벨 핸들링 시스템임을 특징으로 하는 시스템.
  159. 제 145항에 있어서, 상기 핸들링 시스템이 핸들링 시스템 선형 방향에 대하여 불규칙한 각도로 배치된 처리 모듈을 포함함을 특징으로 하는 시스템.
  160. 제 159항에 있어서, 상기 불규칙한 각도가 90도와 180도 사이임읕 특징으로 하는 시스템.
  161. 제 145항에 있어서, 하나 이상의 처리 모듈이 오버 사이즈 처리 모듈임을 특징으로 하는 시스템.
  162. 제 161항에 있어서, 상기 오버 사이즈 모듈이 상기 처리 모듈의 상부를 통한 접근을 제공하기 위한 회전 리드를 포함함을 특징으로 하는 시스템.
KR1020067011218A 2003-11-10 2004-11-10 진공-사용 반도체 핸들링 시스템에서 작업 편을 핸들링하기위한 방법 및 시스템 KR20070008533A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US51882303P 2003-11-10 2003-11-10
US60/518,823 2003-11-10
US60764904P 2004-09-07 2004-09-07
US60/607,649 2004-09-07

Publications (1)

Publication Number Publication Date
KR20070008533A true KR20070008533A (ko) 2007-01-17

Family

ID=34594927

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067011218A KR20070008533A (ko) 2003-11-10 2004-11-10 진공-사용 반도체 핸들링 시스템에서 작업 편을 핸들링하기위한 방법 및 시스템

Country Status (7)

Country Link
US (12) US20050223837A1 (ko)
EP (1) EP1684951B1 (ko)
JP (2) JP5226215B2 (ko)
KR (1) KR20070008533A (ko)
IL (1) IL175518A0 (ko)
SG (1) SG132670A1 (ko)
WO (1) WO2005048313A2 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101329664B1 (ko) * 2009-11-12 2013-11-15 가부시키가이샤 히다치 하이테크놀로지즈 진공처리장치 및 진공처리장치의 운전방법
KR20140087023A (ko) * 2011-10-26 2014-07-08 브룩스 오토메이션 인코퍼레이티드 반도체 웨이퍼 취급 및 이송
KR20170054241A (ko) * 2015-10-20 2017-05-17 램 리써치 코포레이션 반도체 제작 및 연구 공장 내의 자본 장비에서 사용되는 서비스 터널
US11257696B2 (en) 2016-10-18 2022-02-22 Mattson Technology, Inc. Systems and methods for workpiece processing
US11482434B2 (en) 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing

Families Citing this family (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100682209B1 (ko) 2002-06-21 2007-02-12 어플라이드 머티어리얼스, 인코포레이티드 진공 처리 시스템용 전달 챔버
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
US8960099B2 (en) * 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US6949938B2 (en) * 2002-11-20 2005-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Prevention of robot damage via capacitive sensor assembly
CN101094933A (zh) * 2003-08-29 2007-12-26 交叉自动控制公司 用于半导体处理的方法和装置
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US20050223837A1 (en) * 2003-11-10 2005-10-13 Blueshift Technologies, Inc. Methods and systems for driving robotic components of a semiconductor handling system
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US8696298B2 (en) * 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US8639365B2 (en) 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US8639489B2 (en) 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US8000837B2 (en) * 2004-10-05 2011-08-16 J&L Group International, Llc Programmable load forming system, components thereof, and methods of use
US7314808B2 (en) * 2004-12-23 2008-01-01 Applied Materials, Inc. Method for sequencing substrates
KR101041685B1 (ko) * 2005-02-12 2011-06-14 어플라이드 머티어리얼스, 인코포레이티드 다축 진공 모터 조립체
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US20130226329A1 (en) * 2006-08-12 2013-08-29 Frederick A. Flitsch Cleanspace Fabricators for High Technology Manufacturing and Assembly Processing
US10651063B2 (en) 2005-06-18 2020-05-12 Frederick A. Flitsch Methods of prototyping and manufacturing with cleanspace fabricators
US10627809B2 (en) 2005-06-18 2020-04-21 Frederick A. Flitsch Multilevel fabricators
US7513822B2 (en) * 2005-06-18 2009-04-07 Flitsch Frederick A Method and apparatus for a cleanspace fabricator
US11024527B2 (en) 2005-06-18 2021-06-01 Frederick A. Flitsch Methods and apparatus for novel fabricators with Cleanspace
JP2007005582A (ja) * 2005-06-24 2007-01-11 Asm Japan Kk 基板搬送装置及びそれを搭載した半導体基板製造装置
JP5014603B2 (ja) * 2005-07-29 2012-08-29 株式会社アルバック 真空処理装置
CN100358097C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺处理系统及其处理方法
TWI342597B (en) * 2005-11-21 2011-05-21 Applied Materials Inc Methods and apparatus for transferring substrates during electronic device manufacturing
CN100388457C (zh) * 2005-12-08 2008-05-14 北京圆合电子技术有限责任公司 真空机械手
CN103021908B (zh) * 2005-12-20 2015-09-30 应用材料公司 用于半导体设备制造装备的延伸主机设计
US7387484B2 (en) * 2005-12-21 2008-06-17 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer positioning systems and methods thereof
WO2007103870A2 (en) * 2006-03-05 2007-09-13 Blueshift Technologies, Inc. Bypass thermal adjuster for vacuum semiconductor processing
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US20080025821A1 (en) * 2006-07-25 2008-01-31 Applied Materials, Inc. Octagon transfer chamber
US20080025823A1 (en) * 2006-07-31 2008-01-31 Masahiko Harumoto Load lock device, and substrate processing apparatus and substrate processing system including the same
US7751919B2 (en) 2006-08-19 2010-07-06 Dynamic Micro Systems Method for operating equipment using buffer station having emergency access
US9117859B2 (en) * 2006-08-31 2015-08-25 Brooks Automation, Inc. Compact processing apparatus
US9524896B2 (en) * 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US7901539B2 (en) * 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US8293066B2 (en) * 2006-09-19 2012-10-23 Brooks Automation, Inc. Apparatus and methods for transporting and processing substrates
US8419341B2 (en) 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
US9122272B2 (en) 2006-10-06 2015-09-01 Dynamic Micro Systems Redundantable robot assembly for workpiece transfer
US8182198B2 (en) * 2006-10-06 2012-05-22 Dynamic Micro Systems Semiconductor Equipment Gmbh Redundantable robot assembly for workpiece transfer
WO2008041169A2 (en) * 2006-10-06 2008-04-10 Dynamic Micro Systems Redundantable robot assembly for workpiece transfer
US7410542B2 (en) * 2006-10-10 2008-08-12 Paul Terrance Nolan Variable environment, scale-able, roll to roll system and method for manufacturing thin film electronics on flexible substrates
TW200900210A (en) * 2006-11-09 2009-01-01 Ihi Corp Frog-leg arm robot and control method thereof
JP2008137738A (ja) * 2006-11-30 2008-06-19 Asyst Technologies Japan Inc 天井走行搬送装置
US20080138178A1 (en) * 2006-12-06 2008-06-12 Axcelis Technologies,Inc. High throughput serial wafer handling end station
KR100847888B1 (ko) * 2006-12-12 2008-07-23 세메스 주식회사 반도체 소자 제조 장치
US20080202892A1 (en) * 2007-02-27 2008-08-28 Smith John M Stacked process chambers for substrate vacuum processing tool
US20080206023A1 (en) * 2007-02-27 2008-08-28 Smith John M Semiconductor substrate processing apparatus with horizontally clustered vertical stacks
US20080206036A1 (en) * 2007-02-27 2008-08-28 Smith John M Magnetic media processing tool with storage bays and multi-axis robot arms
US20080206022A1 (en) * 2007-02-27 2008-08-28 Smith John M Mult-axis robot arms in substrate vacuum processing tool
US20080202417A1 (en) * 2007-02-27 2008-08-28 Smith John M Self-contained process modules for vacuum processing tool
US20080219810A1 (en) * 2007-03-05 2008-09-11 Van Der Meulen Peter Semiconductor manufacturing process modules
JP2010524201A (ja) * 2007-03-22 2010-07-15 クロッシング オートメイション, インコーポレイテッド モジュラクラスタツール
TWI660446B (zh) * 2007-05-08 2019-05-21 美商布魯克斯自動機械公司 具有使用機械轉換機構之複數可動臂的基板運送裝置
US8752449B2 (en) * 2007-05-08 2014-06-17 Brooks Automation, Inc. Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
WO2008140728A2 (en) * 2007-05-08 2008-11-20 Brooks Automation, Inc. Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
WO2009026372A1 (en) * 2007-08-20 2009-02-26 Blueshift Technologies, Inc. Wafer presence detection
EP2193193A4 (en) * 2007-09-24 2012-02-01 Arborgen Inc DEVICE AND METHOD FOR PRODUCING PLANT TISSUE FOR PLANT PRODUCTION
US8099192B2 (en) * 2007-11-06 2012-01-17 Novellus Systems, Inc. Method and apparatus for teaching a workpiece transfer robot
CN101842890A (zh) * 2007-11-09 2010-09-22 佳能安内华股份有限公司 在线型晶圆输送装置
JP4473343B2 (ja) * 2007-11-09 2010-06-02 キヤノンアネルバ株式会社 インライン型ウェハ搬送装置
WO2009060540A1 (ja) * 2007-11-09 2009-05-14 Canon Anelva Corporation インライン型ウェハ搬送装置
US8033769B2 (en) * 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
NL1036794A1 (nl) * 2008-04-25 2009-10-27 Asml Netherlands Bv Robot for in-vacuum use.
US8185242B2 (en) * 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
JP5405235B2 (ja) * 2008-09-05 2014-02-05 Ntn株式会社 生産設備及び生産システム
US8056256B2 (en) * 2008-09-17 2011-11-15 Slack Associates, Inc. Method for reconditioning FCR APG-68 tactical radar units
US8701307B2 (en) 2008-09-17 2014-04-22 Howard C. Slack Method for cleaning and reconditioning FCR APG-68 tactical radar units
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
US8666551B2 (en) * 2008-12-22 2014-03-04 Asm Japan K.K. Semiconductor-processing apparatus equipped with robot diagnostic module
TWI394224B (zh) * 2009-02-24 2013-04-21 Intevac Inc 載送及處理基板之裝置與方法
US8293532B2 (en) 2009-03-26 2012-10-23 Dow AgroSciences, L.L.C. Method and apparatus for tissue transfer
JP2011009362A (ja) * 2009-06-24 2011-01-13 Tokyo Electron Ltd インプリントシステム、インプリント方法、プログラム及びコンピュータ記憶媒体
JP5060517B2 (ja) * 2009-06-24 2012-10-31 東京エレクトロン株式会社 インプリントシステム
EP2452123A1 (en) * 2009-07-06 2012-05-16 Peat International, INC. Apparatus for treating waste
US8602706B2 (en) 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
JP4924680B2 (ja) * 2009-09-09 2012-04-25 村田機械株式会社 移載装置
JP5358366B2 (ja) * 2009-09-14 2013-12-04 東京エレクトロン株式会社 基板処理装置及び方法
US8459922B2 (en) * 2009-11-13 2013-06-11 Brooks Automation, Inc. Manipulator auto-teach and position correction system
JP2011119556A (ja) * 2009-12-07 2011-06-16 Yaskawa Electric Corp 水平多関節ロボットおよびそれを備えた搬送装置
JP5282021B2 (ja) * 2009-12-14 2013-09-04 株式会社日立ハイテクノロジーズ 半導体処理システム及び半導体処理方法
JP5476162B2 (ja) * 2010-03-02 2014-04-23 株式会社日立ハイテクノロジーズ 真空処理装置及びプログラム
JP5586271B2 (ja) * 2010-03-02 2014-09-10 株式会社日立ハイテクノロジーズ 真空処理装置及びプログラム
JP5476171B2 (ja) 2010-03-16 2014-04-23 株式会社日立ハイテクノロジーズ 真空処理装置
WO2011148782A1 (ja) * 2010-05-27 2011-12-01 シャープ株式会社 基板処理装置および仮置き棚
JP2012028659A (ja) * 2010-07-27 2012-02-09 Hitachi High-Technologies Corp 真空処理装置
JP5614326B2 (ja) 2010-08-20 2014-10-29 東京エレクトロン株式会社 基板搬送装置、基板搬送方法及びその基板搬送方法を実行させるためのプログラムを記録した記録媒体
KR101708420B1 (ko) * 2010-09-15 2017-02-21 삼성디스플레이 주식회사 기판 증착 시스템 및 이를 이용한 증착 방법
CN103237634B (zh) * 2010-10-08 2016-12-14 布鲁克斯自动化公司 同轴驱动的真空机器人
TWI586500B (zh) * 2010-10-08 2017-06-11 布魯克斯自動機械公司 機器人運送裝置及基板處理裝置
JP5785712B2 (ja) * 2010-12-28 2015-09-30 株式会社日立ハイテクノロジーズ 真空処理装置
US9529008B2 (en) * 2011-03-03 2016-12-27 Life Technologies Corporation Sampling probes, systems, apparatuses, and methods
DE102011075036A1 (de) * 2011-04-29 2012-10-31 Hamilton Bonaduz Ag Stanzvorrichtung mit Greifeinheit
JP5476337B2 (ja) 2011-05-26 2014-04-23 株式会社日立ハイテクノロジーズ 真空処理装置及びプログラム
WO2013023092A2 (en) 2011-08-10 2013-02-14 Applied Materials, Inc Robot systems, apparatus, and methods adapted to process substrates in multiple tiers
JP2013045817A (ja) * 2011-08-23 2013-03-04 Hitachi High-Technologies Corp 真空処理装置および真空処理方法
KR20230084597A (ko) * 2011-09-16 2023-06-13 퍼시몬 테크놀로지스 코포레이션 운송 장치 및 이를 포함하는 처리 장치
US9048271B2 (en) * 2011-09-29 2015-06-02 Asm International N.V. Modular semiconductor processing system
US8968532B2 (en) * 2011-10-06 2015-03-03 Applied Materials, Inc. Electrochemical processor alignment system
JP5494617B2 (ja) * 2011-10-26 2014-05-21 株式会社安川電機 ロボットシステムおよび加工品の製造方法
CN102506712A (zh) * 2011-11-04 2012-06-20 中国科学院微电子研究所 一种激光检测装置
JP5923288B2 (ja) * 2011-12-01 2016-05-24 株式会社日立ハイテクノロジーズ 真空処理装置及び真空処理装置の運転方法
US9014836B2 (en) * 2011-12-15 2015-04-21 The Boeing Company Autonomous carrier system for moving aircraft structures
JP5810929B2 (ja) * 2012-01-13 2015-11-11 シンフォニアテクノロジー株式会社 ウェーハ搬送装置
WO2013119383A1 (en) * 2012-02-08 2013-08-15 Applied Materials, Inc. Dynamic load lock with cellular structure for discrete substrates
KR20130096072A (ko) * 2012-02-21 2013-08-29 삼성전자주식회사 기판 반송 장치
WO2013137887A1 (en) * 2012-03-15 2013-09-19 Moog Inc. Sealed robot base system
JP5738796B2 (ja) 2012-04-11 2015-06-24 株式会社日立ハイテクノロジーズ 処理室割当設定装置及び処理室割当設定プログラム
KR20150003803A (ko) * 2012-04-12 2015-01-09 어플라이드 머티어리얼스, 인코포레이티드 독립적으로 회전가능한 웨이스트들을 갖는 로봇 시스템들, 장치 및 방법들
JP2014036025A (ja) * 2012-08-07 2014-02-24 Hitachi High-Technologies Corp 真空処理装置または真空処理装置の運転方法
US9199216B2 (en) * 2012-08-07 2015-12-01 Molecular Devices, Llc Apparatuses and methods for conditioning and reorienting components of an electrophysiology measurement system
KR102064391B1 (ko) * 2012-08-31 2020-01-10 삼성디스플레이 주식회사 기판 처리 장치
US9022715B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Load lock chamber designs for high-throughput processing system
US10020187B2 (en) * 2012-11-26 2018-07-10 Applied Materials, Inc. Apparatus and methods for backside passivation
JP2014116545A (ja) * 2012-12-12 2014-06-26 Tokyo Electron Ltd 基板処理装置
CN205159286U (zh) * 2012-12-31 2016-04-13 菲力尔系统公司 用于微辐射热计真空封装组件的晶片级封装的装置
KR102465277B1 (ko) * 2013-01-18 2022-11-09 퍼시몬 테크놀로지스 코포레이션 로봇, 전자 장치 처리 시스템, 기판 이송 방법
US9149936B2 (en) 2013-01-18 2015-10-06 Persimmon Technologies, Corp. Robot having arm with unequal link lengths
US10224232B2 (en) 2013-01-18 2019-03-05 Persimmon Technologies Corporation Robot having two arms with unequal link lengths
US9064807B2 (en) * 2013-02-27 2015-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated platform for improved wafer manufacturing quality
JP2014179508A (ja) * 2013-03-15 2014-09-25 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US9281222B2 (en) * 2013-03-15 2016-03-08 Applied Materials, Inc. Wafer handling systems and methods
JP6216530B2 (ja) * 2013-03-29 2017-10-18 株式会社日立ハイテクノロジーズ 真空処理装置の運転方法
US9669552B2 (en) * 2013-05-20 2017-06-06 Varian Semiconductor Equipment Associates, Inc. System and method for quick-swap of multiple substrates
US10328580B2 (en) * 2013-08-09 2019-06-25 Persimmon Technologies Corporation Reduced footprint substrate transport vacuum platform
US10424498B2 (en) 2013-09-09 2019-09-24 Persimmon Technologies Corporation Substrate transport vacuum platform
KR102383699B1 (ko) 2013-11-13 2022-04-06 브룩스 오토메이션 인코퍼레이티드 브러쉬리스 전기 기계 제어 방법 및 장치
JP6708546B2 (ja) 2013-11-13 2020-06-10 ブルックス オートメーション インコーポレイテッド 密封型ロボット駆動部
KR20210148417A (ko) 2013-11-13 2021-12-07 브룩스 오토메이션 인코퍼레이티드 밀봉된 스위치드 릴럭턴스 모터
TWI695447B (zh) 2013-11-13 2020-06-01 布魯克斯自動機械公司 運送設備
KR102654132B1 (ko) 2014-01-21 2024-04-03 퍼시몬 테크놀로지스 코포레이션 기판 이송 진공 플랫폼
JP6211960B2 (ja) * 2014-03-13 2017-10-11 東京エレクトロン株式会社 制御装置、基板処理装置及び基板処理システム
US9818633B2 (en) 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US9673071B2 (en) 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
US10692765B2 (en) * 2014-11-07 2020-06-23 Applied Materials, Inc. Transfer arm for film frame substrate handling during plasma singulation of wafers
US10062411B2 (en) 2014-12-11 2018-08-28 Jeffrey R. Hay Apparatus and method for visualizing periodic motions in mechanical components
US10459615B2 (en) 2014-12-11 2019-10-29 Rdi Technologies, Inc. Apparatus and method for analyzing periodic motions in machinery
JP7074977B2 (ja) 2015-03-12 2022-05-25 パーシモン テクノロジーズ コーポレイション エンドエフェクタの従動運動を伴うロボット
US10103046B2 (en) * 2015-04-20 2018-10-16 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robot
TWI677046B (zh) * 2015-04-23 2019-11-11 美商應用材料股份有限公司 半導體處理系統中的外部基板材旋轉
KR102557355B1 (ko) * 2015-07-13 2023-07-20 브룩스 오토메이션 인코퍼레이티드 기판 운송 장치
KR102587203B1 (ko) * 2015-07-13 2023-10-10 브룩스 오토메이션 인코퍼레이티드 온 더 플라이 자동 웨이퍼 센터링 방법 및 장치
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
JP6333870B2 (ja) 2016-01-28 2018-05-30 ファナック株式会社 複数の機械および少なくとも一つのセンサを備えたシステム
US10099377B2 (en) * 2016-06-29 2018-10-16 Applied Materials, Inc. Methods and systems providing misalignment correction in robots
JP6830772B2 (ja) * 2016-08-04 2021-02-17 株式会社ジャパンディスプレイ 積層膜の製造装置、及び積層膜の製造方法
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US11420323B2 (en) * 2017-05-16 2022-08-23 Abb Schweiz Ag Method and control system for controlling movement sequences of a robot
US10903107B2 (en) * 2017-07-11 2021-01-26 Brooks Automation, Inc. Semiconductor process transport apparatus comprising an adapter pendant
US10406562B2 (en) * 2017-07-21 2019-09-10 Applied Materials, Inc. Automation for rotary sorters
US10861723B2 (en) * 2017-08-08 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EFEM robot auto teaching methodology
WO2019040430A1 (en) * 2017-08-21 2019-02-28 Massachusetts Institute Of Technology EXPANDABLE ROBOTIC ARM
US10456920B2 (en) 2017-08-24 2019-10-29 Samsung Electronics Co., Ltd. Proximity robot blade detection and auto retraction
US10978333B2 (en) * 2017-11-14 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for robotic arm sensing
MX2020005642A (es) * 2017-11-30 2020-08-20 Michael Munoz Sistema de sensor inalambrico habilitado para internet de las cosas (iot) que permite el control de procesos, mantenimiento predictivo de redes de distribucion electrica, tuberias de liquido y gas y monitoreo de contaminantes del aire que incluye agentes nucleares, quimicos y biologicos que utiliza sensores electromagneticos pasivos integrados y adjuntos.
US20210236322A1 (en) * 2018-04-27 2021-08-05 The Board Of Trustees Of The University Of Illinois Patient Stabilization and Securing Device for Robotic and Laparoscopic Surgeries in Trendelenburg Position
US11423551B1 (en) 2018-10-17 2022-08-23 Rdi Technologies, Inc. Enhanced presentation methods for visualizing motion of physical structures and machinery
KR20210125067A (ko) 2019-02-08 2021-10-15 야스카와 아메리카 인코포레이티드 관통 빔 자동 티칭
JP7253955B2 (ja) * 2019-03-28 2023-04-07 東京エレクトロン株式会社 基板処理装置および基板処理方法
KR20210155813A (ko) 2019-05-14 2021-12-23 매슨 테크놀로지 인크 포커스 링 조정 조립체를 갖는 플라즈마 처리 장치
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
KR102211252B1 (ko) * 2019-06-26 2021-02-04 세메스 주식회사 기판 처리 장치
DE102019125134A1 (de) * 2019-09-18 2021-03-18 Mühlbauer Gmbh & Co. Kg Bauteilhandhabung, Bauteilinspektion
US11373317B1 (en) 2020-01-24 2022-06-28 Rdi Technologies, Inc. Measuring the speed of rotation or reciprocation of a mechanical component using one or more cameras
US11413744B2 (en) 2020-03-03 2022-08-16 Applied Materials, Inc. Multi-turn drive assembly and systems and methods of use thereof
US11565402B2 (en) 2020-03-09 2023-01-31 Applied Materials, Inc. Substrate transfer devices, systems and methods of use thereof
US11282213B1 (en) 2020-06-24 2022-03-22 Rdi Technologies, Inc. Enhanced analysis techniques using composite frequency spectrum data
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11322182B1 (en) 2020-09-28 2022-05-03 Rdi Technologies, Inc. Enhanced visualization techniques using reconstructed time waveforms
CN112736000A (zh) * 2020-12-31 2021-04-30 上海广川科技有限公司 一种真空传送装置及传送方法
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US11935770B2 (en) * 2021-02-17 2024-03-19 Applied Materials, Inc. Modular mainframe layout for supporting multiple semiconductor process modules or chambers
US11935771B2 (en) * 2021-02-17 2024-03-19 Applied Materials, Inc. Modular mainframe layout for supporting multiple semiconductor process modules or chambers

Family Cites Families (237)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3294670A (en) * 1963-10-07 1966-12-27 Western Electric Co Apparatus for processing materials in a controlled atmosphere
US3584847A (en) 1968-05-31 1971-06-15 Western Electric Co Advancing workpieces through a sputtering chamber
US3968018A (en) * 1969-09-29 1976-07-06 Warner-Lambert Company Sputter coating method
US6076652A (en) * 1971-04-16 2000-06-20 Texas Instruments Incorporated Assembly line system and apparatus controlling transfer of a workpiece
US3796163A (en) * 1972-07-12 1974-03-12 Kearney & Trecker Corp Manufacturing system
US3834555A (en) * 1972-12-04 1974-09-10 Budd Co Article transfer apparatus
US4015558A (en) 1972-12-04 1977-04-05 Optical Coating Laboratory, Inc. Vapor deposition apparatus
US3874525A (en) * 1973-06-29 1975-04-01 Ibm Method and apparatus for handling workpieces
US3925182A (en) * 1973-09-25 1975-12-09 Shatterproof Glass Corp Method for continuous production of sputter-coated glass products
US3976330A (en) * 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
DE2812271C2 (de) 1978-03-21 1983-01-27 Leybold-Heraeus GmbH, 5000 Köln Vorrichtung mit mehreren Schleusenkammern zum chargenweisen Beschichten von Substraten
JPS54159964A (en) * 1978-06-06 1979-12-18 Shiroyama Kogyo Kk Articulated arm type manipulator
US4216677A (en) * 1978-07-17 1980-08-12 Borg-Warner Corporation Hermetically sealed rotary drive apparatus
US4275978A (en) 1979-02-15 1981-06-30 Brooks Norman B Transport apparatus
FR2455695A1 (fr) * 1979-05-02 1980-11-28 Carpano & Pons Dispositif de commande pour moto-reducteur electrique
JPS5681533U (ko) 1979-11-27 1981-07-01
FR2480846A1 (fr) * 1980-04-18 1981-10-23 Carpano & Pons Dispositif d'entrainement, pour stores a rouleau, volets roulants, ou similaires
US4398720A (en) * 1981-01-05 1983-08-16 California R & D Center Robot computer chess game
US4433951A (en) * 1981-02-13 1984-02-28 Lam Research Corporation Modular loadlock
FR2502597A1 (fr) * 1981-03-24 1982-10-01 Carpano & Pons Dispositif d'entrainement d'un element souple de protection
US4392776A (en) * 1981-05-15 1983-07-12 Westinghouse Electric Corp. Robotic manipulator structure
JPS58177292A (ja) * 1982-04-05 1983-10-17 株式会社豊田中央研究所 工業用ロボツトア−ム及びその製造方法
US4909701A (en) 1983-02-14 1990-03-20 Brooks Automation Inc. Articulated arm transfer device
EP0137819B1 (en) 1983-02-14 1987-08-12 Aeronca Electronics, Inc. Articulated arm transfer device
US4666366A (en) 1983-02-14 1987-05-19 Canon Kabushiki Kaisha Articulated arm transfer device
US4584045A (en) * 1984-02-21 1986-04-22 Plasma-Therm, Inc. Apparatus for conveying a semiconductor wafer
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US4702668A (en) * 1985-01-24 1987-10-27 Adept Technology, Inc. Direct drive robotic system
US4712971A (en) 1985-02-13 1987-12-15 The Charles Stark Draper Laboratory, Inc. Control arm assembly
US4813732A (en) * 1985-03-07 1989-03-21 Epsilon Technology, Inc. Apparatus and method for automated wafer handling
US4749465A (en) 1985-05-09 1988-06-07 Seagate Technology In-line disk sputtering system
US4875825A (en) * 1985-07-24 1989-10-24 Hewlett-Packard Company Method for automated cassette handling
US4724322A (en) * 1986-03-03 1988-02-09 Applied Materials, Inc. Method for non-contact xyz position sensing
US4701096A (en) * 1986-03-05 1987-10-20 Btu Engineering Corporation Wafer handling station
GB8608817D0 (en) * 1986-04-11 1986-05-14 Advel Ltd Fastener installation apparatus
US5308431A (en) * 1986-04-18 1994-05-03 General Signal Corporation System providing multiple processing of substrates
US6103055A (en) * 1986-04-18 2000-08-15 Applied Materials, Inc. System for processing substrates
CA1331163C (en) * 1986-04-18 1994-08-02 Applied Materials, Inc. Multiple-processing and contamination-free plasma etching system
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4775281A (en) * 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
JPS63157870A (ja) 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4817556A (en) * 1987-05-04 1989-04-04 Varian Associates, Inc. Apparatus for retaining wafers
US4831270A (en) * 1987-05-21 1989-05-16 Ion Implant Services Ion implantation apparatus
US5020475A (en) * 1987-10-15 1991-06-04 Epsilon Technology, Inc. Substrate handling and transporting apparatus
JP2502661B2 (ja) * 1988-03-04 1996-05-29 松下電器産業株式会社 気相成長装置
US5536128A (en) * 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US5076205A (en) 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US5064340A (en) * 1989-01-20 1991-11-12 Genmark Automation Precision arm mechanism
FR2644290A1 (fr) * 1989-03-10 1990-09-14 Labo Electronique Physique Micromanipulateur
US5013210A (en) * 1989-05-08 1991-05-07 Bond Irvin D Workpiece transfer apparatus with folding arms
US5227708A (en) 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
US5203443A (en) * 1989-11-13 1993-04-20 Kabushiki Kaisha Shinkawa Conveying apparatus used in assembling semicondutors
JPH0419081A (ja) 1990-05-15 1992-01-23 Seiko Instr Inc 真空内搬送ロボット
US5414334A (en) * 1990-12-28 1995-05-09 Somfy Control device for an asynchronous roller-blind motor
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5382806A (en) 1991-05-07 1995-01-17 Kensington Laboratories, Inc. Specimen carrier platform and scanning assembly
IT1251017B (it) * 1991-05-21 1995-04-28 Ugo Crippa Meccanismo per compiere traiettorie prefissate assimilabili ad ellittiche
JPH04132388U (ja) * 1991-05-24 1992-12-08 千住金属工業株式会社 真空乾燥装置
US5180275A (en) 1991-05-28 1993-01-19 The Braun Corporation Rotary bus lift with power stowable platform
KR0155572B1 (ko) 1991-05-28 1998-12-01 이노우에 아키라 감압처리 시스템 및 감압처리 방법
JP3216154B2 (ja) * 1991-07-26 2001-10-09 株式会社島津製作所 真空成膜装置
JP3030667B2 (ja) 1991-07-29 2000-04-10 東京エレクトロン株式会社 搬送装置
US5467266A (en) * 1991-09-03 1995-11-14 Lutron Electronics Co., Inc. Motor-operated window cover
US5766360A (en) 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
KR100268525B1 (ko) * 1992-09-03 2000-11-01 히가시 데쓰로 진공 형성방법 및 진공 형성장치
JPH06104327A (ja) * 1992-09-22 1994-04-15 Sony Corp 基板処理装置
KR100302012B1 (ko) * 1992-11-06 2001-11-30 조셉 제이. 스위니 미소-환경 콘테이너 연결방법 및 미소-환경 로드 로크
DE69329269T2 (de) * 1992-11-12 2000-12-28 Applied Materials Inc System und Verfahren für automatische Positionierung eines Substrats in einem Prozessraum
KR970011065B1 (ko) 1992-12-21 1997-07-05 다이닛뽕 스크린 세이조오 가부시키가이샤 기판처리장치와 기판처리장치에 있어서 기판교환장치 및 기판교환방법
JP3042576B2 (ja) * 1992-12-21 2000-05-15 大日本スクリーン製造株式会社 基板処理装置
US5431529A (en) 1992-12-28 1995-07-11 Brooks Automation, Inc. Articulated arm transfer device
KR100303018B1 (ko) * 1993-04-16 2001-11-22 스탠리 디. 피에코스 관절형아암이송장치
US5433020A (en) * 1993-04-29 1995-07-18 Altos Engineering, Inc. Apparatus and method for vacuum drying
US6296735B1 (en) * 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
US5417537A (en) * 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
JP3654597B2 (ja) * 1993-07-15 2005-06-02 株式会社ルネサステクノロジ 製造システムおよび製造方法
US5475914A (en) * 1993-08-10 1995-12-19 Ohio Electronic Engravers, Inc. Engraving head with cartridge mounted components
US5539975A (en) * 1993-09-08 1996-07-30 Allen-Bradley Company, Inc. Control system and equipment configuration for a modular product assembly platform
US5344365A (en) * 1993-09-14 1994-09-06 Sematech, Inc. Integrated building and conveying structure for manufacturing under ultraclean conditions
JPH0799224A (ja) * 1993-09-28 1995-04-11 Hitachi Ltd 多チャンバ型半導体製造装置
US5538390A (en) * 1993-10-29 1996-07-23 Applied Materials, Inc. Enclosure for load lock interface
US5447431A (en) 1993-10-29 1995-09-05 Brooks Automation, Inc. Low-gas temperature stabilization system
JPH07211762A (ja) * 1994-01-13 1995-08-11 Hitachi Ltd ウエハ搬送処理装置
US5511005A (en) * 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5563798A (en) * 1994-04-05 1996-10-08 Applied Materials, Inc. Wafer positioning system
AU2293095A (en) * 1994-04-18 1995-11-10 Micron Technology, Inc. Method and apparatus for automatically positioning electronic die within component packages
WO1995035506A2 (en) * 1994-06-17 1995-12-28 Kensington Laboratories, Inc. Scribe mark reader
US5486080A (en) * 1994-06-30 1996-01-23 Diamond Semiconductor Group, Inc. High speed movement of workpieces in vacuum processing
TW301761B (ko) * 1994-11-29 1997-04-01 Sharp Kk
JPH08196894A (ja) * 1995-01-25 1996-08-06 Tokki Kk 真空装置の小型、低価格化のための構成方法及び、材料の搬送形態
US5586585A (en) * 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
DE59611078D1 (de) * 1995-03-28 2004-10-14 Brooks Automation Gmbh Be- und Entladestation für Halbleiterbearbeitungsanlagen
KR100286248B1 (ko) * 1995-06-08 2001-04-16 엔도 마코토 기판 반송 장치
TW309503B (ko) 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
US6366830B2 (en) * 1995-07-10 2002-04-02 Newport Corporation Self-teaching robot arm position method to compensate for support structure component alignment offset
US6360144B1 (en) * 1995-07-10 2002-03-19 Newport Corporation Self-teaching robot arm position method
US5765982A (en) * 1995-07-10 1998-06-16 Amtech Systems, Inc. Automatic wafer boat loading system and method
KR100244041B1 (ko) * 1995-08-05 2000-02-01 엔도 마코토 기판처리장치
TW318258B (ko) * 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
TW349897B (en) * 1996-02-02 1999-01-11 Komatsu Mfg Co Ltd Operational robot
US5751003A (en) * 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
US5810549A (en) 1996-04-17 1998-09-22 Applied Materials, Inc. Independent linear dual-blade robot and method for transferring wafers
US5668452A (en) * 1996-05-09 1997-09-16 Vlsi Technology, Inc. Magnetic sensing robotics for automated semiconductor wafer processing systems
US5765983A (en) * 1996-05-30 1998-06-16 Brooks Automation, Inc. Robot handling apparatus
US6062798A (en) * 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US5980194A (en) * 1996-07-15 1999-11-09 Applied Materials, Inc. Wafer position error detection and correction system
US6203582B1 (en) 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US6318951B1 (en) * 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling
TW344847B (en) * 1996-08-29 1998-11-11 Tokyo Electron Co Ltd Substrate treatment system, substrate transfer system, and substrate transfer method
US5814733A (en) * 1996-09-12 1998-09-29 Motorola, Inc. Method of characterizing dynamics of a workpiece handling system
JP3947761B2 (ja) * 1996-09-26 2007-07-25 株式会社日立国際電気 基板処理装置、基板搬送機および基板処理方法
US6048154A (en) * 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5961269A (en) * 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5848634A (en) * 1996-12-27 1998-12-15 Latron Electronics Co. Inc. Motorized window shade system
US6126381A (en) * 1997-04-01 2000-10-03 Kensington Laboratories, Inc. Unitary specimen prealigner and continuously rotatable four link robot arm mechanism
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
US6439824B1 (en) 2000-07-07 2002-08-27 Semitool, Inc. Automated semiconductor immersion processing system
US5894760A (en) * 1997-06-12 1999-04-20 Brooks Automation, Inc. Substrate transport drive system
US6312525B1 (en) * 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6073366A (en) * 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
US6034000A (en) * 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
TW385488B (en) * 1997-08-15 2000-03-21 Tokyo Electron Ltd substrate processing device
KR20010023014A (ko) 1997-08-28 2001-03-26 씨브이씨 프로덕츠 인코포레이티드 다중스테이션 장비용 웨이퍼 핸들러
US6053687A (en) * 1997-09-05 2000-04-25 Applied Materials, Inc. Cost effective modular-linear wafer processing
US6002840A (en) * 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6205870B1 (en) * 1997-10-10 2001-03-27 Applied Komatsu Technology, Inc. Automated substrate processing systems and methods
US6281651B1 (en) 1997-11-03 2001-08-28 Immersion Corporation Haptic pointing devices
US6293749B1 (en) * 1997-11-21 2001-09-25 Asm America, Inc. Substrate transfer system for semiconductor processing equipment
US6210084B1 (en) * 1997-11-26 2001-04-03 The Boeing Company Pressure foot assembly for clamping a joint
EP2099061A3 (en) * 1997-11-28 2013-06-12 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6257827B1 (en) * 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
JP4178534B2 (ja) * 1997-12-24 2008-11-12 株式会社安川電機 基板搬送用ロボット
US6146077A (en) * 1998-01-13 2000-11-14 Samsung Electronics Co., Ltd. Wafer transfer system of semiconductor fabricating equipment using a serial number detecting device
JPH11207611A (ja) * 1998-01-21 1999-08-03 Shin Etsu Handotai Co Ltd 両面研磨装置におけるワークの自動搬送装置
US6155768A (en) * 1998-01-30 2000-12-05 Kensington Laboratories, Inc. Multiple link robot arm system implemented with offset end effectors to provide extended reach and enhanced throughput
US6125551A (en) * 1998-03-17 2000-10-03 Verteq, Inc. Gas seal and support for rotating semiconductor processor
DE19813684C2 (de) * 1998-03-27 2001-08-16 Brooks Automation Gmbh Einrichtung zur Aufnahme von Transportbehältern an einer Be- und Entladestation
DE19816221A1 (de) * 1998-04-09 1999-10-21 Siemens Ag Verfahren und Vorrichtung zum Behandeln von Gegenständen, vorzugsweise Wafern
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6153044A (en) * 1998-04-30 2000-11-28 Euv Llc Protection of lithographic components from particle contamination
US6547510B1 (en) * 1998-05-04 2003-04-15 Brooks Automation Inc. Substrate transport apparatus with coaxial drive shafts and dual independent scara arms
US6267549B1 (en) 1998-06-02 2001-07-31 Applied Materials, Inc. Dual independent robot blades with minimal offset
US6142722A (en) * 1998-06-17 2000-11-07 Genmark Automation, Inc. Automated opening and closing of ultra clean storage containers
US6073828A (en) * 1998-06-30 2000-06-13 Lam Research Corporation End effector for substrate handling and method for making the same
US6167322A (en) * 1998-07-10 2000-12-26 Holbrooks; Orville Ray Intelligent wafer handling system and method
US6375746B1 (en) 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
US6501070B1 (en) 1998-07-13 2002-12-31 Newport Corporation Pod load interface equipment adapted for implementation in a fims system
US6016611A (en) * 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
US6017820A (en) 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6282459B1 (en) * 1998-09-01 2001-08-28 International Business Machines Corporation Structure and method for detection of physical interference during transport of an article
US6144118A (en) * 1998-09-18 2000-11-07 General Scanning, Inc. High-speed precision positioning apparatus
JP2965038B1 (ja) * 1998-09-21 1999-10-18 日新電機株式会社 真空処理装置
US6719516B2 (en) * 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US6960057B1 (en) * 1998-09-30 2005-11-01 Brooks Automation, Inc. Substrate transport apparatus
TW442891B (en) * 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
US6405101B1 (en) * 1998-11-17 2002-06-11 Novellus Systems, Inc. Wafer centering system and method
ATE389237T1 (de) * 1998-12-02 2008-03-15 Newport Corp Armgreiforgan für probehalteroboter
JP2000177842A (ja) * 1998-12-10 2000-06-27 Mitsubishi Heavy Ind Ltd 搬送装置及び真空処理システム
JP3723003B2 (ja) 1998-12-18 2005-12-07 三菱重工業株式会社 真空処理システム
JP2000195925A (ja) * 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
US6485250B2 (en) * 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
US6678572B1 (en) * 1998-12-31 2004-01-13 Asml Holdings, N.V. Recipe cascading in a wafer processing system
JP2000286319A (ja) * 1999-03-31 2000-10-13 Canon Inc 基板搬送方法および半導体製造装置
US6118243A (en) * 1999-04-07 2000-09-12 Overhead Door Corporation Door operator system
US6736582B1 (en) * 1999-04-09 2004-05-18 Brooks Automation, Inc. Device for manipulating an object for loading and unloading a clean room
US6944584B1 (en) * 1999-04-16 2005-09-13 Brooks Automation, Inc. System and method for control and simulation
EP1187183A4 (en) * 1999-04-16 2009-01-14 Tokyo Electron Ltd MANUFACTURE OF A SEMICONDUCTOR PART AND ASSOCIATED MANUFACTURING STRIP
TW469483B (en) * 1999-04-19 2001-12-21 Applied Materials Inc Method and apparatus for aligning a cassette
US6763281B2 (en) * 1999-04-19 2004-07-13 Applied Materials, Inc Apparatus for alignment of automated workpiece handling systems
US6227793B1 (en) * 1999-05-25 2001-05-08 Norfield Industries Door transport system
US6440261B1 (en) * 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US6592673B2 (en) * 1999-05-27 2003-07-15 Applied Materials, Inc. Apparatus and method for detecting a presence or position of a substrate
US6166509A (en) 1999-07-07 2000-12-26 Applied Materials, Inc. Detection system for substrate clamp
US6318945B1 (en) * 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6242748B1 (en) * 1999-08-10 2001-06-05 Edax, Inc. Methods and apparatus for mounting an X-ray detecting unit to an electron microscope
KR100374478B1 (ko) * 1999-09-20 2003-03-03 엘지전자 주식회사 나노 크기의 아나타제형 이산화티탄 광촉매의 제조방법 및 상기 방법으로 제조된 광촉매
JP3339840B2 (ja) 1999-09-28 2002-10-28 タツモ株式会社 水平多関節型産業用ロボット及びその制御方法
US6402401B1 (en) * 1999-10-19 2002-06-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6309161B1 (en) * 1999-11-04 2001-10-30 Brooks Automation, Inc. Load lock with vertically movable support
US6949143B1 (en) 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6640151B1 (en) * 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
JP3639764B2 (ja) 2000-02-01 2005-04-20 タツモ株式会社 基板搬送装置
US6506009B1 (en) * 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6497267B1 (en) * 2000-04-07 2002-12-24 Lutron Electronics Co., Inc. Motorized window shade with ultraquiet motor drive and ESD protection
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
US6840732B2 (en) 2000-10-24 2005-01-11 Ulvac, Inc. Transport apparatus and vacuum processing system using the same
JP2002158272A (ja) 2000-11-17 2002-05-31 Tatsumo Kk ダブルアーム基板搬送装置
JP2002166376A (ja) * 2000-11-30 2002-06-11 Hirata Corp 基板搬送用ロボット
US6591160B2 (en) * 2000-12-04 2003-07-08 Asyst Technologies, Inc. Self teaching robot
US6494666B2 (en) * 2001-01-26 2002-12-17 Fortrend Engineering Corporation Simplified and enhanced SCARA arm
US6499936B2 (en) * 2001-02-17 2002-12-31 Yokogawa Electric Corporation Transfer system
US6601888B2 (en) * 2001-03-19 2003-08-05 Creo Inc. Contactless handling of objects
US20020159864A1 (en) * 2001-04-30 2002-10-31 Applied Materials, Inc. Triple chamber load lock
JP2002332570A (ja) 2001-05-08 2002-11-22 Anelva Corp 基板処理装置
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US6752585B2 (en) * 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
US7047099B2 (en) * 2001-06-19 2006-05-16 Applied Materials Inc. Integrating tool, module, and fab level control
US6918731B2 (en) * 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
TW490787B (en) * 2001-07-10 2002-06-11 Asm Assembly Automation Ltd High speed pick and place apparatus
WO2003007129A2 (en) * 2001-07-13 2003-01-23 Broks Automation, Inc. Trajectory planning and motion control strategies for a planar three-degree-of-freedom robotic arm
US6950716B2 (en) * 2001-08-13 2005-09-27 Applied Materials, Inc. Dynamic control of wafer processing paths in semiconductor manufacturing processes
US6984198B2 (en) * 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
US6586336B2 (en) * 2001-08-31 2003-07-01 Oriol, Inc. Chemical-mechanical-polishing station
JP2003077974A (ja) * 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2003124284A (ja) * 2001-10-11 2003-04-25 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2003142360A (ja) 2001-11-02 2003-05-16 Daikin Ind Ltd 半導体装置の製造装置
US6719517B2 (en) * 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
JP2003183728A (ja) * 2001-12-14 2003-07-03 Jh Corp 真空熱処理装置
TWI258831B (en) * 2001-12-31 2006-07-21 Applied Materials Inc Cassette and workpiece handler characterization tool
US20030131458A1 (en) * 2002-01-15 2003-07-17 Applied Materials, Inc. Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing
US6761085B1 (en) * 2002-02-06 2004-07-13 Novellus Systems Incorporated Method and apparatus for damping vibrations in a semiconductor wafer handling arm
US7351291B2 (en) * 2002-02-20 2008-04-01 Tokyo Electron Limited Semiconductor processing system
US6779962B2 (en) * 2002-03-22 2004-08-24 Brooks Automation, Inc. Device for handling flat panels in a vacuum
JP4197103B2 (ja) * 2002-04-15 2008-12-17 株式会社荏原製作所 ポリッシング装置
US6900877B2 (en) * 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
KR100464853B1 (ko) * 2002-06-20 2005-01-06 삼성전자주식회사 순간감압가열 건조방법 및 장치
US6869263B2 (en) * 2002-07-22 2005-03-22 Brooks Automation, Inc. Substrate loading and unloading station with buffer
US7988398B2 (en) * 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
KR101028065B1 (ko) * 2002-07-22 2011-04-08 브룩스 오토메이션 인코퍼레이티드 기판 처리 장치
US6813543B2 (en) * 2002-10-08 2004-11-02 Brooks-Pri Automation, Inc. Substrate handling system for aligning and orienting substrates during a transfer operation
US6996456B2 (en) * 2002-10-21 2006-02-07 Fsi International, Inc. Robot with tactile sensor device
US7641247B2 (en) * 2002-12-17 2010-01-05 Applied Materials, Inc. End effector assembly for supporting a substrate
US7245989B2 (en) * 2002-12-20 2007-07-17 Brooks Automation, Inc. Three-degree-of-freedom parallel robot arm
US6760976B1 (en) * 2003-01-15 2004-07-13 Novellus Systems, Inc. Method for active wafer centering using a single sensor
US6983783B2 (en) * 2003-06-10 2006-01-10 Lutron Electronics Co., Inc. Motorized shade control system
US6934606B1 (en) * 2003-06-20 2005-08-23 Novellus Systems, Inc. Automatic calibration of a wafer-handling robot
CN101094933A (zh) * 2003-08-29 2007-12-26 交叉自动控制公司 用于半导体处理的方法和装置
US20050095087A1 (en) * 2003-10-30 2005-05-05 Sullivan Robert P. Automated material handling system
US20050223837A1 (en) * 2003-11-10 2005-10-13 Blueshift Technologies, Inc. Methods and systems for driving robotic components of a semiconductor handling system
US6987272B2 (en) 2004-03-05 2006-01-17 Axcelis Technologies, Inc. Work piece transfer system for an ion beam implanter
US20080206036A1 (en) * 2007-02-27 2008-08-28 Smith John M Magnetic media processing tool with storage bays and multi-axis robot arms
JP5456287B2 (ja) * 2008-09-05 2014-03-26 東京エレクトロン株式会社 縦型熱処理装置

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101329664B1 (ko) * 2009-11-12 2013-11-15 가부시키가이샤 히다치 하이테크놀로지즈 진공처리장치 및 진공처리장치의 운전방법
KR101350872B1 (ko) * 2009-11-12 2014-01-13 가부시키가이샤 히다치 하이테크놀로지즈 반도체 피처리 기판의 진공처리시스템 및 반도체 피처리 기판의 진공처리방법
KR20140087023A (ko) * 2011-10-26 2014-07-08 브룩스 오토메이션 인코퍼레이티드 반도체 웨이퍼 취급 및 이송
KR20200136058A (ko) * 2011-10-26 2020-12-04 브룩스 오토메이션 인코퍼레이티드 반도체 웨이퍼 취급 및 이송
KR20170054241A (ko) * 2015-10-20 2017-05-17 램 리써치 코포레이션 반도체 제작 및 연구 공장 내의 자본 장비에서 사용되는 서비스 터널
US11257696B2 (en) 2016-10-18 2022-02-22 Mattson Technology, Inc. Systems and methods for workpiece processing
US11482434B2 (en) 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing

Also Published As

Publication number Publication date
US8439623B2 (en) 2013-05-14
US20050111956A1 (en) 2005-05-26
US20050113976A1 (en) 2005-05-26
EP1684951B1 (en) 2014-05-07
WO2005048313A2 (en) 2005-05-26
WO2005048313A3 (en) 2006-03-02
US20150221534A1 (en) 2015-08-06
IL175518A0 (en) 2006-09-05
JP2007511104A (ja) 2007-04-26
JP5373760B2 (ja) 2013-12-18
JP2011101035A (ja) 2011-05-19
US20050120578A1 (en) 2005-06-09
US20050223837A1 (en) 2005-10-13
SG132670A1 (en) 2007-06-28
US20060263177A1 (en) 2006-11-23
US20120148374A1 (en) 2012-06-14
US7422406B2 (en) 2008-09-09
EP1684951A4 (en) 2011-05-25
US20050113964A1 (en) 2005-05-26
US20080085173A1 (en) 2008-04-10
US20120014769A1 (en) 2012-01-19
EP1684951A2 (en) 2006-08-02
US20050118009A1 (en) 2005-06-02
US7959403B2 (en) 2011-06-14
JP5226215B2 (ja) 2013-07-03
US7210246B2 (en) 2007-05-01
US20090067958A1 (en) 2009-03-12
US8944738B2 (en) 2015-02-03
US8807905B2 (en) 2014-08-19
US8029225B2 (en) 2011-10-04

Similar Documents

Publication Publication Date Title
US11352220B2 (en) Semiconductor wafer handling and transport
US7210246B2 (en) Methods and systems for handling a workpiece in vacuum-based material handling system
US7458763B2 (en) Mid-entry load lock for semiconductor handling system
US9884726B2 (en) Semiconductor wafer handling transport
US20070264106A1 (en) Robotic components for semiconductor manufacturing

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid