JP2007005582A - 基板搬送装置及びそれを搭載した半導体基板製造装置 - Google Patents

基板搬送装置及びそれを搭載した半導体基板製造装置 Download PDF

Info

Publication number
JP2007005582A
JP2007005582A JP2005184365A JP2005184365A JP2007005582A JP 2007005582 A JP2007005582 A JP 2007005582A JP 2005184365 A JP2005184365 A JP 2005184365A JP 2005184365 A JP2005184365 A JP 2005184365A JP 2007005582 A JP2007005582 A JP 2007005582A
Authority
JP
Japan
Prior art keywords
end effector
semiconductor substrate
transfer
semiconductor
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005184365A
Other languages
English (en)
Inventor
Masahiro Takizawa
正浩 滝沢
Masae Suwada
雅栄 諏訪田
Takashi Wada
和田  隆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Priority to JP2005184365A priority Critical patent/JP2007005582A/ja
Priority to US11/445,035 priority patent/US7618226B2/en
Priority to KR1020060056390A priority patent/KR20060135529A/ko
Publication of JP2007005582A publication Critical patent/JP2007005582A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

【課題】ウエハ収納容器にウエハが存在しない空きスロットがある場合、あるいは収納容器内のウエハ収納枚数が搬送ロボットの一括搬送枚数の倍数でない場合など、あらゆる条件において、搬送スループットを低下させることなく、半導体ウエハを搬送するための搬送装置を与える。
【解決手段】半導体基板搬送装置は、少なくともひとつのアームと、アームに回転可能に結合された、少なくとも1枚の前記半導体基板を保持するN個のエンドエフェクタと、を含み、基板搬送処理の開始時に、搬送元の基板分布状態及び搬送先のスロットの空き状態に応じて、N個のエンドエフェクタの中から少なくとも1つの所望のエンドエフェクタが選択され、選択されたエンドエフェクタとそれ以外のエンドエフェクタとがアームに関して互いに独立に回転し、選択されたエンドエフェクタを使って半導体基板が搬送されることを特徴とする。
【選択図】図1

Description

本発明は、半導体製造装置に関し、特に、半導体ウエハを収納容器から処理容器へ搬出搬入するための基板搬送装置に関する。
半導体製造装置において、半導体ウエハを収納容器から目的の処理が行われる処理容器まで運ぶには、通常装置内の複数の分離した容器の間を搬送しなければならない。このようなウエハを搬送する機構として、搬送ロボットが周知である。従来の半導体製造装置において、その目的及び用途に合わせて様々な形状及び動作形態を有する多くの種類の搬送ロボットが存在する。
近年、半導体の生産において、半導体製造装置のスループット(単位時間当たりのウエハ処理枚数)が大きな関心事となっている。スループットを増加させるために、複数枚のウエハを一括で搬送する機構を有する搬送ロボットが実現されている。これは、ロボットのエンドエフェクタと呼ばれるロボット内でウエハを保持する部分を、ウエハの収納容器のスロット間隔に合わせ、垂直方向に複数個並べて、複数枚のウエハを一度に保持したまま搬送するというものである。このような搬送ロボットは例えば、以下の特許文献1に開示されている。
特表2001−501597号
この装置では、ウエハ収納容器のスロット間隔と搬送先の容器のスロット間隔とが異なる場合に、搬送中に各エンドエフェクタの垂直方向間隔を調整する機構が必要になる。
他の搬送ロボットとして、ロボットのエンドエフェクタはウエハを1枚しか保持しないが、独立の他のエンドエフェクタを具備し、一方のエンドエフェクタが収納容器からウエハをアンロードする動作と、他方のエンドエフェクタが先に収納容器からアンロードしたウエハを搬送先の容器にロードする動作とを同時に行うものがある。この種の搬送ロボットは、デュアルアームロボットと呼ばれ、単一アームロボットの2倍の搬送スループットを実現することができる。
さらに他の搬送ロボットとして、上記した複数枚一括搬送方式とデュアルアーム方式を組み合わせたものが、例えば、以下の特許文献2に開示されている。
特開平7−106402号
上記複数枚一括搬送方式とデュアルアーム方式を組み合わせた搬送ロボットは、有効ではあるが、条件によっては十分な搬送スループットを実現できない場合がある。
例えば、ウエハ収納容器にウエハが存在しないスロットがある場合、一回に搬送できるウエハの枚数が減少してしまい、搬送スループットが低下する。また、複数枚一括搬送方式の場合、ウエハ収納容器内のウエハ収納枚数が一括して搬送可能な基板枚数の倍数でないと、端数がでるため搬送スループットが低下する。そのため、収納容器へのウエハ収納枚数に制限があった。
本発明は、従来の搬送ロボットの上記問題点に鑑みて為されたものであって、その目的は、ウエハ収納容器にウエハが存在しない空きスロットがある場合、あるいは収納容器内のウエハ収納枚数が搬送ロボットの一括搬送枚数の倍数でない場合など、あらゆる条件において、搬送スループットを低下させることなく、半導体ウエハを搬送するための搬送装置を与えることである。
また、本発明の他の目的は、上記搬送ロボットを搭載することにより、スループットを向上させるべく改良された半導体製造装置を与えることである。
本発明のひとつの態様において、半導体基板搬送装置は、
少なくともひとつのアームと、
該アームに回転可能に結合された、少なくとも1枚の半導体基板を保持するN個(Nは2以上の任意の整数)のエンドエフェクタと、
を含み、
基板搬送処理の開始時に、搬送元の基板分布状態及び搬送先のスロットの空き状態に応じて、N個のエンドエフェクタの中から少なくとも1つの所望のエンドエフェクタが選択され、選択されたエンドエフェクタとそれ以外のエンドエフェクタとがアームに関して互いに独立に回転し、選択されたエンドエフェクタを使って半導体基板が搬送されることを特徴とする。
好適には、N=2であり、第1エンドエフェクタは1枚の半導体基板を保持し、第2エンドエフェクタは2枚の半導体基板を保持する。
具体的には、2枚の半導体基板を搬送する際に、第1エンドエフェクタが回転して退避し、1枚の半導体基板を搬送する際に、第2エンドエフェクタが回転して退避する。
本発明の他の態様において、少なくともひとつのアームと、アームに回転可能に結合された、少なくとも1枚の半導体基板を保持するN個(Nは2以上の任意の整数)のエンドエフェクタとを含む半導体基板搬送装置を制御するためのプログラムは、
(1)搬送元の基板分布状態の指令を受けて、搬送先の空きスロットがM個(MはN個のエンドエフェクタが一回に搬送可能な半導体基板の最大枚数)以上連続しているかの判定を出力し、
(2)(1)の判定の結果、搬送先の空きスロットがM個以上連続している場合には、搬送元の半導体基板がMスロット以上連続しているかの判定を出力し、
(3)(1)の判定の結果、搬送先の空きスロットがM個以上連続していない場合には、搬送先の空きスロットがM−1個連続しているかの判定を出力し、
(4)(2)の判定の結果、搬送元の半導体基板がMスロット以上連続している場合には、M−1枚用のエンドエフェクタ及び1枚用のエンドエフェクタを選択し、選択したエンドエフェクタを使ってM枚の半導体基板を搬送先のスロットへ搬送する命令を出力し、
(5)(2)の判定の結果、搬送元の半導体基板がMスロット以上連続していない場合、または(3)の判定の結果、搬送先の空きスロットがM−1個連続している場合には、搬送元の半導体基板がM−1スロット連続しているかの判定を出力し、
(6)(3)の判定の結果、搬送先の空きスロットがM−1個連続していない場合には、搬送先の空きスロットが1個存在しているかの判定を出力し、
(7)(5)の判定の結果、搬送元の半導体基板がM−1スロット連続している場合には、M−1枚用のエンドエフェクタを選択し、それ以外のエンドエフェクタを回転退避させる命令を出力し、選択されたM−1枚用のエンドエフェクタを使ってM−1枚の半導体基板を搬送先のスロットへ搬送する命令を出力し、
(8)(5)の判定の結果、搬送元の半導体基板がM−1スロット連続していないか、または(6)の判定の結果、搬送先に1個の空きスロットがある場合には、搬送元に1枚の半導体基板があるかの判定を出力し、
(9)(8)の判定の結果、搬送元に1枚の半導体ウエハがある場合には、1枚用のエンドエフェクタを選択し、それ以外のエンドエフェクタを回転退避させる命令を出力し、選択された1枚用のエンドエフェクタを使って1枚の半導体基板を搬送先のスロットへ搬送する命令を出力する。
本発明のさらに他の態様において、半導体製造装置は、
少なくともひとつの反応チャンバと、
ロードロック室と、
ロードロック室内に配置されたウエハ入出力容器と、
半導体基板を収納するためのウエハ収納容器と、
上記基板搬送装置と、
ウエハ収納容器内での半導体基板の分布状態を判定するためのレーザセンサと、
上記プログラムを実行するための制御手段と、
を含む。
好適には、反応チャンバの数と、ウエハ入出力容器のウエハ収納可能枚数は、それぞれすべてのエンドエフェクタを使用した際に搬送可能な最大ウエハ枚数の倍数である。
本発明のさらに他の態様において、半導体基板搬送システムは、
半導体基板を1枚保持する第1エンドエフェクタと、半導体基板を(M−1)枚保持する第2エンドエフェクタ(Mは第1エンドエフェクタ及び第2エンドエフェクタが一回に搬送可能な半導体基板の最大枚数)と、第1エンドエフェクタ及び第2エンドエフェクタを支持する第1アームと、回転機構と、一端が第1アームに回転可能に結合しかつ他端が回転機構に接続された第2アームと、から成る基板搬送装置と、
半導体基板を収納するための収納容器の搭載ステージ内に備えられたレーザ発光素子及び受光素子により、収納容器内における半導体基板の分布状況を判定し出力する判定装置と、
第1エンドエフェクタ及び第2エンドエフェクタの動作を制御するための制御手段であって、プロセッサ及びメモリを有するところの制御手段と、
を含み、
上記メモリ内に格納されたプログラムの実行により、第1エンドエフェクタ及び第2エンドエフェクタの動作が制御され、当該制御は、判定装置から出力される判定情報に基づいて、使用すべき少なくともひとつのエンドエフェクタを選択し、選択されなかったエンドエフェクタを、第1アームに関して、選択されたエンドエフェクタと独立に回転退避するように回転機構を駆動するものである、ことを特徴とする。
以下、図面を参照しながら、本願発明を詳細に説明する。図1は、本発明に係る基板搬送装置の好適実施例を示したものである。基板搬送装置1は基部10を含む。基部10は以下で説明するように、基板収納容器に対して平行移動することができる。基部10の中心には支持軸8が固定されている。支持軸8は上下に昇降が可能である。支持軸8の上端には第1駆動アーム2が回転可能に結合されている。該第1駆動アーム2の先端には第2駆動アーム3が回転可能に結合されている。該第2駆動アーム3の先端には、第1エンドエフェクタ4及び第2エンドエフェクタ5が回転可能に結合されている。第1エンドエフェクタ4は、半導体基板9を保持するための第1保持部6を有する。本発明において、第1保持部6は半導体基板9を1枚のみ保持するように構成されている。第2エンドエフェクタ5は半導体基板を保持するための第2保持部7を有する。好適実施例において、第2保持部7は半導体基板を2枚保持することができるように構成されている。他の実施例において、当該第2保持部は3枚以上の半導体基板を保持するように構成されることも可能である。
第1エンドエフェクタ4及び第2エンドエフェクタ5は回転機構(図示せず)により、互いに独立に回転移動することができる。各エンドエフェクタは、セラミックスまたはカーボンファイバーから成り、回転移動に伴うパーティクルの発生が最小限に抑えられている。エンドエフェクタに使用される素材はカーボンファイバーが好適であるが、セラミックスを用いるのであれば、酸化アルミニウム(Al2O3)または炭化珪素(SiC)が好ましい。
上記したように、好適実施例において、本発明に係る基板搬送装置は、2つのエンドエフェクタを有することからデュアルアームロボットと称されるが、他の実施例において、エンドエフェクタを3つ以上の任意の数に設定することも可能である。
次に、本発明に係る基板搬送装置の動作について説明する。図1(a)は、収納容器内に収納された半導体基板を1枚だけ搬送する際の動作を表したものである。まず、第2エンドエフェクタ5を回転移動させ、収納容器と反対側に退避させる。続いて、第1エンドエフェクタ4を収納容器側に回転移動させ、駆動アーム(2、3)により第1保持部6を収納容器方向へ伸長させ、収納容器内の所定位置の半導体基板9を1枚だけ取り出して保持する。
図1(b)は、収納容器内に収納された2枚の半導体基板を搬送する際の動作を表したものである。まず、第1エンドエフェクタ4を回転移動させ、収納容器と反対側に退避させる。続いて、第2エンドエフェクタ5を収納容器側に回転移動させ、駆動アーム(2、3)により第2保持部7を収納容器方向へ伸長させ、収納容器内の所定位置の2枚の半導体基板を取り出して保持する。
図1(c)は、収納容器内に収納された3枚の半導体基板を搬送する際の動作を表したものである。まず、第1エンドエフェクタ4及び第2エンドエフェクタ5を収納容器側に回転移動させる。続いて、駆動アーム(2、3)により第1保持部6及び第2保持部7を収納容器方向へ伸長させ、収納容器内の所定位置の3枚の半導体基板を取り出して保持する。
次に、本発明に係る基板搬送装置を枚葉式の半導体製造装置に実際に搭載した実施例について説明する。図2は、本発明に係る基板搬送装置1を搭載した半導体製造装置の好適実施例の平面略示図である。半導体製造装置20は、基板搬送チャンバ25と、該基板搬送チャンバ25に結合された複数の反応チャンバ(27,28,29)と、該基板搬送チャンバ25に結合されたロードロックチャンバ(23,24)を含む。ロードロックチャンバ(23,24)内部にはそれぞれウエハ入出力容器(32,33)が設置されている。基板搬送チャンバ25内には基板搬送ロボット26が設置されており、ウエハ入出力容器(32、33)内のウエハを各反応チャンバ内へ搬送する。この基板搬送ロボット26は通常、シングルアームロボットであるが、デュアルアームロボットを使用することもできる。
ロードロックチャンバ(23,24)には大気圧状態の搬送室35が接続されている。搬送室35の内部には本発明に係る基板搬送装置1が設置されている。搬送室35内において、本発明に係る基板搬送装置1は矢印34の方向へ平行移動が可能である。ロードロックチャンバ(23,24)と反対側の搬送室35には収納容器搭載ステージ(30,31)が並列に接続されている。各搭載ステージ(30,31)の内部にはそれぞれウエハ収納容器(21,22)が装填される。図2の実施例において、搭載ステージは2個であるが、所望により、それ以上の搭載ステージを設けることも可能である。ウエハ収納容器(21,22)は半導体基板を一枚ずつ収納する複数のスロットから成る。スロットの数は任意である。
次に、基板の搬送動作について説明する。ここで、説明の都合上、ウエハ収納容器(21,22)のスロットの数を25と仮定し、ウエハ入出力容器(32,33)のスロット数を6と仮定する。
まず、ウエハ収納容器21内の半導体基板をウエハ入出力容器(32,33)へ搬送する。本発明に係る基板搬送装置1は、上記したように、1回の動作で最大3枚の半導体ウエハを搬送することができる。したがって、搬送を繰り返したとすると、ウエハ収納容器21の25段目のスロットにウエハが1枚だけ残ってしまう。ここで、本発明に係る基板搬送装置1は、第2エンドエフェクタ5を回転退避させて、代わりに第1エンドエフェクタ4を回転移動させ、残った25段目のスロットのウエハを第1ウエハ保持部6で保持し、ウエハ入出力容器32の1段目のスロットへ搬送する。
次に、退避していた第2エンドエフェクタ5を元に戻し、ウエハ収納容器22に移る。本発明に係る基板搬送装置1により、ウエハ収納容器22の1、2、3段目のスロットの3枚のウエハをそれぞれウエハ入出力容器32の2、3、4段目のスロットへ同時に搬送する。続いて、基板搬送装置1の第1エンドエフェクタ4を回転退避させて、第2エンドエフェクタ5を回転移動させ、ウエハ収納容器22の4、5段目のスロットの2枚のウエハを第2保持部7で保持し、それぞれウエハ入力容器32の残りの5、6段目のスロットへ同時に搬送する。
このように、ウエハ収納容器の切り替え時において、本発明に係るデュアルアームロボットの使用すべきエンドエフェクタを適宜選択することで、スムーズに搬送を続行させることができ、スループットを向上させることができる。
ウエハ入出力容器(32,33)のスロット数と反応チャンバの数が、基板保持装置1の最大一括搬送枚数の倍数である場合に、最高の搬送スループットが得られる。よって、そうなるようにスロット数と反応チャンバ数とを決定するのが好ましい。ただし、反応チャンバの数は、装置の設置面積とコストから予め決められてしまうことが多い。その場合には、ウエハ入出力容器のスロット数と基板保持装置の最大一括搬送枚数を適宜調節することにより、最大限の搬送スループットを達成することが可能である。
上記のような基板搬送装置1の動作は、コンピュータのような制御手段(図示せず)により自動的に制御される。図3及び4は、動作制御プログラムのフローチャートを示したものである。なお、これは基板搬送装置1を使用してウエハを搬送する毎に、半導体製造装置20を制御するメインプログラムからコールされるソフトウエアである。
ソフトウエアは、それぞれ12個のステップから構成される。図3はウエハ収納容器からウエハ入出力容器へウエハを搬送するソフトウエアである。ステップ1で処理が開始される。
ステップ2でウエハポインタを取得する。ここで、ウエハポインタとは、ウエハ収納容器内の未処理ウエハの中で一番下のウエハのスロット位置である。この情報は、メイン制御プログラムから入手することができる。具体的には、容器搭載ステージに設置されたレーザ発光素子及びレーザ受光素子から成るレーザセンサによるウエハマッピングにより、ウエハ収納容器内の各スロットにおけるウエハの有無の判定が為される。この判定情報に基づき、未処理ウエハの最下位置情報を取得する。例えば、ウエハのロット処理が開始された時点での未処理ウエハの最下位置は1である。
ステップ3でウエハ搬送先のウエハ入出力容器のスロット情報を確認し、空きスロットが3枚分以上でかつ連続した空きスロットがあるかどうかの判定を行う。もし、3枚分以上の連続空きスロットがあれば、ステップ4に進み、無ければ、ステップ6に進む。
ステップ4でステップ2において取得したウエハポインタの位置情報から未処理ウエハのスロット情報を確認し、3スロット以上連続して未処理ウエハがあるかどうかの判定を行う。もし、ウエハ収納容器に未処理ウエハが3枚以上連続して存在すれば、ステップ5に進み、無ければステップ7に進む。ステップ5で基板搬送装置の2つのエンドエフェクタの両方を使ってウエハを同時に3枚搬送する。その後、ステップ12に進み、処理が終了する。
ステップ6でウエハ入出力容器の空きスロットが2枚連続して存在するかどうかの判定を行う。もし、存在すればステップ7に進み、無ければステップ9に進む。ステップ7でウエハ収納容器のウエハポインタのスロット位置情報から未処理ウエハが2枚連続して存在するかどうかの判定を行う。未処理ウエハが2枚連続して存在すればステップ8に進み、無ければステップ10に進む。ステップ8で基板搬送装置1のエンドエフェクタ5のみを使用し、ウエハを2枚同時に搬送する。その後、ステップ12に進み、処理が終了する。
ステップ9でウエハ入出力容器に空きスロットがあるかどうかの判定を行う。空きスロットがあればステップ10に進み、無ければステップ12に進み処理は終了する。
ステップ10でウエハ収納容器のウエハポインタの位置に未処理ウエハがあるかどうかの判定を行う。未処理ウエハがあれば、ステップ11に進み、無ければステップ12に進み処理は終了する。
ステップ11で基板搬送装置のエンドエフェクタ4のみを使用し、ウエハ1枚を搬送する。その後、ステップ12に進み、処理は終了する。
図4は、ロードロックチャンバ内のウエハ入出力容器からウエハ収納容器へ処理済ウエハを戻すプログラムのフローチャートである。搬送先と搬送元の容器が入れ替わったことを除き、制御判断処理は図3と全く同様である。
本発明に係る基板搬送装置は、完全に独立した2つのエンドエフェクタを有し、一方のエンドエフェクタは1枚のウエハを保持し、他方のエンドエフェクタは複数枚のウエハを保持する。このような基板搬送装置を搭載した半導体製造装置において、ウエハ収納容器にウエハが存在しない場合、あるいは収納容器のウエハ収納枚数が基板搬送装置の一括搬送枚数の倍数でない場合においても、搬送スループットを低下させることなく、装置内の容器間でウエハを搬送することが可能である。
上記実施例は、ウエハ1枚搬送用エンドエフェクタ及び2枚搬送用エンドエフェクタを有するデュアルアームロボットについて説明されてきたが、ここに示されたのは本発明の一例に過ぎない。本発明は、N個のエンドエフェクタを有するアームロボットに応用することができる。ここで、Nは2以上の任意の整数である。この場合、使用されるN個のエンドエフェクタは、ウエハ1枚用、ウエハ(M−1)枚用、ウエハ(M−2)枚用・・・ウエハ(M−(N−1))枚用のエンドエフェクタから構成することが可能である。ここで、MはN個のエンドエフェクタで一回に搬送可能なウエハの最大枚数である。
このように、3個以上のエンドエフェクタを使用する場合においても、本発明によれば、高い搬送スループットを達成することができる。
図1は、本発明に係る基板搬送装置のひとつの実施例の構成及び動作を略示したものである。 図2は、本発明に係る基板搬送装置を搭載した半導体製造装置のひとつの実施例の平面略示図である。 図3は、本発明に係る基板搬送装置を使ってウエハ収納容器からウエハ入出力容器へウエハを搬送する制御処理のフローチャートを示す。 図4は、本発明に係る基板搬送装置を使ってウエハ入出力容器からウエハ収納容器へウエハを搬送する制御処理のフローチャートを示す。

Claims (19)

  1. 半導体基板搬送装置であって、
    少なくともひとつのアームと、
    前記アームに回転可能に結合された、少なくとも1枚の前記半導体基板を保持するN個(Nは2以上の任意の整数)のエンドエフェクタと、
    を含み、
    基板搬送処理の開始時に、搬送元の基板分布状態及び搬送先のスロットの空き状態に応じて、前記N個のエンドエフェクタの中から少なくとも1つの所望のエンドエフェクタが選択され、選択されたエンドエフェクタとそれ以外のエンドエフェクタとが前記アームに関して互いに独立に回転し、選択されたエンドエフェクタを使って半導体基板が搬送されることを特徴とする、基板搬送装置。
  2. 請求項1に記載の基板搬送装置であって、前記N個のエンドエフェクタのひとつが前記半導体基板を1枚だけ保持し、残りの(N−1)個のエンドエフェクタは複数枚の半導体基板を保持する、ところの基板搬送装置。
  3. 請求項1または2に記載の基板搬送装置であって、さらに、回転機構を含み、前記エンドエフェクタの回転は前記回転機構により実行される、ところの基板搬送装置。
  4. 請求項3に記載の基板搬送装置であって、N=2であり、前記エンドエフェクタは第1エンドエフェクタ及び第2エンドエフェクタから成る、ところの基板搬送装置。
  5. 請求項4に記載の基板搬送装置であって、前記第1エンドエフェクタは1枚の半導体基板を保持し、前記第2エンドエフェクタは2枚の半導体基板を保持する、ところの基板搬送装置。
  6. 請求項5に記載の基板搬送装置であって、2枚の半導体基板を搬送する際に、前記第1エンドエフェクタが回転して退避し、1枚の半導体基板を搬送する際に、前記第2エンドエフェクタが回転して退避する、ところの基板搬送装置。
  7. 請求項1から6のいずれかに記載の基板搬送装置であって、前記エンドエフェクタは、セラミックスから成る、ところの基板搬送装置。
  8. 請求項1から6のいずれかに記載の基板搬送装置であって、前記エンドエフェクタは、カーボンファイバーから成る、ところの基板搬送装置。
  9. 少なくともひとつのアームと、前記アームに回転可能に結合された、少なくとも1枚の半導体基板を保持するN個(Nは2以上の任意の整数)のエンドエフェクタとを含む半導体基板搬送装置を制御するためのプログラムであって、
    (1)搬送元の基板分布状態の指令を受けて、搬送先の空きスロットがM個(MはN個のエンドエフェクタが一回に搬送可能な半導体基板の最大枚数)以上連続しているかの判定を出力し、
    (2)(1)の判定の結果、搬送先の空きスロットがM個以上連続している場合には、搬送元の半導体基板がMスロット以上連続しているかの判定を出力し、
    (3)(1)の判定の結果、搬送先の空きスロットがM個以上連続していない場合には、搬送先の空きスロットがM−1個連続しているかの判定を出力し、
    (4)(2)の判定の結果、搬送元の半導体基板がMスロット以上連続している場合には、M−1枚用のエンドエフェクタ及び1枚用のエンドエフェクタを選択し、選択したエンドエフェクタを使ってM枚の半導体基板を搬送先のスロットへ搬送する命令を出力し、
    (5)(2)の判定の結果、搬送元の半導体基板がMスロット以上連続していない場合、または(3)の判定の結果、搬送先の空きスロットがM−1個連続している場合には、搬送元の半導体基板がM−1スロット連続しているかの判定を出力し、
    (6)(3)の判定の結果、搬送先の空きスロットがM−1個連続していない場合には、搬送先の空きスロットが1個存在しているかの判定を出力し、
    (7)(5)の判定の結果、搬送元の半導体基板がM−1スロット連続している場合には、M−1枚用のエンドエフェクタを選択し、それ以外のエンドエフェクタを回転退避させる命令を出力し、選択されたM−1枚用のエンドエフェクタを使ってM−1枚の半導体基板を搬送先のスロットへ搬送する命令を出力し、
    (8)(5)の判定の結果、搬送元の半導体基板がM−1スロット連続していないか、または(6)の判定の結果、搬送先に1個の空きスロットがある場合には、搬送元に1枚の半導体基板があるかの判定を出力し、
    (9)(8)の判定の結果、搬送元に1枚の半導体ウエハがある場合には、1枚用のエンドエフェクタを選択し、それ以外のエンドエフェクタを回転退避させる命令を出力し、選択された1枚用のエンドエフェクタを使って1枚の半導体基板を搬送先のスロットへ搬送する命令を出力する、
    ところのプログラム。
  10. 請求項9に記載のプログラムであって、前記搬送元はウエハ収納容器であり、前記搬送先はウエハ入出力容器である、ところのプログラム。
  11. 請求項9に記載のプログラムであって、前記搬送元はウエハ入出力容器であり、前記搬送先はウエハ収納容器である、ところのプログラム。
  12. 請求項9に記載のプログラムであって、N=2、M=3である、ところのプログラム。
  13. 半導体製造装置であって、
    少なくともひとつの反応チャンバと、
    ロードロック室と、
    前記ロードロック室内に配置されたウエハ入出力容器と、
    半導体基板を収納するためのウエハ収納容器と、
    請求項1から8のいずれかに記載の基板搬送装置と、
    前記ウエハ収納容器内での前記半導体基板の分布状態を判定するためのレーザセンサと、
    請求項9に記載のプログラムを実行するための制御手段と、
    を含む半導体製造装置。
  14. 請求項13に記載の半導体製造装置であって、前記制御手段は、コンピュータから成る、ところの半導体製造装置。
  15. 請求項13に記載の半導体製造装置であって、前記反応チャンバの数と、前記ウエハ入出力容器のウエハ収納可能枚数が、すべてのエンドエフェクタを使用した際に搬送可能な最大ウエハ枚数の倍数である、ところの半導体製造装置。
  16. 半導体基板搬送システムであって、
    半導体基板を1枚保持する第1エンドエフェクタと、半導体基板を(M−1)枚保持する第2エンドエフェクタ(Mは前記第1エンドエフェクタ及び前記第2エンドエフェクタが一回に搬送可能な半導体基板の最大枚数)と、前記第1エンドエフェクタ及び前記第2エンドエフェクタを支持する第1アームと、回転機構と、一端が前記第1アームに回転可能に結合しかつ他端が前記回転機構に接続された第2アームと、から成る基板搬送装置と、
    前記半導体基板を収納するための収納容器の搭載ステージ内に備えられたレーザ発光素子及び受光素子により、前記収納容器内における前記半導体基板の分布状況を判定し出力する判定装置と、
    前記第1エンドエフェクタ及び前記第2エンドエフェクタの動作を制御するための制御手段であって、プロセッサ及びメモリを有するところの制御手段と、
    を含み、
    前記メモリ内に格納されたプログラムの実行により、前記第1エンドエフェクタ及び第2エンドエフェクタの動作が制御され、前記制御は、前記判定装置から出力される判定情報に基づいて、使用すべき少なくともひとつの前記エンドエフェクタを選択し、選択されなかったエンドエフェクタを、前記第1アームに関して、選択されたエンドエフェクタと独立に回転退避するように前記回転機構を駆動するものである、ことを特徴とする半導体基板搬送システム。
  17. 請求項16に記載の半導体基板搬送システムであって、前記プログラムの実行により、前記基板分布情報から、前記第1エンドエフェクタを選択した場合には、前記第2エンドエフェクタが前記第1アームに関して前記第1エンドエフェクタと独立に回転退避される、ところの半導体基板搬送システム。
  18. 請求項16に記載の半導体基板搬送システムであって、前記プログラムの実行により、前記基板分布情報から、前記第2エンドエフェクタを選択した場合には、前記第1エンドエフェクタが前記第1アームに関して前記第2エンドエフェクタと独立に回転退避される、ところの半導体基板搬送システム。
  19. 請求項16に記載の半導体基板搬送システムであって、M=3である、ところの半導体基板搬送システム。
JP2005184365A 2005-06-24 2005-06-24 基板搬送装置及びそれを搭載した半導体基板製造装置 Pending JP2007005582A (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2005184365A JP2007005582A (ja) 2005-06-24 2005-06-24 基板搬送装置及びそれを搭載した半導体基板製造装置
US11/445,035 US7618226B2 (en) 2005-06-24 2006-06-01 Semiconductor substrate transfer apparatus and semiconductor substrate processing apparatus equipped with the same
KR1020060056390A KR20060135529A (ko) 2005-06-24 2006-06-22 반도체 기판 이송장치 및 이를 장착한 반도체 기판처리장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005184365A JP2007005582A (ja) 2005-06-24 2005-06-24 基板搬送装置及びそれを搭載した半導体基板製造装置

Publications (1)

Publication Number Publication Date
JP2007005582A true JP2007005582A (ja) 2007-01-11

Family

ID=37690890

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005184365A Pending JP2007005582A (ja) 2005-06-24 2005-06-24 基板搬送装置及びそれを搭載した半導体基板製造装置

Country Status (3)

Country Link
US (1) US7618226B2 (ja)
JP (1) JP2007005582A (ja)
KR (1) KR20060135529A (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008141158A (ja) * 2006-11-29 2008-06-19 Samsung Electronics Co Ltd ウエハ移送装置、ウエハ移送方法及びコンピュータ可読記録媒体
JP2008277725A (ja) * 2007-05-02 2008-11-13 Psk Inc 基板返送ユニット、及び基板移送方法、そして前記ユニットを有する基板処理装置、及び前記ユニットを利用した基板処理方法
KR100957815B1 (ko) * 2008-01-15 2010-05-13 세메스 주식회사 반도체 제조 장비
KR100968869B1 (ko) 2008-07-09 2010-07-09 주식회사 로보스타 기판 반송 로봇
US8007218B2 (en) 2007-01-19 2011-08-30 Psk Inc. Unit and method for transferring substrates and apparatus and method for treating substrates with the unit
JP2013058735A (ja) * 2011-08-12 2013-03-28 Shibaura Mechatronics Corp 処理システムおよび処理方法
US9302395B2 (en) 2012-01-26 2016-04-05 Kabushiki Kaisha Yaskawa Denki Conveying robot
WO2018186451A1 (ja) * 2017-04-06 2018-10-11 東京エレクトロン株式会社 基板処理装置および基板搬送方法

Families Citing this family (362)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7462011B2 (en) 2004-08-12 2008-12-09 Tokyo Electron Limited Substrate processing system, substrate processing method, sealed container storing apparatus, program for implementing the substrate processing method, and storage medium storing the program
EP1902465A2 (en) 2005-07-08 2008-03-26 Asyst Technologies, Inc. Workpiece support structures and apparatus for accessing same
JP4727500B2 (ja) * 2006-05-25 2011-07-20 東京エレクトロン株式会社 基板搬送装置、基板処理システムおよび基板搬送方法
US20080157455A1 (en) * 2006-12-29 2008-07-03 Applied Materials, Inc. Compliant substrate holding assembly
KR100912432B1 (ko) * 2007-12-05 2009-08-14 주식회사 나온테크 웨이퍼 이송장치 및 그 이송방법
JP4863985B2 (ja) * 2007-12-20 2012-01-25 大日本スクリーン製造株式会社 基板処理装置
JP4520512B2 (ja) * 2008-02-13 2010-08-04 キヤノンアネルバ株式会社 加熱装置
US20100034621A1 (en) * 2008-04-30 2010-02-11 Martin Raymond S End effector to substrate offset detection and correction
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8666551B2 (en) * 2008-12-22 2014-03-04 Asm Japan K.K. Semiconductor-processing apparatus equipped with robot diagnostic module
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR102436038B1 (ko) * 2011-03-11 2022-08-24 브룩스 오토메이션 인코퍼레이티드 기판 처리 툴
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5505384B2 (ja) * 2011-08-04 2014-05-28 東京エレクトロン株式会社 基板処理装置、基板処理方法および記憶媒体
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013101226A1 (en) * 2011-12-30 2013-07-04 Intel Corporation Apparatus and method for automated sort probe assembly and repair
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
TWI684229B (zh) 2013-07-08 2020-02-01 美商布魯克斯自動機械公司 具有即時基板定心的處理裝置
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR101863475B1 (ko) 2014-01-28 2018-05-31 가와사끼 쥬고교 가부시끼 가이샤 기판 반송 시스템 및 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
EP3174376B1 (en) * 2014-07-25 2019-09-11 FUJI Corporation Feeder automatic replacement system
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US20200411348A1 (en) * 2019-06-28 2020-12-31 Kawasaki Jukogyo Kabushiki Kaisha Substrate transfer apparatus
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114613657A (zh) * 2020-12-09 2022-06-10 细美事有限公司 用于晶片型传感器的充电和自动校准的控制程序、容器及半导体元件制造设备
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03227036A (ja) * 1990-01-31 1991-10-08 Nec Yamagata Ltd ウェーハ移載方法
JPH05214535A (ja) * 1992-02-03 1993-08-24 Dainippon Screen Mfg Co Ltd 基板移載装置
JP2002507846A (ja) * 1998-03-20 2002-03-12 ブルックス オートメーション インコーポレイテッド 異なる保持エンドエフェクタによる基板搬送方法
JP2002517088A (ja) * 1998-05-27 2002-06-11 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド 半導体ウエハハンドリング用バッチ式エンドエフェクタ
JP2002520830A (ja) * 1998-07-10 2002-07-09 ピーアールアイ オートメーション インコーポレイテッド バッチローダーを有する二本アーム・サブストレート取扱いロボット
WO2003049157A1 (en) * 2001-12-03 2003-06-12 E. I. Du Pont De Nemours And Company Transfer member with electric conductivity and its manufacturing method
JP2004119787A (ja) * 2002-09-27 2004-04-15 Hitachi Kokusai Electric Inc 基板処理装置
JP2004356124A (ja) * 2003-05-27 2004-12-16 Sumitomo Electric Ind Ltd 多孔質セラミックスを用いた半導体製造装置用部品及び半導体製造装置
WO2004113205A1 (ja) * 2003-06-19 2004-12-29 Rorze Corporation 薄板支持体

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07106402A (ja) 1993-10-04 1995-04-21 Tokyo Electron Ltd 板状体搬送装置
EP0928194A4 (en) 1996-08-29 2001-01-17 Merck & Co Inc COMPOSITIONS AND METHODS FOR ADMINISTERING INTEGRIN RECEPTOR ANTAGONISTS
US6183183B1 (en) * 1997-01-16 2001-02-06 Asm America, Inc. Dual arm linear hand-off wafer transfer assembly
JP3722598B2 (ja) * 1997-07-16 2005-11-30 株式会社ダイヘン 2アーム方式の搬送用ロボット装置
US6213853B1 (en) * 1997-09-10 2001-04-10 Speedfam-Ipec Corporation Integral machine for polishing, cleaning, rinsing and drying workpieces
US6485250B2 (en) * 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
JP2000299367A (ja) * 1999-04-15 2000-10-24 Tokyo Electron Ltd 処理装置及び被処理体の搬送方法
JP2001050159A (ja) 1999-08-05 2001-02-23 Makita Corp エアコンプレッサ
US6585478B1 (en) * 2000-11-07 2003-07-01 Asm America, Inc. Semiconductor handling robot with improved paddle-type end effector
JP2002158272A (ja) * 2000-11-17 2002-05-31 Tatsumo Kk ダブルアーム基板搬送装置
US6918731B2 (en) * 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
US7140655B2 (en) * 2001-09-04 2006-11-28 Multimetrixs Llc Precision soft-touch gripping mechanism for flat objects
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6696367B1 (en) * 2002-09-27 2004-02-24 Asm America, Inc. System for the improved handling of wafers within a process tool
US6748293B1 (en) * 2003-03-24 2004-06-08 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for high speed object handling
WO2005048313A2 (en) * 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
JP4262064B2 (ja) * 2003-11-28 2009-05-13 株式会社ダイヘン 搬送ロボット

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03227036A (ja) * 1990-01-31 1991-10-08 Nec Yamagata Ltd ウェーハ移載方法
JPH05214535A (ja) * 1992-02-03 1993-08-24 Dainippon Screen Mfg Co Ltd 基板移載装置
JP2002507846A (ja) * 1998-03-20 2002-03-12 ブルックス オートメーション インコーポレイテッド 異なる保持エンドエフェクタによる基板搬送方法
JP2002517088A (ja) * 1998-05-27 2002-06-11 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド 半導体ウエハハンドリング用バッチ式エンドエフェクタ
JP2002520830A (ja) * 1998-07-10 2002-07-09 ピーアールアイ オートメーション インコーポレイテッド バッチローダーを有する二本アーム・サブストレート取扱いロボット
WO2003049157A1 (en) * 2001-12-03 2003-06-12 E. I. Du Pont De Nemours And Company Transfer member with electric conductivity and its manufacturing method
JP2004119787A (ja) * 2002-09-27 2004-04-15 Hitachi Kokusai Electric Inc 基板処理装置
JP2004356124A (ja) * 2003-05-27 2004-12-16 Sumitomo Electric Ind Ltd 多孔質セラミックスを用いた半導体製造装置用部品及び半導体製造装置
WO2004113205A1 (ja) * 2003-06-19 2004-12-29 Rorze Corporation 薄板支持体

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008141158A (ja) * 2006-11-29 2008-06-19 Samsung Electronics Co Ltd ウエハ移送装置、ウエハ移送方法及びコンピュータ可読記録媒体
JP4572216B2 (ja) * 2006-11-29 2010-11-04 三星電子株式会社 ウエハ移送装置
US8007218B2 (en) 2007-01-19 2011-08-30 Psk Inc. Unit and method for transferring substrates and apparatus and method for treating substrates with the unit
JP2008277725A (ja) * 2007-05-02 2008-11-13 Psk Inc 基板返送ユニット、及び基板移送方法、そして前記ユニットを有する基板処理装置、及び前記ユニットを利用した基板処理方法
JP4542574B2 (ja) * 2007-05-02 2010-09-15 ピーエスケー・インコーポレーテッド 基板移送ユニット、及び基板移送方法、そして前記ユニットを有する基板処理装置、及び前記ユニットを利用した基板処理方法
KR100957815B1 (ko) * 2008-01-15 2010-05-13 세메스 주식회사 반도체 제조 장비
KR100968869B1 (ko) 2008-07-09 2010-07-09 주식회사 로보스타 기판 반송 로봇
JP2013058735A (ja) * 2011-08-12 2013-03-28 Shibaura Mechatronics Corp 処理システムおよび処理方法
US9302395B2 (en) 2012-01-26 2016-04-05 Kabushiki Kaisha Yaskawa Denki Conveying robot
WO2018186451A1 (ja) * 2017-04-06 2018-10-11 東京エレクトロン株式会社 基板処理装置および基板搬送方法

Also Published As

Publication number Publication date
US7618226B2 (en) 2009-11-17
KR20060135529A (ko) 2006-12-29
US20070142962A1 (en) 2007-06-21

Similar Documents

Publication Publication Date Title
JP2007005582A (ja) 基板搬送装置及びそれを搭載した半導体基板製造装置
TWI398335B (zh) Workpiece conveying system
JP6559976B2 (ja) 基板搬送ロボットおよび基板処理システム
JPWO2017038811A1 (ja) 基板搬送ロボットおよび基板処理システム
JP5089306B2 (ja) 処理システムの制御装置、処理システムの制御方法および制御プログラムを記憶した記憶媒体
JP4707749B2 (ja) 基板交換方法及び基板処理装置
JP5102717B2 (ja) 基板搬送装置およびこれを備えた基板処理装置
JP2005203635A (ja) 基板処理装置及び基板処理方法
JP7106681B2 (ja) デュアルロードロックチャンバ
JP2011049585A (ja) 基板搬送装置及び方法
TW201707118A (zh) 基板運送方法及基板處理裝置
JP2008198884A (ja) 基板処理装置
KR101715440B1 (ko) 기판 처리 시스템 및 기판의 반송 제어 방법
WO2010022309A2 (en) Vertical substrate buffering system
JP2009065165A (ja) エンドエフェクタ及びこれを有する基板移送ロボット
WO2015107955A1 (ja) 基板処理方法および基板処理装置
WO2013077322A1 (ja) ワーク搬送システム
JP5223778B2 (ja) 基板処理装置、基板処理方法及び記憶媒体
JP2011119468A (ja) 被処理体の搬送方法および被処理体処理装置
JP5518550B2 (ja) 被処理体処理装置
US9199805B2 (en) Processing system and processing method
US10128134B2 (en) Substrate transfer method and processing system
JP2011091334A (ja) 基板処理装置
JP2010141000A (ja) 基板処理装置
JP2002237507A (ja) 処理システム及び処理システムの被処理体の搬送方法

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20080331

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080528

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100223

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100301

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100705