JP5226215B2 - 真空下の半導体処理システムにおいて加工中の製品を処理する方法及びシステム - Google Patents

真空下の半導体処理システムにおいて加工中の製品を処理する方法及びシステム Download PDF

Info

Publication number
JP5226215B2
JP5226215B2 JP2006539863A JP2006539863A JP5226215B2 JP 5226215 B2 JP5226215 B2 JP 5226215B2 JP 2006539863 A JP2006539863 A JP 2006539863A JP 2006539863 A JP2006539863 A JP 2006539863A JP 5226215 B2 JP5226215 B2 JP 5226215B2
Authority
JP
Japan
Prior art keywords
vacuum
arm
processing
robot
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2006539863A
Other languages
English (en)
Other versions
JP2007511104A (ja
Inventor
ヴァン・デル・ミューレン,ペーター
Original Assignee
ブルックス オートメーション インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ブルックス オートメーション インコーポレイテッド filed Critical ブルックス オートメーション インコーポレイテッド
Publication of JP2007511104A publication Critical patent/JP2007511104A/ja
Application granted granted Critical
Publication of JP5226215B2 publication Critical patent/JP5226215B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/02Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type
    • B25J9/04Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type by rotating at least one arm, excluding the head movement itself, e.g. cylindrical coordinate type or polar coordinate type
    • B25J9/041Cylindrical coordinate type
    • B25J9/042Cylindrical coordinate type comprising an articulated arm
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67733Overhead conveying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T74/00Machine element or mechanism
    • Y10T74/20Control lever and linkage systems
    • Y10T74/20207Multiple controlling elements for single controlled element
    • Y10T74/20305Robotic arm

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明は半導体製造分野に関し、より詳細には真空処理システム内での材料移送に対して利用される機械装置に関する。
現在の半導体製造装置は、それぞれが顕著な欠点を有するいくつかの異なる形状をとる。中央のロボットアームの周りに一群の半導体処理モジュールが放射状に配列されているクラスターツール機械装置は、大きな空間を必要とし、比較的遅く、その構造に基づいて、通常最大で約5つ又は6つの、少ない数の半導体処理モジュールに制限されている。一方で、クラスターツールと比較して、より大きな柔軟性と高速度に対する可能性をもたらす線形ツールは、大半の現在の半導体製造装置の現在の基礎構造と十分に適合せず、さらに半導体を製造する通常の真空環境内の装置構成要素の線形の動作が、構成要素間の摩擦によって生じる粒子の水準が容認できないというような現在の線形システムにおける問題を導く。いくつかの複合型の構造が、放射処理モジュール配列及び線形配列の組み合わせを利用して存在している。
線形システムの一形態は、製造装置によって処理される品物を保持可能な移動カートを備えているレール又はトラックを利用する。カートは、それに取り付けられている可動式アームに材料を保持し、又は保持しない。レール形式の線形システムに関する他の問題のなかには、さらなる空間を利用する側壁の取付、又は他の構成を必要とする真空中の緩衝器を含ませることの困難さがある。またレール形式のシステムでは、処理量を維持するためにレール上に多数のカートを必要とし、これは、システムの信頼性及び処理材料の安全性に対する、複雑さ、高コスト、より高い危険性をもたらすことがある。さらにカートから処理モジュールに材料を移動するために、カートに1つ又はそれ以上のアームを取り付ける必要があり、これは、さらにシステムを複雑とする。レールシステムでは、線形モータ又はレールを途切れさせることなく、真空システムの部分を分離することが困難であり、これは、技術的に非常に複雑であり、高価である。補償するのが難しい片持ち梁をアームが作り出すため、カートが磁気的に浮上する場合、レールシステムのカートの取り付けられているアームは相当な偏向を生じることがある。カートがホイールによって物理的なレール上に載置され、及び/又は載せられている場合には、カートは粒子の問題を有することがある。
線形ツールの問題を回避しながら、クラスターツール固有の制限を克服することができる半導体製造装置に対する必要性が存在する。
本明細書では、非常に小さな設置面積において、ウェハ又は他の基板を移動することができる材料を移送する方法及びシステムを提供し、特に真空処理システムのような処理システムを提供する。本方法及びシステムは半導体製造に対して利用可能であるが、本明細書で開示する方法及びシステムを、真空下において材料を処理することが有利である任意の工程又は業界において利用することが可能であることは理解されなければならない。文脈で指示される場合を除いて、製造装置、処理システム、ロボット処理システム、真空処理システム、半導体処理システム、半導体製造装置、ウェハ処理システム、製造システムなどの用語を、半導体ウェハ又は他の品物のような品物を処理し、製造するための全ての形式のシステム、工程、装置を含むことを意図して本明細書では使用する。
方法及びシステムは、それぞれが品物に工程を実行する複数の工程モジュールと、工程モジュール間で品物を移動するための少なくとも1つの4リンクロボットスカラアームとを含む製造工程において、品物を処理するために提供される。実施形態では、方法及びシステムは、真空処理システムと関連して利用される。
方法及びシステムは、それぞれが品物に工程を実行する複数の工程モジュールとデュアルロボットアーム設備を含み、デュアルロボットアーム設備は、工程モジュール間で品物を処理するための上部アームと下部アームとを含む。実施形態では、デュアルロボットアーム設備は2つの対向する4リンクスカラアームからなる。
方法及びシステムは、実質上線形配列で軸に沿って配置されている複数の工程モジュールと、1つの工程モジュールから他の工程モジュールへ品物を移動するための少なくとも1つの処理設備を含み、処理設備はロボットアームからなる。実施形態では、方法及びシステムは真空製造設備を含む。実施形態では、ロボットアームは単一スカラアーム又はデュアルスカラアームである。実施形態では、スカラアームは4リンクスカラアームである。実施形態では、アームは、単一の又はデュアル型又はリープフロッグレッグ型アームである。実施形態では、スカラアームは、4つより多くの又は4つより少ないリンクを有する。
方法及びシステムは、入力端部及び出力端部を有する実質上線形配列の製造装置を含み、製造装置は、真空設備及び、製造工程の間、品物が出力端に達した後、入力端へ品物を戻すための帰路設備とを含む。実施形態では、製造装置は、複数の工程モジュールを含み、品物が、ロボットアーム設備により工程モジュール間で移動される。実施形態では、ロボットアーム設備はスカラアーム設備である。実施形態では、スカラアーム設備は4リンクスカラアームを含む。実施形態では、スカラアーム設備は対向デュアル4リンクスカラアームを含む。実施形態では、帰路設備は空気の戻りであり、工程モジュールは真空内にある。
実施形態では、線形システムに沿った複数の入力設備及び出力設備が存在する。実施形態では、空気に基づく把持部は、ウェハとともにキャリアを搬送可能であり、システムの中間のような、当初の入り口点とは異なる点における線形システムにキャリアを移すことができる。実施形態では、把持部は、システムの中間点のような、後部出口点とは異なる位置で材料を解放することができる。
実施形態では、本明細書で開示する方法及びシステムは曲線をなし、すなわち線形システムは真っ直ぐな配列である必要はない。
一局面では、本明細書で開示するシステムは、工程モジュールとも称される複数の加工モジュールを含み、それぞれの工程モジュールは1つ又はそれ以上の製造工程を加工中の製品に実施し、工程モジュールは最初の工程モジュールから最終の工程モジュールに順に加工中の製品を順次加工するように配列され、最初の工程モジュールと最終の工程モジュールの間の中間工程モジュールは、中間入り口点において、加工中の製品を工程手順に加え、又は工程手順から取り出すように配列されている。
加工中の製品を中間入り口点において工程手順に入れることができる。加工中の製品を中間入り口点において工程手順から出すことができる。さらにシステムは、それぞれが複数の工程モジュールの内の2つの工程モジュールの間に配置されている複数の中間入り口点を含む。さらにシステムは、複数の中間入り口点の最初の1つに加工中の製品を移動し、複数の中間入り口点の第2の中間入り口点からその加工中の製品を取り出す帰路機構を含む。加工中の製品は、複数の工程モジュールの選択された一部の工程手順において加工される。加工モジュールは、加工中の製品が加工手順に加えられ又は加工手順から取り出される少なくとも1つの中間入り口点に応じて複数の異なる製造工程が実施されるように配列されている。中間入り口点を、複数の異なる製造設備と接続することができる。製造設備は、空間を節約して配列することができる。中間入り口点により接続した場合には、別個である場合に比べて、2つの製造設備は空間をより節約することとなる。加工モジュールは制御された環境下で加工中の製品に作用する。制御された環境には、真空、制御された圧力、制御された温度、制御された空気純度、制御されたガス混合の少なくとも1つが含まれる。
他の局面では、本明細書で開示する加工中の製品を加工する方法は、加工中の製品に順次作用するように複数の加工モジュールを順に配列し、中間入り口点を介して加工モジュールの2つを接続し、中間入り口点において加工手順に加工中の製品を加えることを含む。他の局面では、方法は、加工中の製品に順次作用するように複数の加工モジュールを順に配列し、中間入り口点を介して加工モジュールの2つを接続し、中間入り口点において加工手順から加工中の製品を取り出すことを含む。
本明細書の開示する方法は、挿入端と出口端の間で、実質上線形の軸の周囲に真空に基づく複数の加工モジュールを設け、中間ロードロック設備を設け、挿入端部と出口端部の間の真空に基づく複数の加工モジュールに品物を配置し、又はそこから品物を取り出すことを含む。
さらに方法は、中間ロードロック設備に品物を送り、そこから品物を送るための空気に基づく送出システムを設けることを含む。方法は、中間ロードロック点に品物を導入することを含む。さらに方法は、中間ロードロック点において品物を取り出すことを含む。方法は、中間ロードロック点のそれぞれ1つが真空に基づく加工モジュールと隣接して位置付けられている複数の中間ロードロック点を工程手順に沿って設けることを含む。方法は、複数の中間ロードロック点の1つに又は1つから品物を移動する帰路機構を設けることを含む。加工中の製品は、中間ロードロック点の2つの間の複数の加工モジュールの選択された一部によって加工される。真空に基づく加工モジュールは、加工中の製品が加工手順に加えられる複数の中間ロードロック点の少なくとも1つ、又は加工中の製品が加工手順から取り出される複数の中間ロードロック点の1つに応じて、複数の異なる製造工程を実施するように配列されている。ロードロック点を複数の異なる製造設備に接続することができる。製造設備は、空間を節約して配列することができる。ロードロック点により接続した場合には、別個である場合に比べて、複数の製造設備の2つは空間をより節約することとなる。真空に基づく加工モジュールは制御された環境下で加工中の製品に作用する。制御された環境には、真空、制御された圧力、制御された温度、制御された空気純度、制御されたガス混合の少なくとも1つが含まれる。
本明細書で開示するシステムは、加工中の製品に順次作用するように順に配列されている複数の加工モジュール、中間入り口点を介して加工モジュールの2つを接続する接続手段、中間入り口点において加工中の製品を加工手順に加えるための追加手段を含む。
本明細書で開示するシステムは、加工中の製品に順次作用するように順に配列されている複数の加工モジュール、中間入り口点を介して加工モジュールの2つを接続する接続手段、中間入り口点において加工中の製品を加工手順から取り出すための取り出し手段を含む。
他の局面では、本明細書で開示する製造設備は、品物を加工する真空に基づく一連の工程モジュールと、真空に基づく工程モジュールの1つ又はそれ以上に品物を送り、そこから品物を引き出すための一対のロードロックとを含み、ロードロックは、真空に基づく工程モジュールの1つ又はそれ以上近接して、垂直に積層されて配置されている。
さらにシステムは、品物を処理するための1つ又はそれ以上のロボットアームを含む。1つ又はそれ以上のロボットアームはスカラアームを含む。1つ又はそれ以上のロボットアームは4リンクスカラアームを含む。1つ又はそれ以上のロボットアームは3リンクスカラアームを含む。1つ又はそれ以上のロボットアームは垂直に積層されている一対の4リンクスカラアームを含む。実施形態では、アームを単一又はデュアル型又はリープフロッグレッグ型アームとすることができる。システムは、処理システムの異なる点で、垂直に積層されている複数対のロードロックを含む。異なる点には、半導体処理システムの入り口点及び出口点が含まれる。異なる点には、半導体処理システムの中間点が含まれる。
本明細書で開示する製造設備は、ロボットコンポーネント、加工中の製品、ロボットコンポーネントにより加工中の製品に実施される工程を監視するセンサが含まれる。センサには、光センサ、接触センサ、近接センサ、音響センサ、容量性センサ、磁気センサの少なくとも1つが含まれる。センサは垂直近接センサを含む。センサは水平近接センサを含む。システムは、対角線上に配列されている複数のセンサを含む。システムは、複数の位置の複数の近接センサを含む。センサは、1つ又はそれ以上の加工中の製品、ロボットコンポーネント、エフェクターアームの運動を検出するセンサを含む。システムは、ロボットコンポーネントの位置を判定するのに利用される複数のセンサを含む。システムは、ロボットコンポーネントの最終位置を検出するように位置決めされている複数のセンサを含む。最終位置は、伸張位置又は縮んだ位置又は伸張位置と縮んだ位置の間の中間位置である。センサは、加工中の製品の経路を確認するのに利用される信号をもたらす。センサは指定区域の外にずれる加工中の製品を検出する。製造工程は、加工中の製品が指定位置の外にずれたというセンサからの信号に応答して停止される。ロボットアームは加工中の製品を安全な位置に移動する。ロボットアームは、加工中の製品を自動的に移動する。ロボットアームは、ユーザの制御下で、加工中の製品を移動する。センサを利用することによって、ロボットアーム及び加工中の製品の少なくとも一方と製造設備の衝突を防止することができる。
センサは送信機と通信する。送信機は無線送信機含む。無線送信機は、センサからのセンサ信号を無線受信機に通信する。無線受信機は処理装置に接続されている。処理装置は、センサの位置をユーザに示す。センサ信号はセンサの位置を示す。システムはセンサに電力を供給するバッテリーを含む。システムは、センサに結合されている送信機に電力を供給するバッテリーを含む。センサは、ロボットコンポーネントをトレーニングするのに利用される。ロボットコンポーネントは真空内でトレーニングされる。センサは外部の受信機に無線で結合され、それによって、大気に真空をガス抜きする必要性及び、大気の状態に曝した後、ウェハ製造システムの加工モジュールから湿気を焼き出す必要性を回避することができる。センサのフィードバックはセンサの位置を提供する。センサを加工中の製品に取り付けることができる。センサはウェハ製造システムの加工モジュール内に位置決めされている。センサは有害な環境内で使用される。ユーザは、センサのフィードバックに基づいてロボットコンポーネントを制御する。センサは、ロボットコンポーネントを衝突しないようにトレーニングするのに利用される。衝突しないようにトレーニングすることによって、加工中の製品及びロボットコンポーネントの少なくとも一方の衝突を回避することができる。センサはロボットコンポーネントの位置を提供し、ロボットコンポーネントは1つ又はそれ以上のロボットアームを含む。センサは加工中の製品の位置を提供し、加工中の製品は半導体ウェハを含む。センサは加工中の製品の配向を提供し、加工中の製品は半導体ウェハを含む。センサは、ウェハ製造システムの加工モジュール内に位置決めされている。
他の局面では、本明細書で開示するようなロボットウェハ製造システムに制御用の機器を備える方法が、ロボットコンポーネントを設け、加工中の製品を設け、ロボットコンポーネント又は加工中の製品又はロボットコンポーネント及び加工中の製品を取り囲む加工モジュールのチャンバの少なくとも1つにセンサを位置決めすることを含み、センサはロボットコンポーネントによって加工中の製品に実施される製造工程を監視する。他の方法は、半導体製造工程に対するロボットアームを設け、製造工程の加工モジュール内の位置を検出する複数のセンサを設けることを含み、位置は垂直位置及び水平位置を含む。
センサはロボットアームのエンドエフェクターの位置を検出する。センサはロボットアームの位置を検出する。センサは、ロボットアームのエンドエフェクターにより保持されている加工中の製品の位置を検出する。加工中の製品をウェハとすることができ、センサの少なくとも1つを、ロボットアームが縮んだ際、ウェハによって覆われるように位置決めすることができる。加工中の製品をウェハとすることができ、センサが、ロボットアームの伸張位置及び縮んだ位置の間でのウェハの動作の間、ウェハの前縁と後縁を検出するように、センサの少なくとも1つを、ウェハの半径の外側に位置決めすることができる。前縁及び後縁の検出は、ウェハがロボットアームのエフェクター上で心出しされているか否かを判定するのに利用される。センサは光ビーム遮断センサを含む。少なくとも2つのセンサを、互いが真空チャンバを横切る位置に位置決めすることができる。センサを真空チャンバの対角線に沿って配列することができる。さらに方法は、鏡を設け、真空チャンバ内の少なくとも1つのセンサからのビームを方向付けることを含む。さらに方法は、ロボットアームの位置を検出し、ロボットアームをトレーニングして、半導体処理作用を実施することを含む。
一局面では、本明細書で開示する処理方法は、加工中の製品をセンサとともに設けてその加工中の製品に隣接した状態を検出し、処理システム内に加工中の製品を配置し、処理システムによる加工中の製品の処理に関する状態を検出するためにセンサからのデータを受信することを含む。
加工中の製品を半導体ウェハとすることができる。加工中の製品を半導体ウェハの形状で構成することができる。センサは、近接センサ、容量性センサ、光学センサ、温度計、圧力センサ、化学センサ、放射線検出器、磁気センサの少なくとも1つとすることができる。方法は、センサからのデータを無線周波数で送信することを含む。方法は、処理システムに、センサからのデータを通信することを含む。方法は、処理システムの造作に対する加工中の製品の接近を検出することを含む。方法は、センサからのデータを利用して半導体処理工程においてロボットアームをトレーニングすることを含む。処理システムを半導体処理システムとすることができる。
他の局面では、本明細書で開示するシステムは、処理システム、処理システム内に配置されている加工中の製品、加工中の製品に接続されているセンサを含み、センサは、加工中の製品に隣接する状態を検出し、センサがその状態に関する信号を提供する。
加工中の製品を半導体ウェハとすることができる。加工中の製品を半導体ウェハの形状に構成することができる。センサを、近接センサ、容量性センサ、光学センサ、温度計、圧力センサ、化学センサ、放射線検出器、磁気センサの少なくとも1つとすることができる。システムは、センサからのデータを無線周波数で送信する送信機を含む。加工中の製品は、処理システムに対するデータ接続を有する。センサは処理システムの造作に対する加工中の製品の接近を検出する。ロボットアームは、センサからのデータを利用して、半導体処理工程を実施するようにトレーニングされる。処理システムを半導体処理システムとすることができる。
一局面では、本明細書で開示するシステムは、真空製造工程のチャンバに維持されている真空内に位置決めされているロボットコンポーネント、ロボットコンポーネントの動作を作動させる1つ又はそれ以上の駆動部を含み、1つ又はそれ以上の駆動部は、真空の外側のモータ駆動ハードウェアを含む。
モータ駆動ハードウェアは、1つ又はそれ以上の電線を含む。モータ駆動ハードウェアは1つ又はそれ以上のエンコーダを含む。モータ駆動ハードウェアは1つ又はそれ以上の信号LEDを含む。モータ駆動ハードウェアは1つ又はそれ以上のピックアップを含む。モータ駆動ハードウェアは1つ又はそれ以上のベアリングを含む。モータ駆動ハードウェアは1つ又はそれ以上の磁石を含む。モータ駆動ハードウェアは、リップシール又は磁性流体シールを利用するようにして、真空からシールされている。コンポーネントのガス放出は最少である。システムは、素早くポンプにより真空排気することができる真空ポンプを含む。モータ駆動ハードウェアの有用性は、加工モジュール内の真空を開放することなく、モータ駆動ハードウェアへ接近することが可能であることにより改善される。モータ駆動ハードウェアはロボット駆動部を含む。モータ駆動ハードウェアを加工モジュールの外側とすることができる。モータ駆動ハードウェアを、真空内に最少の表面が存在するように位置決めすることができる。最少の材料を利用することによりガス放出が最少となる。真空の外側にモータ駆動ハードウェアを位置決めすることにより、ポンプによるより迅速な排気がもたらされる。システムは、ロボットコンポーネントに関する少なくとも1つの駆動部凹所を含む。真空は、駆動部凹所内に維持される。駆動部凹所の容積は小さい。
本明細書で開示する真空に基づく半導体処理システムのロボットを駆動するシステムは、ロボットに関する駆動シャフトに回転駆動力をもたらす駆動カートリッジ、回転シールユニットを含み、回転シールユニットは真空の外側の駆動カートリッジをシールし、一方、駆動シャフトは真空内に配置されている。
駆動カートリッジは、それぞれが積分エンコーダ、ベアリング、磁石を有する一対の駆動カートリッジを含む。回転シールユニットは同心のマルチシャフト回転シールユニットである。回転シールユニットにリップシールを利用することができる。回転シールユニットに磁性流体シールを利用することができる。駆動カートリッジは、移動可能及び交換可能とする駆動シャフトに結合されている。
一局面では、本明細書に開示する方法は、真空製造工程のチャンバ内に維持されている真空内にロボットコンポーネントを位置決めし、ロボットコンポーネントの動作を作動する1つ又はそれ以上の駆動部を真空の外側に位置決めし、1つ又はそれ以上の駆動部がモータ駆動ハードウェアを含み、真空シールインタフェースを介して1つ又はそれ以上の駆動部にロボットコンポーネントを結合することを含む。
モータ駆動ハードウェアは、1つ又はそれ以上の電線、1つ又はそれ以上のエンコーダ、1つ又はそれ以上の信号LED、1つ又はそれ以上のピックアップ、1つ又はそれ以上のベアリング、並びに/あるいは1つ又はそれ以上の磁石を含む。モータ駆動ハードウェアは、リップシール又は磁性流体シールを利用するようにして真空からシールされている。コンポーネントのガス放出は最少である。真空ポンプは、素早くポンプによる真空排気を行うことができる。モータ駆動ハードウェアの有用性は、加工モジュール内の真空を開放することなく、モータ駆動ハードウェアへ接近することが可能であることにより改善される。モータ駆動ハードウェアはロボット駆動部を含む。モータ駆動ハードウェアを加工モジュールの外側とすることができる。モータ駆動ハードウェアを、真空内に最少の表面が存在するように位置決めすることができる。最少の材料を利用することにより最少のガス放出を達成することができる。真空の外側にモータ駆動ハードウェアを位置決めすることにより、ポンプによるより迅速な排気がもたらされる。方法は、ロボットコンポーネントに関する少なくとも1つの駆動部凹所を設けることを含む。真空は、駆動部凹所内に維持される。駆動部凹所の容積は小さい。
本明細書で開示されるシステムは、真空製造工程のチャンバ内に維持されている真空内に位置決めされているロボットコンポーネント、モータ駆動ハードウェアの真空の外側の1つ又はそれ以上のコンポーネント、モータ駆動ハードウェアの1つ又はそれ以上のコンポーネントにロボットコンポーネントを結合するための結合手段を含む。
本明細書で開示する半導体製造システムは、垂直に積層されている複数のロードステーション、垂直に積層されている複数の加工モジュールを含む。
垂直に積層されている4つ又はそれ以上のロードステーションを設けることができる。複数の垂直積層ロードステーションの1つが、複数の垂直積層加工モジュールの1つ又はそれ以上を含む製造工程に与えられる。複数の垂直積層ロードステーションの1つが製造工程に供給され、複数の垂直積層ロードステーションの第2のものが装填される。複数の垂直積層ロードモジュールの装填は、最小の待機時間となるように調整されている。複数の垂直積層加工モジュールは、システムに対する設置面積を減少させるように配列されている。少なくとも1つのロボットが、垂直積層ロードステーションの任意の1つに接近可能である。システムは複数の垂直積層出口ステーションを含む。
少なくとも1つのロボットコンポーネントが、垂直積層出口ステーションの任意の1つに接近可能である。少なくとも1つのロボットコンポーネントが、1つより多い垂直積層工程モジュールに接近可能である。少なくとも1つのロボットコンポーネントが、1つより多い水平方向で隣接する加工モジュールに接近可能である。システムは、2つの水平方向で隣接する加工モジュールの間に少なくとも1つの保持ステーションを含む。システムは1つ又はそれ以上の垂直積層中間入り口ステーションを含む。システムは、1つより多い垂直積層中間入り口ステーションに接近可能な少なくとも1つのロボットコンポーネントを含む。加工中の製品は、隣接する加工モジュールの複数の異なる経路を介して移動する。複数の垂直積層加工モジュールは、真空に基づく1つ又はそれ以上の加工モジュールを含む。システムは、半導体製造工程の入り口点又は出口点の少なくとも1つに近接して配置されている複数の垂直積層ロードロックを含む。複数の垂直積層加工モジュールは、実質上線形構成で配列されている。システムは、複数の垂直積層加工モジュール間で加工中の製品を移動する1つ又はそれ以上のロボットアームを含む。システムは、上部ロボットアームセット及び下部ロボットアームセットの少なくとも一方を含む。1つ又はそれ以上のロボットアームの少なくとも1つは、複数の垂直積層工程モジュールの1つの上部工程モジュール及び、複数の垂直積層工程モジュールの1つの下部工程モジュールに接近するように垂直に移動する。複数の垂直積層工程モジュールの少なくとも1つは、垂直に積層されている2つより多い工程モジュールを含む。
本明細書で開示する方法は半導体製造工程における加工モジュールを準備する方法であり、その方法は、複数の加工モジュールを設け、それらが水平方向で隣接するように、複数の加工モジュールの少なくとも2つを配列し、それらが垂直方向で隣接するように、複数の加工モジュールの少なくとも2つを配列することからなる。
4つ又はそれ以上の垂直積層ロードステーションを設けることができる。複数の垂直積層ロードステーションの1つが、複数の垂直積層加工モジュールの1つ又はそれ以上を含む製造工程に与えられる。複数の垂直積層ロードステーションの1つが製造工程に供給され、複数の垂直積層ロードステーションの第2のものが装填される。複数の垂直積層ロードステーションの装填は、最小の待機時間となるように調整されている。複数の垂直積層加工モジュールは、システムに対する設置面積を減少させるように配列されている。少なくとも1つのロボットコンポーネントが、垂直積層ロードステーションの任意の1つに接近可能である。方法は、複数の垂直積層出口ステーションを設けることを含む。少なくともロボットコンポーネントが、垂直積層出口ステーションの任意の1つに接近可能である。少なくとも1つのロボットコンポーネントが1つより多い垂直積層工程モジュールに接近可能である。少なくとも1つのロボットコンポーネントが1つより多い水平方向で隣接する加工モジュールに接近可能である。
方法は、2つの水平方向で隣接する加工モジュール間に少なくとも1つの保持ステーションを設けることを含む。方法は、1つ又はそれ以上の垂直積層中間入り口ステーションを設けることを含む。少なくとも1つのロボットコンポーネントが、1つより多い垂直積層中間入り口ステーションに接近可能である。加工中の製品は、隣接する加工モジュールの複数の異なる経路を介して移動する。複数の垂直積層加工モジュールは、真空に基づく1つ又はそれ以上の加工モジュールを含む。方法は、半導体製造工程の入り口点又は出口点の少なくとも1つに近接して配置されている複数の垂直積層ロードロックを設けることを含む。複数の垂直積層加工モジュールは、実質上線形構成で配列されている。方法は、複数の垂直積層加工モジュール間で加工中の製品を移動する1つ又はそれ以上のロボットアームを設けることを含む。1つ又はそれ以上のロボットアームは、上部ロボットアームセット及び下部ロボットアームセットの少なくとも一方を含む。1つ又はそれ以上のロボットアームの少なくとも1つは、複数の垂直積層工程モジュールの1つの上部工程モジュール及び、複数の垂直積層工程モジュールの1つの下部工程モジュールに接近するように垂直に移動する。複数の垂直積層工程モジュールの少なくとも1つは、垂直に積層されている2つより多い工程モジュールを含む。
本明細書で開示するウェハ製造方法は、周囲の温度より実質上高い動作温度を有する加工モジュールを設け、加工モジュール内に導入されるウェハを受容し、ウェハは周囲温度に近い温度を有し、動作温度に近い温度にまでウェハを加熱することを含む。
ウェハを加熱することは、加工モジュールに移送する前に、周囲ステーション内でウェハを加熱することを含む。方法は、さらに、加工モジュールを含む製造モジュールからウェハを取り出す前に、周囲温度に近い温度にまでウェハを冷却することを含む。ウェハを冷却することは、ウェハが製造工程から取り出される際、ウェハ上の凝縮を防止する温度にまでウェハを冷却することを含む。方法は、マテリアルハンドラーによってウェハを処理する前に、マテリアルハンドラーを予熱することを含む。ウェハを加熱することは、ウェハが加工モジュールに導入される際、ウェハの表面上の凝縮が防止される温度にまでウェハを加熱することを含む。ウェハを加熱することは、加工モジュールのポンプによる真空引きの間、ウェハを加熱することを含む。ウェハを加熱することは、加工モジュールのポンプによる急速な真空引きの間、ウェハの表面上の凝縮が防止される温度にまでウェハを加熱することを含む。ウェハを加熱することは、予熱されたマテリアルハンドラーを介して熱を適用することによってウェハを加熱することを含む。方法は、ウェハを処理するマテリアルハンドラーの温度を制御することによって、ウェハの冷却を制御することを含む。
本明細書で開示するウェハの製造システムは、周囲の温度よりも実質上高い動作温度を有する加工モジュール、周囲温度に近い温度を有し、加工モジュール内に導入されるウェハ、動作温度に近い温度にまでウェハを加熱する加熱手段を含む。
他の局面では、本明細書で開示するウェハ製造システムは、周囲の温度よりも実質上高い動作温度を有する加工モジュール、加工モジュール内にウェハを導入する前に、動作温度に近い温度にまでウェハを加熱するマテリアルハンドラーを含む。
ウェハを加熱することは、加工モジュールに移送する前に、予熱ステーション内でウェハを加熱することを含む。システムは、加工モジュールを含む製造モジュールからウェハを取り出す前に、周囲温度に近い温度にまでウェハを冷却する冷却手段を含む。ウェハを冷却することは、ウェハが製造工程から取り出される際、ウェハ上の凝縮を防止する温度にまでウェハを冷却することを含む。マテリアルハンドラーは、ウェハを処理する前に予熱される。ウェハが加工モジュールに導入される際、ウェハの表面上の凝縮が防止される温度にまでウェハは加熱される。加工モジュールのポンプによる真空引きの間、ウェハは加熱される。加工モジュールのポンプによる急速な真空引きの間、ウェハの表面上の凝縮が防止される温度にまでウェハは加熱される。予熱されたマテリアルハンドラーを介して熱を適用することによってウェハは加熱される。実施形態では、ウェハ自身を加熱するヒーターによってウェハを加熱することができる。このヒーターは、ウェハのヒーターから独立して加熱されるロードロックに組み込まれ、又は含まれている。このやり方によって、ロードロックチャンバ(ポンプによる排気の間、主として凝縮の影響を受ける)及びウェハの予熱や後の冷却を独立して制御することができる。ロードロックは大きな熱容量を有し、それによって所望の温度への変化に対してただ緩やかに反応する。ウェハのヒーターは、非常に小さな熱容量を生じ、したがって、例えばポンプによる排気の間、300℃にヒーターを設定し、ガス抜きの間、80℃に設定することができる。実施形態では、ウェハを処理するマテリアルハンドラーの温度を制御することによって、ウェハを冷却することができる。
他の局面では、本明細書の開示は、真空に基づく半導体処理システムへ品物を送り、そこから取り出すためのロードロックを設け、ロードロックを加熱することを含む半導体処理方法である。方法は、ロードロックのポンプによる排気の間、ロードロックを加熱することを含む。ロードロックは、約50℃〜約100℃に加熱される。ロードロックは約10℃〜約200℃に加熱される。
本明細書で開示する半導体処理システムは、真空に基づく半導体処理システムへ品物を送り、そこから取り出すためのロードロック、ロードロックを加熱するための加熱手段を含む。ロードロックのポンプによる排気の間、ロードロックは加熱される。ロードロックは、約50℃〜約100℃に加熱される。路度ロックは約10℃〜約200℃に加熱される。
他の局面では、本明細書で開示するシステムは、半導体製造工程で材料を処理するコンポーネントを含み、そのコンポーネントは、コンポーネント内の共振の伝搬を軽減する不均一な断面を定めるテーパを有する。
コンポーネントはエンドエフェクターを含む。エンドエフェクターの上面は平坦である。エンドエフェクターの底面にはテーパーが付いている。エンドエフェクターは鋳込材料から形成されている。テーパーは、エンドエフェクターを構築するの使用される鋳込材料に対して鋳込むことが意図されている。コンポーネントをロボットアームとすることができる。コンポーネントをロボットアームのリンクとすることができる。システムは、複数のテーパの付いたリンク、テーパの付いたリンクが重なる際、テーパの付いたリンクの厚みが最小となるような仕方でテーパの付けられている少なくとも2つのリンクを含む。コンポーネントは、エンドエフェクター及びロボットアームのそれぞれにテーパが付けられているエンドエフェクター及びロボットアームを含む。
他の局面では、本明細書で開示する半導体処理方法は、半導体ウェハを処理するエンドエフェクターを設け、エンドエフェクターの共振を低減するようにエンドエフェクターにテーパを付けることを含む。方法は、アルミニウムシリコンカーバイドからなるエンドエフェクターを構成することを含む。
他の局面では、本明細書で開示する半導体処理方法は、ロボットアーム設備を設け、ロボットアーム設備の振動を弱めることができるように、ロボットアーム設備の少なくとも1つのリンクにテーパを付けることを含む。方法は、アルミニウムシリコンカーバイドからロボットアームの少なくとも1つのリンクを構成することを含む。
本明細書で開示する半導体処理方法は、軸に沿って複数のロボットアーム及び複数の加工モジュールを位置決めし、複数のロボットアームの第1のものから複数のロボットアームの第2のものに加工中の製品を引き渡すことによって、複数の加工モジュール間で加工中の製品を移動させることを含む。
軸を直線とすることができる。軸は曲線をなしてもよい。軸を実質上U字型に形成することができる。複数のロボットアームはスカラアームを含む。複数のロボットアームは4リンクスカラアームを含む。複数のロボットアームは3リンクスカラアームを含む。複数のロボットアームは、それぞれが垂直方向に配置されている2つのロボットアームを含む連関したロボットアーム対を含む。
本明細書で開示する半導体処理システムは、軸に沿って配列されている複数のロボットアーム及び複数の加工モジュール、複数のロボットアームの第1のものから複数のロボットアームの第2のものに加工中の製品を引き渡すことによって、複数の加工モジュール間で加工中の製品を移動させる引き渡し手段を含む。
本明細書で開示するような半導体処理の方法は、加工中の製品を処理するための第1のロボットアームを設け、加工中の製品を処理するための、第1のロボットアームに対して実質上垂直方向に位置する第2のロボットアームを設けることを含む。
方法は、第1のロボットアームを第2のロボットアームに機械的に結合することを含む。方法は、第1のロボットアームを第2のロボットアームから機械的に解放することを含む。第1のロボットアーム及び第2のロボットアームの少なくとも一方がスカラアームである。第1のロボットアーム及び第2のロボットアームの少なくとも一方が4リンクスカラアームである。第1のロボットアーム及び第2のロボットアームの少なくとも一方が3リンクスカラアームである。
本明細書で開示する半導体処理システムは、加工中の製品を処理するための、加工モジュール内で位置決めされている第1のロボットアーム、加工中の製品を処理するための、第1のロボットアームに対して実質上垂直方向の位置で加工モジュール内で位置決めされている第2のロボットアームを含む。
第1のロボットアームは第2のロボットアームに機械的に結合されている。第1のロボットアームを第2のロボットアームから機械的に解放することができる。第1のロボットアーム及び第2のロボットアームの少なくとも一方がスカラアームである。第1のロボットアーム及び第2のロボットアームの少なくとも一方が4リンクスカラアームである。第1のロボットアーム及び第2のロボットアームの少なくとも一方が3リンクスカラアームである。
本明細書で開示するシステムは、ロボット駆動部、品物を扱うためのエンドエフェクター、ロボット駆動部機構をエンドエフェクターに接続するロボットアーム、エンドエフェクターがロボット駆動部の制御下で実質上直線方向で移動するように、4つ又はそれ以上のリンクを互いに機械的に結合する1つ又はそれ以上の連結部を含む。
各リンクは、ロボットアームの束縛に対する到達距離の比を最適化するように選択された長さを有する。各リンクは、処理システムの隣接するコンポーネントと衝突することを回避するように選択された長さを有する。システムは、ロボット駆動部の動作を制御する制御器を含む。制御器を遠隔制御器とすることができる。制御器は、視覚化ソフトウェアプログラムと一体化されている。制御器は1つより多いロボットアームを制御する。エンドエフェクターに近接するロボットアームのリンクは、アームが折り畳めるように、ずれたリスト部を含む。ロボットアームは、切欠を有する少なくとも1つのリンクを含み、少なくとも1つの他のリンクが折り畳み可能となる。ロボットアームの少なくとも2つの連続したリンクが、垂直方向の隙間をもって積層され、それによってロボットアームの少なくとも1つの他のリンクが少なくとも2つの連続したリンクの間の垂直方向の隙間の内に折り畳み可能となる。このシステムはリンク間に少なくとも1つの迂回する細長い薄板を含む。
本明細書で開示する方法は、ロボット駆動部、品物を扱うためのエンドエフェクター、ロボット駆動部機構をエンドエフェクターに接続するロボットアームを設け、ロボットアームが4つ又はそれ以上のリンクを含み、エンドエフェクターがロボット駆動部の制御下で実質上直線方向で移動するように、4つ又はそれ以上のリンクを互いに機械的に相互結合することを含む。
各リンクは、ロボットアームの束縛に対する到達距離の比を最適化するように選択された長さを有する。各リンクは、処理システムの隣接するコンポーネントと衝突することを回避するように選択された長さを有する。方法は、制御器によってロボット駆動部の動作を制御することを含む。制御器は、視覚化ソフトウェアプログラムと一体化されている。制御器は1つより多いロボットアームを制御する。エンドエフェクターに近接するロボットアームのリンクは、アームが折り畳めるように、ずれたリスト部を含む。ロボットアームは、切欠を有する少なくとも1つのリンクを含み、少なくとも1つの他のリンクが折り畳み可能となる。ロボットアームの少なくとも2つの連続したリンクが、垂直方向の隙間をもって積層され、それによってロボットアームの少なくとも1つの他のリンクが少なくとも2つの連続したリンクの間の垂直方向の隙間の内に折り畳み可能となる。ロボットアームが処理システムのコンポーネントと衝突することなく、所定の移送平面に到達可能であるように、ロボットアームの少なくとも2つの連続したリンクは垂直方向の隙間をもって積層されている。この方法はリンク間で少なくとも1つの迂回する細長い薄板を設けることを含む。
本明細書で開示するシステムは、実質上直線的なトラックの周囲に配置されている半導体製造工程に対する複数の工程モジュール、直線的なトラックと移動可能に結合され、直線的なトラックに沿って移動するように構成されているカート、複数の工程モジュールの間で加工中の製品を扱うための、カートに配置されているロボットアームを含む。
ロボットアームはスカラアームを含む。ロボットアームは4リンクスカラアームを含む。ロボットアームは3リンクスカラアームを含む。
本明細書で開示する半導体処理システムは、装填端部及び出口端部を有する実質上線形配列で配置されている真空加工システム、出口端部から装填端部に品物を戻すための非真空帰路システムを含む。
非真空帰路システムは、真空加工システムの上方に配置されている。非真空帰路システムは真空加工システムの下方に配置されている。非真空帰路システムは真空加工システムの脇に配置されている。非真空帰路システムは、真空加工システムの内部に配置されている。非真空帰路システムは、出口端部にロードロックを含み、非真空帰路システムに真空加工システムから品物が移動される。非真空帰路システムは、出口端部から装填端部へ品物を移動させるための、摺動機構及び把持部を含む。
真空加工システムは、複数の加工モジュールを含む。真空加工システムは、加工モジュールの間で品物を移動する1つ又はそれ以上のロボットアームを含む。システムは、複数のロボットアームの第1のものから複数のロボットアームの第2のものに品物を引き渡すことにより品物を移動する複数のロボットアームを含む。複数のロボットアームはスカラアームを含む。複数のロボットアームは4リンクスカラアームを含む。複数のロボットアームは3リンクスカラアームを含む。複数のロボットアームは、互いに対して垂直に配置されている少なくと一対の連関したロボットアームを含む。複数の加工モジュールは、2つ又はそれ以上の要因によって設置面積が変化する。システムは、複数の線形半導体処理システムを含む半導体製造設備を含み、複数の線形半導体処理システムの装填端部が半導体処理設備の通路部と対向するように、複数の線形半導体処理システムは隣り合わせで配列されている。
本明細書で開示する半導体製造設備は、半導体ウェハを受容する少なくとも1つの転倒式把持部を含み、この転倒式把持部は一対の把持モジュールを含み、各把持モジュールは半導体ウェハの一対の平行な端部の1つを受容するように構成され、各把持モジュールは、把持モジュールの水平部分が平面において半導体ウェハを支持し、把持モジュールの垂直部分が垂直面で半導体ウェハが移動することを妨げる位置に、半導体ウェハを受容する。
本明細書で開示するような半導体ウェハの処理方法は、半導体ウェハを保持するエンドエフェクターを設けることを含み、エンドエフェクターは平面で半導体ウェハを支持するとともに、平面で半導体ウェハが移動することを妨げるように構成されている受容スロットを含み、エンドエフェクターは、半導体ウェハがエンドエフェクター上に配置されると、受容スロット内に半導体ウェハを滑らせるように構成されている傾斜部を含む。
本明細書で開示するような半導体処理システムは、複数のロボットアームを含み、複数のロボットアームの少なくとも2つは共通駆動設備を共有する。複数のロボットアームの少なくとも2つはスカラアームである。複数のロボットアームの少なくとも2つは、独立して動作され、又は従属的に動作される。
他の局面では、本明細書で開示する半導体処理システムは、フロッグレッグアーム構成を有するロボットアームを含み、フロッグレッグアーム構成は少なくとも二対のフロッグレッグアームを含む。
本明細書で使用するように、「ロボット」は、機械的機能及び制御機能を含む任意の種類の公知のロボット又は同様の装置や設備を含み、制御器、処理装置、コンピューター、もしくは同様の設備の組み合わせ、一組のモータもしくは同様の設備、1つ又はそれ以上のレゾルバ、エンコーダもしくは同様の設備、アーム、ホイール、レッグ、リンク、爪、伸張器、把持部、ノズル、吸入器、エフェクター、アクチュエーターなどのような1つ又はそれ以上の機械的もしくは作動可能な設備、並びにそれらの任意の組み合わせを含む。一実施形態はロボットアームである。
本明細書で使用するように、「駆動部」は、動作を引き起こす駆動機構又は設備の任意の形態を含む。実施形態では、ロボットのモータ/エンコーダ部分を含む。
本明細書で使用するように、「軸」は、アーム部材のような機械的な部材に、リンク装置、ベルトもしくは同様の設備を介して機械的に接続されているモータ又は駆動部を含む。「N軸駆動」はN個の軸を含む駆動を含み、例えば「二軸駆動」は2つの軸を含む駆動である。
本明細書で使用するように、「アーム」は、受動的又は能動的な(モータ/エンコーダを含む意味で)リンク装置を含み、1つ又はそれ以上のアームもしくはレッグ、ベアリング、処理される材料を保持し又は把持するための1つ又はそれ以上のエフェクターを含む。
本明細書で使用するように、「スカラアーム」は、当業者に公知の1つ又はそれ以上の形態の、水平多関節型(SCARA)ロボットアームを意味し、駆動部に接続されている1つ又はそれ以上の上部リンク、駆動部の一部であるモータにベルト又は機構を介して接続されている1つ又はそれ以上の下部リンク、エンドエフェクター又はアクチュエータのような1つ又はそれ以上のエンドユニットからなるアームを含む。
本明細書で使用するように、「回転半径」は、完全に縮んだ場合に適合するアームの半径を意味する。
本明細書で使用するように、「到達距離」は、ロボットアームに関して、そのアームが完全に伸張した際に得られる最大の距離を含む。十分完全に伸張しない(実施形態では、制御することが困難となる最大伸張において左/右の特異性が存在する)アームを制御することがより容易であるために、通常、機械的な制限は実際の有効到達距離よりもさらに小さい。
本明細書で使用するように、「束縛」は、アームが最適に縮められる際、アーム/エンドエフェクター/材料の周囲に描かれる仮想的な円が最小半径を有するような状況を意味する。
本明細書で使用するように、「束縛に対する到達距離の比」は、ロボットアームに関して、最初の束縛に対する最大の到達距離の比を意味する。
本明細書で使用するように、「ロボットとロボットの」距離は、2つの異なるロボット駆動部の機械的な回転の中心軸間の水平方向の距離を含む。
本明細書で使用するように、「スロット弁」は、ロボットアームが通過可能(真空チャンバのポンプによる排気を制御する真空(遮断)弁とは対照的に)であるように開閉する長方形の弁を含む。例えば半導体製造装置材料協会のE21.1-1296標準(半導体製造に関する公開標準)、特定の半導体製造工程モジュールでは、300 mmのウェハに対するスロット弁は、開口の幅が336mm、開口の高さが50 mmであり、弁の全厚みが60 mmであり、また標準は、取り付けボルト及び位置合わせピンを指定している。
本明細書で使用するように、「移送平面」は、材料が、ロボットチャンバから加工モジュールチャンバへスロット弁を介して通過する平面(高さ)を含む。半導体製造装置に関する半導体製造装置材料協会のE21.1-1296標準によって、移送平面は、スロット弁の中心線の上方14mmである。
本明細書で使用するように、「部分」は、1つ又はそれ以上のロボット駆動部をその中に有する真空チャンバを含む。これは線形システムにおいて、最小の再現可能な構成要素である。
本明細書で使用するように、「リンク」は、ロボットアームの機械的な部材を含み、他のリンク、エンドエフェクター、ロボット駆動部に両端が接続される。
本明細書で使用するように、「L1」、「L2」、「L3」などは、駆動部から始まりエンドエフェクターまでのアームリンクの番号を含む。
本明細書で使用するように、「エンドエフェクター」は、ロボット駆動部から遠位の、かつロボットアームが作用する品物に近接するロボットアームの動作端部の要素を含む。エンドエフェクターを、材料を受動的又は能動的に保持して、半導体工程又はロボットアームの端部に配置されている他のアクチュエータに移送するロボットの手部とすることができる。
本明細書で使用するように、用語「スカラアーム」は、1つ又はそれ以上のリンクを含み、エンドエフェクターを含むことがあるロボットアームに関連し、制御下のアームは目標を固定するように、直線的に移動可能である。スカラアームは、3つ、4つ、それ以上のような種々の数のリンクを有する。本明細書で使用するように、「3リンクスカラアーム」は、リンク1(L1)、リンク2(L2)、エンドエフェクターの3つの部材を有するスカラロボットアームを含む。3リンクスカラアームに対する駆動部は、通常、L1に接続されているもの、ベルトシステムに対するものであって、プーリを介してエンドエフェクターに接続されているもの、Z(昇降)モータの3つのモータを有する。エンドエフェクターに第4のモータを接続することができ、3つのモータのみでは不可能な何らかの例外的な動作を可能とする。
本明細書で使用するように、「デュアルスカラアーム」は、共通の駆動部に随意的に接続されている2つのスカラアーム(2つの3リンク又は4リンクスカラアーム(一般的にA及びBと示される)のような)の組み合わせを含む。実施形態では、2つのスカラアームは、互いに完全に独立し、又は共通のリンク部材L1を共有する。通常、独立したデュアルスカラアームに対する駆動部は、L1-Aに接続されているもの、L1-Bに接続されているもの、アームAのベルトシステムに接続されているもの、アームBのベルトシステムに接続されているもの、共通Z(昇降)モータの5つのモータを有する。通常、従属的なデュアルスカラアームに対する駆動部は、アームA及びB双方に対する共通共用リンクL1を有し、一般に、共通リンクL1に接続されているもの、アームAに対するベルトシステムに接続されているもの、アームBに対するベルトシステムに接続されているもの、共通のZ(昇降)モータの4つのモータを含む。
本明細書で使用するように、「4リンクスカラアーム」は、L1、L2、L3、エンドエフェクターの4つの部材を有するアームを含む。4リンクスカラアームに対する駆動部は、L1に接続されているもの、L2及びL3に接続されているベルトシステムに対するもの、エンドエフェクターに対するもの、Zモータの4つのモータを有する。実施形態では、3つのモータのみが必要とされ、それらは、L1に接続されているもの、L2、L3、エンドエフェクターに接続されているベルトシステムに接続されているもの、Zモータである。
本明細書で使用するように、「フロッグレッグ型アーム」は、L1A、L1B、L2A、L3B、エンドエフェクターの5つの部材を有するアームを含む。フロッグレッグアームに対する駆動部は、ギアなどを利用してL1Bに機械的に接続されているL1Aに接続されているもの、全体のアームアセンブリを回転するタレットに接続されているもの、Zモータの3つのモータを有する。実施形態では、駆動部は3つのモータを含み、それらは、L1Aに接続されているもの、L1Bに接続されているもの、Zモータであり、モータ間の連携によって、所望の動作が達成される。
本明細書で使用されるように、「デュアルフロッグレッグ型アーム」は、L1A、L1B、L2A-1、L2A-2、L2B-1、L2B-2、2つのエンドエフェクターの8つの部材を有するアームを含む。第2のリンク部材L2A-1及びL2B-1は単一のフロッグレッグ型アームを形成し、第2のリンク部材L2A-2及びL2B-2もまた単一のフロッグレッグ型アームを形成し、これらは反対方向に対向する。デュアルフロッグアームに対する駆動部を、単一のフロッグアームに対するものと同様とすることができる。
本明細書で使用するように、「リープフロッグレッグ型アーム」は、L1A、L1B、L2A-1、L2A-2、L2B-1、L2B-2、2つのエンドエフェクターの8つの部材を有するアームを含む。第1のリンク部材L1A及びL1Bはそれぞれ、その遠位端部ではなく、実質上その中央にモータの1つが接続されている。第2のリンク部材L2A-1及びL2B-1は単一のフロッグレッグ型アームを形成し、第2のリンク部L2A-2及びL2B-2もまた単一のフロッグレッグ型アームを形成し、これらは反対方向に対向する。デュアルフロッグアームに対する駆動部を、単一のフロッグアームに対するものと同様とすることができる。
本明細者で参照した全ての特許、特許出願、他の文献は、参照することにより、その内容を全て本明細書に組み入れることとする。
図1は、種々の製造装置形式に対する装置構成1000を示す。各形式の製造装置は、化学気相成長工程、エッチング工程などのような種々の工程の間に、半導体ウェハのような品物を処理する。半導体製造工程は、通常、粒子及び揮発性有機化合物のような汚染物に対して極めて敏感であるため、概して、特定の工程に対して充てられる1つ又はそれ以上の工程において、その工程は真空の環境下で行われる。半導体ウェハは、種々の工程の間を、処理システムによって移動され、チップのような最終製品に製造される。処理システムに対して種々の構造1000が存在する。一般的なシステムはクラスターツール1002であり、処理モジュールがロボットアームのような中央の処理システムの周囲に放射状に配置されている。他の実施形態では、実施形態1004のように、処理システムが品物を水平に回転させる。各形式のツールの重要な側面は、「設置面積」又は装置が半導体製造設備において占める面積である。より大きな設置面積は、製造設備において複数の機械装置に対応してより大きな空間を必要とする。また概して、より大きな設置面積は、より大きな真空システムに対する必要性と関係し、その寸法が増大するにつれ、コストが大幅に増加する。構成1004は、「回転盆」設備において品物を回転させる。1006の構成は、品物を処理モジュールに入れたり出したりして移動し、処理モジュールは隣同士に配置されている。構成1008では、中央のロボットが並んだ2つのウェハを処理する点で異なるが、1002と同様の、処理モジュールがクラスター状に位置付けられている。それらの各システムは、クラスターツールと多くの課題を共有し、その課題には、1つのウェハが所与の工程モジュールに入り、他のウェハが出る際に、相当に交換時間が遅れ、しかもシステムを介してますます多くのウェハが移動されると、所与の工程モジュールの真空の環境の清浄度を維持することがかなり困難であるということを含む。
図2は、半導体製造工程において品物を処理する従来のクラスター形式の構成2000を示す。ロボットアーム2004は、そのロボットアーム2004の周囲にクラスター状に位置付けられている種々の工程モジュール2002の間で、ウェハのような品物を移動する。大気基板処理ミニエンバイロメントチャンバ2008は、装置によって処理される材料を受容し、処理が完了したならば、材料を保持する。さらなる工程モジュール2002を付加することの困難さに注意されたい。もう一つのモジュール2002を適合させる可能性があるが、実際的な構成は5つの工程モジュール2002に制限されている。6番目のモジュールを付加することは、装置の有用性、特にロボットアーム2004に影響を与える。
図3A及び3Bは、クラスターツールモジュール、大気ミニエンバイロメント処理チャンバ、真空処理チャンバ、真空に基づく製造工程に対する柔軟な構成システムからの他のコンポーネント3000を示す。所望の工程技術の製造を容易にするために、異なるモジュールをともに組み立てることもできる。例えば所定のチップが、異なる工程モジュールにおいて、異なる化学成分(例えば窒化チタン、タングステンなど)を化学気相成長させる必要があり、さらに他の工程モジュールにおいてエッチングする必要があることもある。異なる工程モジュールにおける一連の工程は独自の最終製品を作り出す。半導体成分の複雑さが増すことから判断すると、製造業者がより多くの工程モジュールを付加することができる柔軟な構成を有することがしばしば望まれる。しかしながら、上記のようなクラスターツールは空間的に制限され、したがってより多くの工程モジュールを付加することが不可能であり、これはより複雑な半導体ウェハを完成するために、第2のクラスターツールに製造を移動することが必要であることを意味する。図3A及び3Bに示すように、クラスターツールは、段階的に真空が遮断されている2つの工程モジュール3002、3つの工程モジュール3004、4つの工程モジュール3006、5つの工程モジュール3008、3010、6つの工程モジュール3012を備えている構成を含む。他のコンポーネントを装置に接続して設けることができる。
図4は、製造工程において、品物を処理する線形加工構成4000の高レベルのコンポーネントを示す。この構成は、直線的に配列されている2つ又はそれ以上の静止ロボット4002を利用する。ロボット4002は、システムの底部に取り付け、又はチャンバの蓋部から下方につり下げ、あるいは同時に底部に取り付け、つり下げることができる。線形システムは、ロボットの周囲で真空チャンバ4012を利用する。システムは、それぞれが直線的に配列されているそれぞれのロボットを含む真空チャンバ4012を備えている複数の接続された真空チャンバ4012からなる。実施形態では、単一の制御器を、構成の1つ又はそれ以上の部分を処理するように調整することができる。実施形態では、真空チャンバ4012の部分が伸張可能であり、すなわち製造業者は、付加的な部分/チャンバ4012を容易に加えることができ、したがってクラスター構成よりも一層容易に工程能力を加えることができる。各部分は独立したロボット駆動部4004及びアーム4002を利用するため、付加的な部分したがってロボットが付加された場合、依然として高い処理能力が維持される。それに反して、クラスターツールでは、製造業者が工程チャンバ2002を付加すると、システムは単一のロボットに対する負荷を増大させ、ロボットが双腕を備えている場合でさえも、結局、ロボットの速度が律速因子となる。実施形態では、システムは、単一の駆動部に付加的なロボットアームを加えることによって、この問題を解決する。他の製造業者は、デュアルスカラ又は双対フロッグレッグのような2つの完全に独立したアームを備えている四軸ロボットを利用している。本明細書で開示する線形システムは、各部分4012がロボットを含んでいるので、各部分4012がクラスターツールよりも材料をより多く移送することができ、ロボットの能力による制限を受けない。
実施形態では、システムのコンポーネントを、実施形態において、コンポーネントのそれぞれを制御する中央制御器とすることができるソフトウェア制御器によって制御することができる。実施形態では、コンポーネントは、ソフトウェアの制御下で、リンク可能な処理システムを形成し、ソフトウェアは各ロボットを制御して材料を他のロボットに、又は次のロボットによって取り上げられるバッファ内に渡す。実施形態では、ソフトウェア制御システムは、そのコンポーネントがシステムに接続されると、工程モジュール又はロボットのような新しいコンポーネントの付加を認識することができ、USB、イーサネット、ファイヤーワイヤー、ブルートゥース、802.11a、802.11a、802.11g又は他のネットワークのようなネットワークにわたってコンポーネントが認識される。このような実施形態では、次のロボット、工程モジュール、他のコンポーネントが接続されるや否や、ウェハのような処理される材料の流れに対するソフトウェアスケジューラは、材料がシステム内の新しいリンクにわたって送られるように、自動的に認識することができる。実施形態では、ソフトウェアスケジューラは、ニューラルネットに基づき、又は規則に基づくスケジューラとすることができる。実施形態では、工程モジュールは、ソフトウェア制御器が接続されている新たな工程モジュール、ロボット、他のコンポーネントを識別するように、そのようなネットワークにわたって、それら自身を識別することができる。新たな工程モジュールが空のファセットに接続されると、システムはそれを認識し、材料処理の流れの中に予定を入れることができる。
実施形態では、ソフトウェアシステムは、ユーザがシステムのシミュレーションを実行することを可能にするインタフェースを含む。インタフェースは、ユーザに種々のリンク、ロボットアーム、他のコンポーネントの相対的配置及び連結を示し、ユーザが、相対的配置を最適化し(種々のコンポーネントを介して材料の流れを移動させ、工程モジュールを移動させ、ロボットを移動させ、などのようにして)、どのような相対的配置を供給者から購入するかが決定される。実施形態では、インタフェースをウェブインターフェースとすることができる。
本明細書で開示する方法及びシステムは、ロボット駆動部の間に最適なバッファステーション4010を利用することが可能である。ロボットは互いに対して直接渡すことができるが、これは技術的に最適化することがより困難であり、また2つのロボットを占有し、それらの双方が同時に渡すことを可能としなくてはならないため、ロボットの準備ができると、他のロボットが取り上げることが可能な、ロボットの間のダミー位置4010に配置される場合よりも一層制限される。またバッファ4010は、システムが両方のロボットを利用可能とするために待機する必要がないため、より高い処理能力を可能とする。さらにまたバッファ4010は、加熱、冷却、位置合わせ、検査、計測、試験、清浄のようなウェハにいくつかの細かな工程を実施するのに好機をもたらす。
実施形態では、本明細書に開示する方法及びシステムは、ロボット領域/部分4012の間に随意的な真空遮断弁4006を利用する。各部分4012は、他の部分4012から完全に分離可能である。ロボットが、その部分4012内で極清浄であり敏感な材料(例えばウェハ)を処理する場合に、さらにシステムの残りの部分からその部分4012を分離することによって、清浄な部分4012に対する汚染された部分4012からの二次汚染を防ぐことができる。また製造業者は、さらに、異なる圧力で、部分4012を動作させることができる。製造業者は、真空度を段階的にすることができ、機械装置内の真空がさらに上がる。部分4012間に真空遮断弁4006を利用することの大きな利点は、極微に清浄なウェハ(清浄ステップの後に生成され、工程モジュールの間で、周囲環境からの汚染のない移送を必要とする)を処理することが、システムの他の一部において材料又はウェハからの気体放出なしに、分離されているチャンバ部分4012に入ることを可能とすることにある。
実施形態において、ロボット間の真空の遮断は、バッファモジュール4010、ミニ工程モジュール、検査モジュール4010を利用することのように、ロボット間の材料バッファリングとして可能である。
図5は、図4と同様の線形構成を備えているような線形加工システム4000の平面図である。
ロボットの異なる形状が半導体製造装置内で利用可能であり、図4又及び5と関連して開示するような線形加工機械装置又はクラスターツールのどちらかである。
図6は、3リンクスカラアーム6002及び4リンクスカラアーム6004を示す。3リンク又は4リンクアーム6002、6004は、ロボット駆動部によって駆動される。3リンクアーム6002は一般的に業界で使用されている。3リンクスカラアーム6002が利用される場合、システムは、束縛に対する到達距離の比が非常によいわけではなく、最適化されていない。したがって真空チャンバはより大型化される必要があり、真空チャンバの寸法とともにコストが格段に上昇するので、3リンクスカラアーム6002を有することによって、システムのコストが増大し得る。またシステムの全設置面積が、4リンクスカラアーム6004よりも小さい。場合によって、製造業者は工程モジュール内で大きく深く渡すことを望むことがあり、4リンクアーム6004は、その束縛比をさらに大きく越えて到達する。これはいくつかの半導体製造装置材料協会標準ではない工程モジュールにおいて利点を有する。また製造業者が部分間の大きな距離の範囲にわたることを望む場合に利点を有する。
4リンクアーム6004は、3リンクスカラアーム6002よりも一層小さな束縛比をもって折り畳まれて有利であるが、同じ束縛直径に対して従来の3リンクスカラ6002よりもさらに遠くまで到達する。システムの上部に取り付けられている第2の4リンクアーム6004と第2の駆動部を有することができることの組み合わせにおいて、工程モジュールにおいて高速の材料交換が可能となる。4リンクスカラアーム6004を、例えば図示するような静止駆動部の上部に、又は回転運動を伝達し、アームもしくはベルトを作動する移動カートの上部に取り付けることができる。どちらの場合にも、4リンクアームは、随意的に第2の4リンクアーム6004とともに、開口の端部に衝突させることなく、小さな開口を通過させることができる小型で遠くまで到達するアームを提供することができる。
図7は、4リンクスカラアーム7004の到達距離及び束縛の特徴を示す。実施形態において、4リンクスカラアーム7004のリンクの長さは、いくつかの他のシステムのような束縛に対する到達距離の比の最適化によって制約されない。束縛に対する到達距離の比の最適化は、長すぎる第2のアーム部材につながる。そのアームが、実際的な採用束縛直径の近くに位置付けられているスロット弁を通過する場合、第2のアーム部材はスロット弁内側端部に衝突することとなる。したがって第2(及び第3)のリンクは、アームが通過するように設計されているスロット弁との衝突を回避することに基づいて寸法決めされている。これは結果として、L1、L2、L3の間で非常に異なる比を生じる。L2の長さは、L3の長さを束縛する、最適なアーム長さに対する方程式は、繰り返し解の影響を受ける四次方程式である。
図8は、制御器8004、駆動部/モータ8008、アーム8010、エンドエフェクター8012、処理される材料8014を含むロボットシステム8002に対する高レベルのコンポーネントを示す。
図9は、処理システムで利用されるロボットアームシステムに対するデュアルアーム9002構成のコンポーネントを示す。一方のアームが底部9004に取り付けられ、他方のアームが上部9008に取り付けられている。実施形態では、双方とも4リンクスカラアームである。上部に第2のアームを取り付けることが有利である。いくつかの他のシステムでは、アームがチャンバの上部を介して取り付けられている駆動部に接続されているが、上方及び下方の駆動部は、通常、機械的に結合されている。実施形態では、図4及び5に関連して開示する線形システムの2つの駆動部間で機械的な接続はなく、代わりに、2つのアーム調整(衝突を防止する)がソフトウェアシステム又は制御器においてなされる。処理量の理由に対して必要であるならば、第2の(上部)アーム9008を、随意的に含むことができる。
他の特徴は、従来のスカラアームと同様に、2つのみのモータにあり、4リンクアームを駆動するのに必要とされる。アーム内のベルトは平行を維持する。平行又は他の協調運動は、例えばベルトの代わりに平行な棒を利用して達成することもできる。一般的に、2つのモータのみを利用することによって、コストにおける相当の利点がもたらされる。同時に、3つのモータは、最後の(L4)リンクを独立して操作することができるが、しかしながら付加的なベルト、ベアリング、連結部、シャフト、モータによりシステムはより高価になる。さらに、余分なベルトは、アーム機構に相当な厚みを付加し、アームが(半導体製造装置材料協会標準の)スロット弁を通過することを困難にする。また一般的に、より少ないモータを利用することによって、関連する制御ソフトウェアが単純になる。
本明細書で開示する4リンクスカラアームの他の特徴は、リスト部が中心線からずれていることにある。理想的なシステムは、上部取り付け9008、及び底部9004取り付け4リンクアームを有するが、アーム部材の垂直方向の配列は、製造業者が半導体製造装置材料協会標準にしたがわなければならないのであれば、順守することが困難である。一言でいえば、これらの標準は寸法を特定し、工程モジュール内にスロット弁4006を介して必要なものを到達させる。またウェハが運ばれる中心線上のレベルを特定する。多くの存在する工程モジュールが、この標準に適合している。適合しないシステムでは、開口の寸法が、わずかに異なるとともに移送平面を画定するが、スロット弁4006が非常に小さな形状をなす。半導体製造装置材料協会標準の寸法制限は、アームの非常に小型のパッケージを要求する。ずれたリスト部を利用することによって、上部9008及び底部9004のアームを互いに接近させることができ、それらがスロット弁9006を通過することを容易にする。リスト部がずれていない場合には、アームはさらに垂直方向で離れて支持されていることが必要であり、駆動部が垂直方向でより移動する必要があるために、ウェハの交換により多くの時間がかかる。上部アームの提案される設計は、リスト部のずれを必要としないが、リスト部のずれは、システムの回転半径を有利に減少させ、干渉が生じないようなより良好な機械的なアームの配置をもたらす。
図10は、4リンクスカラアーム6004の到達距離及び束縛の可能性を示す。
図11は、4リンクスカラアーム6004の干渉特性1102を示す。リスト部のずれは、他の仕方で可能であるよりも、より小さな空間でアームを折り畳むことに役立つ。
図12は、4リンクスカラアーム6004の一組のデュアルアームの側面図を示す。特に上部アームのパッケージの制限のために、いくつかの独自の特徴を有するアームを構成する必要がある。実施形態では、一方のリンクが、縮む際に、他方のアームリンクの切欠部に部分的に入る。ベルトを、一方のベルトが切欠の上方12004に、他方のベルトが切欠の下方12008にあるように、単一のベルトではなく二重に配置することができる。これが4リンクアームであるという事実と独立している1つの解法は、L3及びL4が内側に折り畳み可能であるように、L1に垂直方向の隙間を持たせ、L2をわずかに下側12002にすることである。下げられたL2は、L3及びL4が正確な移送平面に達することを可能とし、よりよい束縛比を可能とする。移送平面の画定のために、L2を下げることが必要とされる。
図13は、ベルト及びリンク装置の組み合わせを利用する実施形態を示す。L1 13002及びL3 13006を介した伝達動作は、単一のベルト又は二重のベルト配列のどちらかによって達成することができる。対照的に、L2における動作伝達は、機械的なリンク装置(細長い薄板)13010によって達成される。このような配列の利点は、アームアセンブリの垂直方向の寸法を低減させる閉鎖継ぎ手を利用して、半導体製造装置材料協会標準のスロット弁を介してアームが通過することをより容易にすることにある。
図14は、線形構成14000を有する処理システムに対する外部帰路システムを示す。帰路機構は、随意的に線形真空チャンバの上部に配置される。従来の真空処理システムでは、帰路経路はしばしば往路経路と同じ領域を介している。これは、二次汚染の可能性を広げ、この二次汚染は、処理ステップ介して移動する清浄なウェハが、未だ清浄されていない汚染されたウェハからシステムに進入する残渣によって汚染を受ける際に発生する。またロボット4002に対して、入れる材料及び出る材料を処理することが必要とされ、真空の環境を制御することが困難になる。後部に真空システムが存在し、後部の上部のウェハを前部へ空気のトンネル14012内を移動させることにより、空気の戻りによって装置を比較的安価にすることができ、空気の戻りによって、出る材料を処理するのに真空が必要とされないので、真空のロボット4002の制限が解かれ、空気の戻りは、進入領域の外に清浄な仕上げられた材料を保持することができ、したがって二次汚染の危険性を低下させることができるといった、いくつかの相当な利点が存在する。後部に小さなロードロック14010を採用することによって、いくらかのコストが付加され、空気のトンネル14012とすることができ、真空度及び二次汚染がそれほど重要ではなく、短いシステムにおいては、空気の戻りがわずかな量であるが、多くの一体化された工程ステップを備えている長いシステムにおいては、上記の空気の戻りのシステムは相当に有益である。また戻りシステムを真空の戻りとすることができるが、装置はより高価になり、より複雑になる。いくつかの実施形態では、図14に示すように、ロードロック14010を線形システムの端部に配置することができるが、ロードロック14010をシステムの中間のような他の場所に配置することもできることが理解されなければならない。このような実施形態では、製造される品物は、空気の戻りの中にシステムから出るように、システム内のそのような他の箇所においてシステムに入り又はシステムから出る。出口点が中間にあるシステムの利点は、部分的にシステムが故障した場合、材料又はウェハを再生させることができることにある。入り口点が中間にあるシステムの利点は、ウェハをシステムの複数の箇所に挿入することができ、工程の流れをより一層柔軟なものとすることができることにある。実際には、入り口点又は出口点が中間にあるシステムは、中間に位置するシステムによってともに接続されている2つの機械装置のように機能し、フロントエンドモジュールの位置を効果的に排除することができる。図14の実施形態及びそれに続く図面はまっすぐな線形システムであるが、線形システムを曲線のある、すなわちシステムを、U字型、V字型、S字型、それらを組み合わせた形状、他の曲線のある経路の湾曲部を有するようにしてもよく、製造装置の配列に適合するように、製造業者が望む何らかの形式とすることができることにも理解されたい。それぞれの場合において、随意的に、システムは入り口点とその入り口点から真っ直ぐな(随意的には真っ直ぐな線でないが)出口点とを含む。随意的には、空気の戻りは、出口点から入り口点に品物を戻す。随意的には、システムは1つよりも多い出口点を含むことがある。各場合において、本明細書で説明するロボットアームは、他の線形システムの持つ問題を示すことなく、真っ直ぐな品物の効果的な移動を支援することができる。図14Aは、U字型線形システムの例示を示す。
さらに図14を参照すると、仕上げられたウェハがシステムの前部に素早く戻ることができるように、しかし空のキャリア14008が、装填されたキャリアのまさに移動した場所に配置可能であるように、システムの実施形態は、デュアルキャリア機構14008を利用する。実施形態では、空気の戻りは、N枚のウェハを含むキャリア14088を特徴としている。N枚というのは、要求される処理能力及びコストによって最適化される。実施形態では、空気の戻りの機構は、装填されたキャリア14018が真空ロードロック14010から移動すると、新たな空のキャリア14008が直ちに適所に配置され、ロードロック14010が真空引きされ、さらなる材料が受容されるように、空のキャリア14008を含む。実施形態では、空気の戻りの機構は、ウェハをシステムの前部へ移動させることができる。引き渡し場所において、垂直リフト14004が使用されて、キャリアは、EFEM(フロントエンドモジュール装置)のロボットが到達可能な平面にまで下がる。ロードロック位置(単数又は複数)では、垂直リフト14004は、ロードロックから空のキャリア14008を取るように下がる。
実施形態では、空気の戻りの機構は、最後の部分で、ロードロック14010の位置の後部に確実に位置する空のキャリア14008に対する格納領域14014を特徴とする。これの理由は、ロードロック14010がキャリアを解放すると、把持部14004がキャリア14018を把持し、わずかに前部へ移動することによる。把持部14004は、さらに、装填されたキャリア14018を解放し、遡って移動し、空のキャリア14008を回収し、それをロードロック14010の適所に配置する。この時点で、ロードロック14010は真空引きされる。さらに把持部14004は、装填されたキャリア14018に戻り、システムの前部に遡ってそれを移動させる。キャリア14018がEFEMによって空にされると、把持部は次のサイクルに対して待機する一番後ろに戻る。
また把持部の垂直方向の運動を利用するのではなく、ロードロックにリフトを置くことが可能であるが、これはよりコストがかかる。またこれはわずかに柔軟性に欠ける。製造業者はいくつかの場所でキャリア14018を垂直方向に移動することを望むことがあるが、製造業者は1つのみの垂直機構を必要とするので、把持部1404にそれを置くことにより一層経済的となる。
図15は、図14の処理システムに対する外部帰路システムの特定の付加的な詳細を示す。
図16は、図14の処理システムに対する外部帰路システムの付加的な詳細を示す。
図17は、図14の帰路トンネル14012の出力キャリア14018の動作を示す。
図18は、図14の帰路システム14012の空のキャリアの処理を示す。
図19は、図14の帰路トンネル14012の空のキャリア14008のロードロック14010の位置に入る動作を示す。
図20は、図14の帰路システムにおける下げられて真空引きされた空のキャリア14008及び把持部14004の動作を示す。
図21は、図14の帰路システム14012において、装填されたキャリア14018が空になった際に、材料を受容する空のキャリア14008を示す。
図22は、図14の帰路システム14012において、保持位置に達し、新たな帰路サイクルを開始する空のキャリア14008を示す。
図23は、線形構成において、デュアルアームロボットアームシステム23002及び帰路システムを備えている製造工程に関する処理設備に対する構成を示す。
図24は、本発明の処理方法及びシステムに対する全体のシステム構成の代替的な実施形態を示す。
図25は、従来のクラスターシステム25004と比べた線形システム25002の設置面積の比較を示す。線形システム25002の場合、製造業者は、システムの処理能力に影響を与えることなく、付加的なモジュールにより機械装置を容易に伸張可能であることに注意されたい。
図26は、本発明の実施形態による処理システムにおいて、必要以上に大きい工程モジュール26002を配置されている線形構成を示す。
図27は、本発明の実施形態による処理システムに対する後部出口構成を示す。
図28は、本発明の種々の実施形態による線形処理システムを使用する製造設備に関する種々の可能な配置を示す。
図29は、本発明の実施形態を示し、ロボット29002が複数の駆動部29004及び/又は複数の制御器29008を含んでいる。実施形態において、制御器29008は複数の駆動部29004とともに、スロット弁、真空ゲージのような他の周辺装置を制御し、したがってロボット29002を、複数の駆動部29004を備えている1つの制御器29008又は複数の駆動部29004を備えている複数の制御器29008とすることができる。
図30は、本発明の実施形態に関連する移送平面30002及びスロット弁30004の特徴を示す。
図31は、ウェハを心出しする転倒式把持部31002を示す。図32に示す受動的心出し把持部32002を越える転倒式把持部31002の利点は、タンブラー31004とウェハ31008の背面との間に比較的わずかな動きしか生じないことにある。タンブラー31004は、ウェハ31008を徐々に動かし、エンドエフェクター上で心出しし、ウェハは下方に移動され、両側を支持される。真空環境であるような特定の製造工程では、ウェハが心出しされることが望まれることがある。ロボットアームの端部でエンドエフェクターを使用する際、処理の間、ウェハの両端を支持することができるので、転倒式把持部3100によって、非常に脆いウェハ31008の処理が可能となる。
図32は、ウェハ31008を処理する受動的心出しエンドエフェクター32002を示す。一般的に、エンドエフェクターが持ち上がる(又はウェハ31008が下がる)と、ウェハ3108はわずかに中心を外れる。これにより、ウェハ31008は傾斜部をわずかに下がり、切欠32004内に落ち込む。これにより、ウェハ31008は、急に落ち又は移動することとなり、それによって粒子を生じることがある。
本明細書で開示する方法及びシステムは、製造工程の間、材料又は品物の処理において多くの利点を提供する。他のものの中で、ロボットの間の真空の遮断が可能であるとともに、ロボット間で材料を一時的に保持することが可能である。製造業者は、真空部を介することなく、システムの上部を介して仕上げられたウェハを戻すことができ、これは、必要とされる処理ステップの半分のみを要求し、仕上げられた材料と仕上げられていない材料の間での二次汚染を排除することができ、既存のクリーンルーム設計と依然として適合するといった非常に多くの利点となり得る。製造業者が、比較的汚れているウェハをシステムに入れる際、通常、工程の最初のステップで清浄されるのであるが、製造業者は、そのウェハを機械装置の残りの部分から分離することを望んでいる。これは、仕上げられた又は部分的に仕上げられた材料を、機械装置の清浄部分から離しておけるという利点となる。
他の利点が本明細書で開示する方法及びシステムによってもたらされる。デュアルアーム(上部に取り付けられ、底部に取り付けられている)を協調的な仕方で動作させ、非常に素早く材料の交換を行うことができる。正確なアーム設計(3リンク、4リンク、その他)に関わらず、底部のアームに機械的に接続されていない蓋部にアームを取り付けることは有利である。本明細書で提供する4リンクスカラアームのリンク長は、スロット弁とチャンバ半径の機構的な制限によって画定される従来のアームと異なり、非常に有利である。また本明細書で開示する4リンクスカラアームは、Zモータに加えて3つのモータではなく、Zモータとともにリンクに対する2つのモータを利用することができるという利点を有する。
材料が後部から出る線形真空システムは相当の利点をもたらし得る。他の実施は、2つの開口壁を介して設置されている入力システム及び出力システムの双方を有することがある。
また本明細書で開示する4リンクスカラアームによって、上部ロボット駆動部に関して、リンクL2内及びリンクL2上にL3を回転させることができる。誤ったリンク長を有するために、既存の種類の4リンクスカラアーム又は3リンクスカラでは、これは容易に実施されない。
キャリアに対する把持部及び線形システム内での複数のキャリアの位置は、また、線形製造構成の材料処理において、相当な利益をもたらす。把持部及び/又は後部ロードロックにおいて垂直な運動を含むことによって、同様に利益がもたらされる。
本発明を特定の好適な実施形態に関連して記載したが、当業者は、本明細書を包含する他の実施形態を認識するであろう。
図33は、中間入り口点を含む製造設備を図解する。一実施形態では、製造設備は、ウェハを出し入れ可能な中途ロードロック33002を含む。二重の加工能力(例えば、それぞれの後ろに2つの機械装置が接続されているが、1つのEFEMを使用することしか必要としない)をもたらす加工設備を提供することを含むこのようなシステムには、相当な利点がある。実施形態では、空気の戻りのシステム14012は、また、新たなウェハ31008を中間点33022に搬送し、そこでウェハ31008を入れることができる。
図34は、中間入り口点33002を備えている幾つかの製造設備の平面図を図解している。また図面は、中間入り口点の効果的な機能を組み合わせてEFEM34002の1つを如何に排除するかを図解している。
図35は、一連のセンサ35002を含む製造設備を図解する。多くの製造設備において、そのようなセンサ35002は、材料35014がロボットアーム35018上に依然として存在するか否かを検出するのに一般に使用される。一般に、そのようなセンサ35002を、各真空チャンバ4012の入り口点及び出口点に配置することができる。そのようなセンサ35002は垂直な光ビームからなり、放射体及び検出器を使用するか、あるいは放射体/検出器の組み合わせ及び反射体を使用するかのどちらかである。真空処理設備においては、ロボットステーションのトレーニングは、一般に、ロボットアーム及び材料の位置を視認し、材料35014が正しい位置に配置されることを確実とするようにロボットの位置を調節する熟練オペレータによって達成される。しかしながらそれらの位置は観察することが困難であり、視差及び他の光学的な問題が、ロボットシステムを正確にトレーニングする際の重要な障害を示す。したがってトレーニングの手順は、何時間もの装置の停止時間を消費することがある。
幾つかの自動トレーニングアプリケーションが開発されているが、それらは壁又は端部のような物理的な障害物の中にロボットアームを通すことを含む。この取り組みは、障害物にロボットを物理的に接触させることによってロボット又は障害物のどちらかに損傷を与える危険があり、例えば多くのロボットエンドエフェクターは壊れやすいが非常に高いウェハ温度に耐えるセラミック材料を使用して構成されている。同様に、多くの工程モジュールの内側は、非常に脆く、容易に損傷される物体からなる。さらにウェハ31008のような特定の材料がロボットエンドエフェクターに存在する場合に、自動トレーニング手順を採用することはできない。さらに、障害物の中を通すことによって生じるアーム上の上方向又は下方向の力を検出することがより一層困難であるために、垂直位置の決定はさらに困難である。
本明細書で記載するシステムでは、一連のセンサ35002-350010は水平センサ35004-35010及び垂直センサ35002を含む。センサ35002-350010を組み合わせることによって、例えば遮断される光ビームによって、ロボットエンドエフェクター、アーム、処理物の検出が可能となる。垂直センサ35002を、ロボットアーム35018が縮まった位置にあるときに、ウェハ31008の領域のわずか外側に位置決めすることができる。またもしくは代わりに、ロボットが完全に縮んだ際に、ウェハによって覆われ、入り口開口の前で心出しされているウェハの範囲内の点35012のような位置に、垂直センサ35002を位置決めすることができる。この位置では、周囲のモジュールからウェハ31008を首尾よく取り上げたということを、センサはロボットの制御器に伝えることができる。
また水平センサ35004-35010を有利に使用することができる。真空クラスターツールでは、水平センサは、真空チャンバの大きな直径のために、時に実際的ではなく、水平センサの位置合わせはより複雑となる。上記したシステムにおいては、チャンバの寸法は相当に縮小され、したがって1つ又はそれ以上の水平センサ35004-35010を含むことが実際的である。
図36は、チャンバ(36002、36008)を直接横切るような水平センサ35010及び垂直センサ35002、及び/又は真空システム内に配置されている通し鏡36006の他の可能な配置を図解する。
図37は、ロボットアームが完全に縮んだ際、ウェハ37001の半径のわずかに外側にセンサ35002を位置付けることが有利にできることを図解する。縮む動作の間、センサ35002は、点「a」37002においてウェハ37001の前縁を検出し、点「b」37004において後縁を検出する。この結果、ウェハ37001が首尾よく取り出されたことが示されるが、ロボット駆動部に存在するエンコーダ、レゾルバ、他の位置決め要素にセンサ35002の信号を結びつけることによって、エンドエフェクターに対してウェハが心出しされているかを計算することもできる。線分「a-b」37002、37004の中点は、ウェハ37001が円形形状であるため、エンドエフェクターの中心に対応する。ウェハ37001がエンドエフェクター上で滑るならば、食い違った長さの測定値が、滑りの程度を示すこととなる。
加えて、その後の回転及び移動の間、第2の線分「c-d」37008、37010は、ウェハ37001の端部がセンサを通過した際に検出される。再度、「c」37008及び「d」37010の間の中点はエンドエフェクターの中心と一致し、ウェハの心出しの測定又は確認を可能とする。
上記方法によって、ロボットは、ウェハ37001を検出するとともに、ウェハ37001がエンドエフェクター上の予期された位置からずれているかを判定することができる。
水平センサと垂直センサ35002-35010の組み合わせによって、システムは非接触法を利用して非常に迅速にトレーニングされ、ロボットアーム及びエンドエフェクターを機械的な接触を必要とすることなく、光学的に検出することが可能となる。さらに光ビームは、実時間ウェハ37001処理の間、全てのウェハ37001が処理されて移動する間、ウェハ37001が正しい位置にあるかを検証するのに利用可能である。
図38は、2つの回転軸38020、38018と、垂直(Z)軸38004を備えている従来の真空駆動部を図解する。ベローズ38016によって、垂直なZ軸38002の運動が可能となる。ベローズ18016の底部に固定されている薄い金属製のシリンダ38024は、モータの回転子38010及び固定子38014の間に真空の障壁をもたらす。この配列は、電線や貫通端子、エンコーダ、信号LEDやピックアップ38008、ベアリング38012、磁石38006の多くのコンポーネントを真空内に配置することを要求する。磁石38006、ベアリング38012、電線やコネクタ、エンコーダは、真空の環境に存在する残余の処理用ガスの影響を受けることがある。さらにシリンダ38024の底部に捕捉されたガスを取り除くことは困難であり、ガスは、真空引きされると、渦巻き状の経路38022にしたがう。
図39は、本明細書で開示するシステムとともに利用可能な真空ロボット駆動部を図解する。回転駆動力は、2つのモータカートリッジによってもたらされる。各カートリッジは、積分エンコーダ39008、ベアリング39018、磁石39020を有する。幾つかの又は全てのコンポーネントを、真空の環境外に配置することができる。同心のデュアルシャフト回転シールユニット39016は、例えばリップシール又は磁性流体シールを利用して回転運動に対する真空遮断をもたらす。この取り組みは、真空システムの内側のコンポーネントの数を減少させる。またこれにより、モータ39004、39006及びエンコーダ39008は、真空を破ることなく動作可能であり、したがって駆動ユニットの有用性が増大する。
図40は、真空の環境内に材料を入れるための積層真空ロードロック4008、40004を示す。真空システム内にウェハ31008を持ち込む際の1つの制限要素は、ロードロックが高真空にまで真空引きされるその速度にある。ロードロックのポンプによる排気が速すぎるならば、ロードロックのチャンバ内で空気の凝縮が発生し、ウェハ31008の表面上に核発生が結果生じ、それによって粒子が結果生じて、装置の性能を不良とし、低下させることとなる。クラスターツールは、それぞれが交互に真空引きされる並んだ2つのロードロックを使用する。各ロードロックのポンプによる排気速度は、したがってより遅く、結果としてシステムの性能を改善する。垂直に積層されている2つのロードロック408、40004の場合には、装置の設置面積は非常に小さく食い止められるが、より遅いポンプによる排気速度の利点を維持することができる。実施形態では、ロードロック40004を随意的に加えることができる。実施形態では、ロボットアーム4004、40006のそれぞれが2つのロードロック408、40004のどちらか一方に接近することができる。実施形態では、残る引き渡しモジュール7008を単一平面の引き渡しモジュールとすることができる。
図40Bは、他のロードロック配列を示す。この図では、ウェハ31008は、システムのどちらかの側の2つの平面において、入り又は出ることが可能であるが、システムの残る部分は共有平面にしたがう。
図41は、積層ロードロック4008、40004の先の原理が、2つの工程モジュール41006、41008を積層させることによって工程を通して如何に実施可能であるかを詳細に示す。このようなモジュールは半導体製造装置材料協会標準に適合しないが、このような構成は、装置の設置面積及び処理能力において相当な利益をもたらす。
図42は、2つの処理平面4008、40004、4010、42004を備えているシステムを示し、ウェハは上部リンク40006又は底部リンク4004のどちらかを利用して、モジュール間を独立して移送される。随意的に、各処理平面は2つのロードロックを有し、上記した低下した真空引き速度の利点をもたらす。したがって4つの入力ロードロック、2つの処理平面、随意的に4つの出力ロードロックを備えているシステムがまた、付加的なロードロック及び処理平面を備えているシステムであるように、本明細書でもたらされる説明によって予期される。
図43は、図42のシステムの平面図を示す。
図44は、ウェハのような特別な試験用機器を搭載した物体44014を示す。1つ又はそれ以上のセンサ44010がその物体44014と一体化され、その物体44014の周囲の環境因子を検出することができる。センサ44010は、容量性、光学、磁気近接センサのような近接センサを含む。センサ44010は、電池電源を利用して、802.11b標準に合致する信号のような無線周波数信号又は他のセンサ信号を受信機44004に送信する増幅器/送信機44012に接続されている。
多くの場合、機器及びセンサと通信し、電力を送るのに必要とされる電線が、適切なロボットの運動又はロボットが進む環境に干渉するので、ロボットをトレーニングするのに利用される物体44014上に機具類を配置することは困難であるか又は不可能である。物体に対して無線接続を使用することによって、物体に電線を取り付けることによる問題を解決することができる。
物体44014は、異なる形式の数多くのセンサを異なる有利な幾何学的パターンで備え付けることができる。本例示では、センサ1〜6(44010)が、目標物体44008の半径に等しい半径で配列されている。実施形態では、これらのセンサは近接センサである。例えばセンサ1〜6であるセンサ44010からの過渡信号を比較することによって、物体44014が正しい配向で目標44008に接近しているかを判定することができる。目標44008が正しく接近していない場合には、2つのセンサ44010のうちの1つが、早尚なトリガを示す。複数のセンサ44010を監視することによって、引き渡しに影響が及ぶ前に、物体44010が目標44008の上方で正しく心出しされているかをシステムは判定することができる。センサ44010を、例えば効率的な信号解析又は他の何らかの制約にしたがう任意のパターンで配列することができる。また無線周波数の信号は、真空の環境において有利に動作する。
図45は、目標44008に試験用機器を搭載した物体44014を非接触式に方向付ける仕方を示す図44のシステムの側面図を示す。センサ44010は、温度のような目標44008の特性を測定する以外のセンサを含むことがある。
図46は、1つ又はそれ以上のセンサを備えている無線周波数通信を示す。無線周波数センサ信号44016は、真空内のアンテナ46002に送信される。波長の適切な選択が、全金属真空容器とともに信号の伝搬を改善する。外部の受信機及び制御器と無線で通信するセンサを利用することは、相当な利点をもたらす。例えば、この技術は、目標の中心を探すような操作に対して必要とされる時間を低減し、センサ(単数又は複数)からの情報を使用してオペレータに視覚的なフィードバックを提供し、又はロボットアームを利用して自動的に特定の操作に対してセンサからの情報を使用することができる。これにより、減圧及び焼き出し(湿気又は水蒸気を追い出すための)のようなチャンバ内部を調整するステップが消費する時間及びコストを回避することができる。
図47は、ロボットの動作の関数として複数のセンサから44010の出力を図解する。ロボットが目標44008の上を移動すると、移動は結果として、例えば、センサが近接センサである場合には、目標44008からの距離についての情報をセンサにもたらす。信号は、独立して又は集合的に解析され、センサに対する目標44008に関する位置を判定することができる。配置又は形状を、目標44008に物理的に接触させることなく、異なる2つの方向でセンサ(単数又は複数)を移動させ、センサの信号を監視することによって異なる方向で決定することができる。
図48は、真空システムにウェハ48008を挿入し、真空システムから取り出す技術を示す。一組の加熱要素48002、48004、48006のような1つ又はそれ以上の加熱要素を、独立して又は組み合わせて使用して、チャンバ4008及び基板材料48008を加熱し、50℃〜400℃又はそれ以上に温度を上昇させる。開始温度のこの上昇は、さもなければチャンバ内の圧力が低下する際に発生する凝縮を軽減し、より素早いポンプによる排気手順を可能とし、真空を生成する。加熱されたウェハ48008がロボットアーム4002によりロードロック4008に移動され、ウェハは棚部48004、4806よりも相当に熱く、したがって棚部48004、48006は接触しているウェハを冷却する。加熱電源は、棚部及び/又はウェハに対して所望の温度が維持されるように、棚部48004、4806に供給される熱を調節する。棚部48004、48006に対して好適な材料を選択することにより、システムの加熱出力を交換する迅速な反応が結果生じ、例えばチャンバ4008のポンプによる排気の間、より高い温度に設定し、チャンバ4008のガス抜きの間、より低い温度に設定するという、異なる状態に対して異なる温度を設定することが結果可能となる。
ウェハ48008を予熱することによって、工程時間を減少させながら、凝縮及び粒子を減少させることができる。同時にウェハ4808は、システムを出る際、熱すぎ、したがって安全面で危険であり、又はプラスチックのような処理及び支持材料を溶かす。約80℃〜100℃の内側温度と、約50℃又はそれ未満の外側温度が、例えば一般的な気遣いに適合する。
図49は、ロボットエンドエフェクター49002を図解する。ロボットエンドエフェクター49002は、1つ又はそれ以上の軸に沿って不均一な厚みを有するようにテーパが付けられている。例えばロボットエンドエフェクター49002は、側方から、又は上方から見た場合に、テーパが付けられている。テーパはエフェクター49002に沿った共振を軽減する。同時に、比較的細い断面輪郭(側方から見た場合)はウェハ間でより巧みに扱うことを容易にする。横から見たテーパは、研削又は機械加工することにより達成され、あるいはテーパの付いたエフェクター49002の鋳込工程により達成される。アルミニウムシリコンカーバイド(AlSiC9)のような材料は、この形状に鋳込むのに有利であり、その後の機械加工又は他の仕上げステップを排除することができる。鋳込工程は、ウェハ支持材料49004が鋳込工程の間、型内に鋳込まれ、したがって物理的な組立を必要とするコンポーネントの数を減少させることができる点でさらなる利点がもたらされる。
図50に示すように、同様の技術をロボットアーム部分50002、50004に適用することができる。同じ減衰効果が、上述のように、アーム部分50002、50004における共振の減衰を達成する。テーパの付いた形状を、公知の種々の工程を利用して達成することができ、結果得られるロボットアーム部分全体で、より素早い移動及びより正確な制御が可能となる。
図51は、5つのモータ51014を使用する独立デュアルスカラアームを示す。各下側のアーム51002及び51008は、モータ51014により独立して作動可能である。アームは、遠位端において上部アーム51004及び51010に接続されている。この配列は、比較的小さな半径の縮んだ状態をもたらすが、幾分制限された伸張した状態を与える。
図52は、4つのモータ52010を使用する従属デュアルスカラアームを示す。リンク52002及び52004は、エンドエフェクター52006及び52008に対して共通である。下部アーム52002の伸張動作の間、所望のエフェクター(すなわち52008)が工程モジュール内に伸張し、動作していないエンドエフェクター(すなわち52006)が工程モジュールから離れて位置するような仕方で、モータ52010はエンドエフェクター52006及び52008を制御する。
図53は、フロッグレッグ型ロボットアームを示す。このアームは、本明細書で開示する種々の実施形態と一緒に利用することができ、一連のこのようなアームにおいて、アームからアームへと、半導体ウェハのような加工中の製品を受け渡すことを可能とし、半導体工程モジュール間で加工中の製品を移動させることができる。
図54は、本明細書において記載する線形のアームからアームへのシステムの1つのような平面的なロボットシステムにおいて使用可能な双対フロッグレッグアームを示す。
図55Aは、カート55004に取り付けられている本明細書において記載するような4リンクスカラアームを図解する。このようなカートは、案内レール又は磁気浮揚トラック55008により、システムの内側又は外側のモータ55002によって駆動されて直線的に移動する。4リンクスカラアームは、3リンクスカラアームよりも小さな引き込み半径で折り畳むことができ、アームが通過する開口と衝突することを回避しながら、工程モジュールのような周囲のモジュール内へのより長い伸展を達成することができる点で有利である。反転されたカート55006を、カート55004上で基板を通過させるのに使用することができる。
図55Bは、図55Aに記述するシステムの平面図を示す。
図56は、独立デュアルスカラロボットアーム及び単一スカラロボットアームの組み合わせを利用する本明細書に記載されている線形システムを図解する。このようなシステムは、4リンクスカラアームロボットシステムを使用するシステムのように小型ではない。
図57は、4リンクスカラロボットアームを使用する垂直積層処理システムの例示であり、アームは任意の又は全ての周囲工程モジュール5002に到達可能である。上部平面において、おおよそ45度、工程モジュールを回転させ、下部平面のチャンバ57002に上部平面のコンポーネントを取り付けることにより、上部及び下部のそれぞれの工程モジュールは、幾つかの接近手段に対して曝された状態を維持し、ポンプ、電極、ガス配管などのようなコンポーネントを取り付けることができる。提案されている配列は、非常に小型化された空間において、7つの工程モジュール5002の組み合わせを可能とする。
図58Aは、図57の変形を図解し、システムの底部平面58002が本明細書に記載されている複数のロボットシステムを含み、上部平面のシステム58004が、主システムの軸に対して45度の角度方向付けられている工程モジュール5002を使用する。提案されている配列は、非常に小型化された空間において、9つの工程モジュール5002の組み合わせを可能とする。
図58Bは、システムから半導体ウェハのような基板を取り出すための後部出口ロードロック設備を利用する図58Aの変形を図解する。
図59Aは、大型基板加工モジュール59004に適応する線形処理システムを示し、接近手段59002の機能をさらに可能とし、同時に2つの標準の寸法の工程モジュール5002に対する場所を依然として提供している。
図59Bは、4つの大型工程モジュール59004に適応するシステム配列及び標準の寸法の工程モジュール59002を例示し、工程モジュールの内側に対する接近手段を依然として機能させることができる。
図60は、ロボット駆動部コンポーネントの実質上同じ側にアームを備えている双対フロッグレッグ型ロボットを示す。下部アーム60002は、二組の上部アーム60004を支持し、モータ組54010に機械的に結合されている。
幾つかの例示的な実施形態を記述してきたが、当業者には、種々の代替、変更、改善が容易に行えることが明らかである。そのような代替、変更、改善は、本開示の一部をなし、本発明の精神及び範囲内にあることが意図されている。本明細書で示す幾つかの例は、機能及び構造的な構成要素の特定の組み合わせを伴うが、それらの機能及び構成要素が、同じ又は異なる目的を達成するために、本発明の他のやり方で組み合わせることが可能であることを理解されなければならない。特に一実施形態に関連して議論した作用、構成要素、特徴を、他の実施形態における同様の又は他の役割から排除することを意図していない。したがって先の説明及び添付の図面は、例示のみを目的としており、制限することを意図したものではない。
種々の製造装置形式に対する装置構成を示す図である。 半導体製造工程において品物を処理するための従来のクラスター形式の構成を示す図である。 2つから6つの間の工程モジュールに適応する一連のクラスター形式のシステムを示す図である。 2つから6つの間の工程モジュールに適応する一連のクラスター形式のシステムを示す図である。 製造工程において品物を処理する線形加工構成の高度なコンポーネントを示す図である。 図4と同様の構成を備えている線形加工システムの平面図である。 3リンクスカラアーム及び4リンクスカラアームを示す図である。 スカラアームの到達距離及び束縛の特性を示す図である。 ロボットシステムに対する高度なコンポーネントを示す図である。 処理システムで利用されるロボットアームシステムに対するデュアルアーム構成のコンポーネントを示す図である。 4リンクスカラアームの到達距離及び束縛性能を示す図である。 4リンクスカラアームの干渉特性を示す図である。 伝達機構としてベルトを利用する一組のデュアルアーム4リンクスカラアームの側面を示す図である。 伝達機構として細長い薄板を利用する一組のデュアルアーム4リンクスカラアームの側面を示す図である。 線形構成を有する処理システムに対する外部帰路システムを示す図である。 線形処理システムに対するU字型構成を示す図である。 図14に示す処理システムに対する外部帰路システムの特定の詳細を示す図である。 図14の処理システムに対する外部帰路システムの付加的な詳細を示す図である。 図14の帰路システムにおける出力キャリアの動作を示す図である。 図14の帰路システムにおける空のキャリアの処理を示す図である。 図14の帰路システム内での空のキャリアロードロック位置内への動作を示す図である。 下方に下げられて排気された空のキャリア及び、図14の帰路システムにおける把持部の動作を示す図である。 図14の帰路システムにおいて装填されたキャリアが空になり、材料を受容する空のキャリアを示す図である。 図14の帰路システムにおいて新たな戻りサイクルが開始され、保持位置に移動された空のキャリアを示す図である。 線形構成において、デュアルアームロボットアーム及び帰路システムを備えている製造工程に対する処理設備に関する構成を示す図である。 本発明の処理方法及びシステムに対する全システムの構成の代替的な実施形態を示す図である。 従来のクラスターシステムと比べた線形システムの設置面積の比較を示す図である。 本発明の実施形態による処理システムにおける必要以上に大きい工程モジュールを備えて配置されている線形構成を示す図である。 本発明の実施形態による処理システムに対する後部出口構成を示す図である。 本発明の種々の実施形態による線形処理システムを実施する製造設備に対する可能な種々の配置を示す図である。 ロボットが複数の駆動部及び/又は複数の制御器を含む本発明の実施形態を示す図である。 本発明の実施形態に関する移送平面及びスロット弁の特性を示す図である。 ウェハを心出しする転倒式把持部を示す図である。 ウェハを心出しする受動的な滑り傾斜部を示す図である。 中間入り口設備を含む製造設備を説明する図である。 中間入り口設備を含む製造設備の上方から見た図である。 本発明の実施形態によるロボットアームの位置及び材料を検出するための光学センサの配置を含む製造設備を説明する図である。 光ビーム経路及び代替的なビーム経路を示す断面における製造設備を説明する図である。 光学センサを利用してロボットアームによって処理される材料の中心が如何に判定されるかを説明する図である。 従来の3軸ロボット真空駆動構成を示す図である。 本発明の実施形態による新規な3軸ロボット真空駆動構成を示す図である。 本発明の実施形態による垂直に配列されているロードロックアセンブリを説明する図である。 本発明の実施形態によるウェハ製造設備の両側で垂直に配列されているロードロックアセンブリを説明する図である。 本発明の実施形態による垂直に配列されているロードロック及び垂直積層工程モジュールを示す図である。 本発明の実施形態による垂直積層工程モジュールを備えている線形配列2平面処理構成の断面を示す図である。 図42の処理配列の平面図である。 本発明の実施形態による、目標に物体が近接することを検出するセンサを備えているロボットアーム上の試験用機器を搭載した物体を示す図である。 目標上方のセンサの動作によって、ロボットアームが障害物に関連してその位置を如何に検出可能であるかを説明する図である。 試験用機器を搭載した物体が、真空の環境において無線周波数の通信を利用して、中央制御器に対して位置を如何に通信するかを示す図である。 位置の関数として一連のセンサの出力を説明する図である。 本発明の実施形態による、加熱要素が物体の熱処理用ロードロック内で如何に位置決めされているかを説明する図である。 内部の能動振動モードが低減される二次元でテーパが付けられているエンドエフェクターを示す図である。 ロボット平面アームに対するロボットアーム要素に付けられている垂直方向のテーパが、垂直積層高さに相当な影響を与えることなく、如何にアームセット内の振動を低減するのに利用可能であるかを示す図である。 独立したデュアルスカラロボットアームを説明する図である。 従属式のデュアルスカラロボットアームを説明する図である。 フロッグレッグ型ロボットアームを説明する図である。 デュアルフロッグレッグ型ロボットアームを説明する図である。 移動可能なカートに取り付けられている4リンクスカラアームと、裏返しの移動可能なカートに取り付けられている4リンクスカラアームとを説明する図である。 図55Aの平面図である。 実質上直線の軸に沿ってウェハを引き渡すために、3リンク単一又はデュアルスカラアームロボットシステムを利用することを説明する図である。 上部及び下部工程モジュールがロボットアームの垂直軸を利用して接近可能である2平面真空処理ロボットシステムを説明する図である。 2平面の一方において、実質上直線の軸に沿って基板が引き渡される2平面加工設備を示す図である。 基板がシステムの後部から取り出される図58Aの変形を説明する図である。 実質上直線の軸に沿う非常に大きな加工モジュールに適合する製造設備を示す図である。工程モジュールの内側に接近可能であるように機能空間が提供されている。 4つの大きな工程モジュールと1つの小さな工程モジュールに対するより小型の配列を説明する図である。 システムの同じ側に基板を備えているデュアルフロッグレッグ型ロボットマニピュレーターを説明する図である。

Claims (47)

  1. 半導体処理方法であって、
    真空に基づく処理システムの軸に沿って複数のロボットアーム及び複数の加工モジュールを位置決めし、加工中の製品が、前記真空に基づく処理システムの対向端部において出し入れされ、
    前記複数のロボットアームの第1のものから前記複数のロボットアームの第2のものに前記加工中の製品を直接又は間接で引き渡すことにより、複数の加工モジュール間で前記加工中の製品を移動させ、
    前記真空に基づく処理システムが、前記真空に基づく処理システムの前記対向端部間に設置され、かつ前記真空に基づく処理システムのロード及びアンロードステーションに接続される中間入り口ロードロックを含み、前記真空に基づく処理システムの真空雰囲気その真空雰囲気の外部の雰囲気から前記加工中の製品移動させ、前記真空に基づく処理システムの真空雰囲気からその真空雰囲気の外部の雰囲気へ前記加工中の製品を移動させ、前記中間入り口ロードロックを介して前記真空に基づく処理システムへの及び前記真空に基づく処理システムからの前記加工中の製品が出入りすることを可能にすることからなる方法。
  2. 前記処理システムが線形処理システムである請求項1記載の方法。
  3. 前記線形処理システムが空気に基づく帰路システムを有する請求項記載の方法。
  4. 少なくとも2つの引き渡し点がそれぞれのロボットアーム間に存在する請求項1記載の方法。
  5. 前記ロボットアームが少なくとも1つの4リンクスカラアームを含む請求項1記載の方法。
  6. 前記ロボットアームが、垂直に対向する少なくとも一対のスカラアームを含む請求項1記載の方法。
  7. 前記加工中の製品が工程モジュール間の通路内を移動する請求項1記載の方法。
  8. 前記通路が前記工程モジュールから分離している請求項7記載の方法。
  9. 前記ロボットアームが、前記処理システム内で垂直方向に加工中の製品を移動するような機能を含む請求項1記載の方法。
  10. 前記処理システムが、前記ロボットアームによって使用可能となる垂直積層工程モジュールを含む請求項9記載の方法。
  11. 前記処理システムが、前記ロボットアームによって使用可能となる垂直積層ロードロックを含む請求項9記載の方法。
  12. 前記軸が直線である請求項1記載の方法。
  13. 前記軸が曲線をなす請求項1記載の方法。
  14. 前記軸が実質上U字形を形成する請求項1記載の方法。
  15. 前記複数のロボットアームが少なくとも1つのスカラアームを含む請求項1記載の方法。
  16. 前記複数のロボットアームが少なくとも1つの4リンクスカラアームを含む請求項1記載の方法。
  17. 前記複数のロボットアームが少なくとも1つの3リンクスカラアームを含む請求項1記載の方法。
  18. 前記複数のロボットアームが少なくとも1つのフロッグレッグロボットアームを含む請求項1記載の方法。
  19. 前記複数のロボットアームが少なくとも1つのデュアルスカラアームを含む請求項1記載の方法。
  20. 前記複数のロボットアームが少なくとも1つのデュアルフロッグアームを含む請求項1記載の方法。
  21. 前記複数のロボットアームが、複数のリンク、駆動設備、該リンク間のカプラーを有する左右相称のアームを含む請求項1記載の方法。
  22. 前記複数のロボットアームが対をなすロボットアームとして設けられ、各ロボットアーム対が垂直に配列されている2つのアームを含む請求項1記載の方法。
  23. 半導体処理システムであって、
    真空に基づく処理システムの軸に沿って配列されている複数の加工モジュールと、該真空に基づく処理システムが、当該真空に基づく処理システムの対向端部に加工中の製品の入り口と加工中の製品の出口とを有し、
    前記複数のロボットアームの第1のものから前記複数のロボットアームの少なくとも第2のものに加工中の製品を直接又は間接で引き渡すことにより、複数の加工モジュール間で加工中の製品を移動させる複数のロボットアームと、
    前記加工中の製品の入り口と前記加工中の製品の出口との間に設置され、かつ前記真空に基づく処理システムのロード及びアンロードステーションに接続されている中間入り口ロードロックとを含み、該中間入り口ロードロックが、前記真空に基づく処理システムの真空雰囲気その真空雰囲気の外部の雰囲気から前記加工中の製品移動させ、前記真空に基づく処理システムの真空雰囲気からその真空雰囲気の外部の雰囲気へ前記加工中の製品を移動させ、前記中間入り口ロードロックを介して前記真空に基づく処理システムへの及び前記真空に基づく処理システムからの前記加工中の製品が出入りすることを可能にするように構成されているシステム。
  24. 前記処理システムが線形処理システムである請求項23記載のシステム。
  25. 前記線形処理システムが空気に基づく帰路システムを有する請求項24記載のシステム。
  26. 少なくとも2つの引き渡し点がそれぞれのロボットアーム間に存在する請求項23記載のシステム。
  27. 前記ロボットアームが少なくとも1つの4リンクスカラアームを含む請求項23記載のシステム。
  28. 前記ロボットアームが、垂直に対向する少なくとも一対のスカラアームを含む請求項23記載のシステム。
  29. 前記加工中の製品が工程モジュール間の通路内を移動する請求項23記載のシステム。
  30. 前記通路が前記工程モジュールから分離している請求項29記載のシステム。
  31. 前記ロボットアームが、前記処理システム内で垂直方向に加工中の製品を移動するような機能を含む請求項23記載のシステム。
  32. 前記処理システムが、前記ロボットアームによって使用可能となる垂直積層工程モジュールを含む請求項31記載のシステム。
  33. 前記処理システムが、前記ロボットアームによって使用可能となる垂直積層ロードロックを含む請求項31記載のシステム。
  34. 材料を処理する方法であって、
    複数の4リンクスカラロボットアームを設け、
    前記複数の4リンクスカラロボットアームを、工程モジュールを有する真空処理システム内に配置し、それによって前記4リンクスカラロボットアームが、前記工程モジュール間の前記真空処理システム内でアームからアームへ材料を直接又は間接で手渡すことができ、
    前記処理方法が装填端部及び出口端部を含み、該出口端部が前記装填端部から遠位にあり、ロードロック設備が前記装填端部と出口端部との間に配置され、かつ前記真空に基づく処理システムのロード及びアンロードステーションに接続され、前記真空に基づく処理システムの真空雰囲気その真空雰囲気の外部の雰囲気から加工中の製品移動させ、前記真空に基づく処理システムの真空雰囲気からその真空雰囲気の外部の雰囲気へ前記加工中の製品を移動させ、前記ロードロック設備を介して前記真空に基づく処理システムへの及び前記真空に基づく処理システムからの前記加工中の製品が出入りすることを可能にする方法。
  35. 前記処理方法が線形である請求項34記載の方法。
  36. 前記処理方法が空気に基づく帰路システムを備えている真空に基づくものである請求項34記載の方法。
  37. 前記4リンクスカラロボットアームが、前記真空に基づく処理システムのスロット弁との干渉が妨げられるように構成されている請求項34記載の方法。
  38. 前記処理方法が、垂直に配置されているデュアル4リンクスカラロボットアームを含む請求項34記載の方法。
  39. 前記処理方法が、半導体製造工程に関する複数の工程モジュールを含む請求項34記載の方法。
  40. 前記工程モジュールの少なくとも2つが、前記材料処理方法の線形方向に対して異なる垂直位置に配置されている請求項34記載の方法。
  41. 前記材料処理方法が、装填するための伸張したロードロック設備を含む請求項34記載の方法。
  42. 前記ロボットアームが処理の前記線形方向に対して垂直な垂直方向に移動するような機能を含み、それによって1つのロボットアームが異なる平面内に配置されている工程モジュールを使用可能とする請求項34記載の方法。
  43. 前記処理が複数の平面内で行われる請求項34記載の方法。
  44. 前記処理が前記線形方向に対して変則的な角度をもって配置されている工程モジュールを含む請求項34記載の方法。
  45. 前記変則的な角度が90度から180度の間にある請求項44記載の方法。
  46. 少なくとも1つの工程モジュールが必要以上に大きな工程モジュールである請求項34記載の方法。
  47. 前記必要以上に大きな工程モジュールが、当該工程モジュールの上部を介して接近できるような回転蓋部を含む請求項46記載の方法。
JP2006539863A 2003-11-10 2004-11-10 真空下の半導体処理システムにおいて加工中の製品を処理する方法及びシステム Active JP5226215B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US51882303P 2003-11-10 2003-11-10
US60/518,823 2003-11-10
US60764904P 2004-09-07 2004-09-07
US60/607,649 2004-09-07
PCT/US2004/037672 WO2005048313A2 (en) 2003-11-10 2004-11-10 Methods and systems for handling workpieces in a vacuum-based semiconductor handling system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010293470A Division JP5373760B2 (ja) 2003-11-10 2010-12-28 真空下の半導体処理システムにおいて加工中の製品を処理する方法及びシステム

Publications (2)

Publication Number Publication Date
JP2007511104A JP2007511104A (ja) 2007-04-26
JP5226215B2 true JP5226215B2 (ja) 2013-07-03

Family

ID=34594927

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2006539863A Active JP5226215B2 (ja) 2003-11-10 2004-11-10 真空下の半導体処理システムにおいて加工中の製品を処理する方法及びシステム
JP2010293470A Active JP5373760B2 (ja) 2003-11-10 2010-12-28 真空下の半導体処理システムにおいて加工中の製品を処理する方法及びシステム

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2010293470A Active JP5373760B2 (ja) 2003-11-10 2010-12-28 真空下の半導体処理システムにおいて加工中の製品を処理する方法及びシステム

Country Status (7)

Country Link
US (12) US20050113976A1 (ja)
EP (1) EP1684951B1 (ja)
JP (2) JP5226215B2 (ja)
KR (1) KR20070008533A (ja)
IL (1) IL175518A0 (ja)
SG (1) SG132670A1 (ja)
WO (1) WO2005048313A2 (ja)

Families Citing this family (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI294155B (en) 2002-06-21 2008-03-01 Applied Materials Inc Transfer chamber for vacuum processing system
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US8960099B2 (en) * 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
US6949938B2 (en) * 2002-11-20 2005-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Prevention of robot damage via capacitive sensor assembly
CN101094933A (zh) * 2003-08-29 2007-12-26 交叉自动控制公司 用于半导体处理的方法和装置
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8267632B2 (en) * 2003-11-10 2012-09-18 Brooks Automation, Inc. Semiconductor manufacturing process modules
US8639365B2 (en) 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US8696298B2 (en) * 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
EP1684951B1 (en) 2003-11-10 2014-05-07 Brooks Automation, Inc. System for handling workpieces in a vacuum-based semiconductor handling system
US8639489B2 (en) 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US20070282480A1 (en) 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US8000837B2 (en) * 2004-10-05 2011-08-16 J&L Group International, Llc Programmable load forming system, components thereof, and methods of use
US7314808B2 (en) * 2004-12-23 2008-01-01 Applied Materials, Inc. Method for sequencing substrates
US7688017B2 (en) * 2005-02-12 2010-03-30 Applied Materials, Inc. Multi-axis vacuum motor assembly
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US11024527B2 (en) 2005-06-18 2021-06-01 Frederick A. Flitsch Methods and apparatus for novel fabricators with Cleanspace
US7513822B2 (en) * 2005-06-18 2009-04-07 Flitsch Frederick A Method and apparatus for a cleanspace fabricator
US20130226329A1 (en) * 2006-08-12 2013-08-29 Frederick A. Flitsch Cleanspace Fabricators for High Technology Manufacturing and Assembly Processing
US10627809B2 (en) 2005-06-18 2020-04-21 Frederick A. Flitsch Multilevel fabricators
US10651063B2 (en) 2005-06-18 2020-05-12 Frederick A. Flitsch Methods of prototyping and manufacturing with cleanspace fabricators
JP2007005582A (ja) * 2005-06-24 2007-01-11 Asm Japan Kk 基板搬送装置及びそれを搭載した半導体基板製造装置
JP5014603B2 (ja) * 2005-07-29 2012-08-29 株式会社アルバック 真空処理装置
CN100358097C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺处理系统及其处理方法
US7927062B2 (en) * 2005-11-21 2011-04-19 Applied Materials, Inc. Methods and apparatus for transferring substrates during electronic device manufacturing
CN100388457C (zh) * 2005-12-08 2008-05-14 北京圆合电子技术有限责任公司 真空机械手
WO2007075840A2 (en) * 2005-12-20 2007-07-05 Applied Materials, Inc. Extended mainframe designs for semiconductor device manufacturing equipment
US7387484B2 (en) * 2005-12-21 2008-06-17 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer positioning systems and methods thereof
KR20080111036A (ko) * 2006-03-05 2008-12-22 블루쉬프트 테크놀로지스, 인코포레이티드. 웨이퍼 센터 검색 방법
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US20080025821A1 (en) * 2006-07-25 2008-01-31 Applied Materials, Inc. Octagon transfer chamber
US20080025823A1 (en) * 2006-07-31 2008-01-31 Masahiko Harumoto Load lock device, and substrate processing apparatus and substrate processing system including the same
US7751919B2 (en) 2006-08-19 2010-07-06 Dynamic Micro Systems Method for operating equipment using buffer station having emergency access
US9117859B2 (en) * 2006-08-31 2015-08-25 Brooks Automation, Inc. Compact processing apparatus
US8293066B2 (en) * 2006-09-19 2012-10-23 Brooks Automation, Inc. Apparatus and methods for transporting and processing substrates
US8419341B2 (en) 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
US9524896B2 (en) * 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US7901539B2 (en) * 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US9122272B2 (en) 2006-10-06 2015-09-01 Dynamic Micro Systems Redundantable robot assembly for workpiece transfer
WO2008041169A2 (en) * 2006-10-06 2008-04-10 Dynamic Micro Systems Redundantable robot assembly for workpiece transfer
US8182198B2 (en) * 2006-10-06 2012-05-22 Dynamic Micro Systems Semiconductor Equipment Gmbh Redundantable robot assembly for workpiece transfer
US7410542B2 (en) * 2006-10-10 2008-08-12 Paul Terrance Nolan Variable environment, scale-able, roll to roll system and method for manufacturing thin film electronics on flexible substrates
TW200900210A (en) * 2006-11-09 2009-01-01 Ihi Corp Frog-leg arm robot and control method thereof
JP2008137738A (ja) * 2006-11-30 2008-06-19 Asyst Technologies Japan Inc 天井走行搬送装置
US20080138178A1 (en) * 2006-12-06 2008-06-12 Axcelis Technologies,Inc. High throughput serial wafer handling end station
KR100847888B1 (ko) * 2006-12-12 2008-07-23 세메스 주식회사 반도체 소자 제조 장치
US20080206023A1 (en) * 2007-02-27 2008-08-28 Smith John M Semiconductor substrate processing apparatus with horizontally clustered vertical stacks
US20080202892A1 (en) * 2007-02-27 2008-08-28 Smith John M Stacked process chambers for substrate vacuum processing tool
US20080206022A1 (en) * 2007-02-27 2008-08-28 Smith John M Mult-axis robot arms in substrate vacuum processing tool
US20080202417A1 (en) * 2007-02-27 2008-08-28 Smith John M Self-contained process modules for vacuum processing tool
US20080206036A1 (en) * 2007-02-27 2008-08-28 Smith John M Magnetic media processing tool with storage bays and multi-axis robot arms
US10163667B2 (en) * 2007-03-22 2018-12-25 Brooks Automation, Inc. Linear wafer drive for handling wafers during semiconductor fabrication
US8752449B2 (en) 2007-05-08 2014-06-17 Brooks Automation, Inc. Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
TWI626705B (zh) * 2007-05-08 2018-06-11 布魯克斯自動機械公司 具有使用機械轉換機構之複數可動臂的基板運送裝置
WO2008140728A2 (en) * 2007-05-08 2008-11-20 Brooks Automation, Inc. Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
WO2009026372A1 (en) * 2007-08-20 2009-02-26 Blueshift Technologies, Inc. Wafer presence detection
WO2009042099A1 (en) 2007-09-24 2009-04-02 Arborgen, Llc Apparatus for and method of preparing plant tissue for plant production
US8099192B2 (en) * 2007-11-06 2012-01-17 Novellus Systems, Inc. Method and apparatus for teaching a workpiece transfer robot
WO2009060540A1 (ja) * 2007-11-09 2009-05-14 Canon Anelva Corporation インライン型ウェハ搬送装置
WO2009060541A1 (ja) * 2007-11-09 2009-05-14 Canon Anelva Corporation インライン型ウェハ搬送装置
WO2009060539A1 (ja) * 2007-11-09 2009-05-14 Canon Anelva Corporation インライン型ウェハ搬送装置
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
NL1036794A1 (nl) * 2008-04-25 2009-10-27 Asml Netherlands Bv Robot for in-vacuum use.
US8185242B2 (en) * 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
JP5405235B2 (ja) * 2008-09-05 2014-02-05 Ntn株式会社 生産設備及び生産システム
US8056256B2 (en) * 2008-09-17 2011-11-15 Slack Associates, Inc. Method for reconditioning FCR APG-68 tactical radar units
US8701307B2 (en) 2008-09-17 2014-04-22 Howard C. Slack Method for cleaning and reconditioning FCR APG-68 tactical radar units
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
US8666551B2 (en) * 2008-12-22 2014-03-04 Asm Japan K.K. Semiconductor-processing apparatus equipped with robot diagnostic module
TWI394224B (zh) * 2009-02-24 2013-04-21 Intevac Inc 載送及處理基板之裝置與方法
US8293532B2 (en) 2009-03-26 2012-10-23 Dow AgroSciences, L.L.C. Method and apparatus for tissue transfer
JP2011009362A (ja) * 2009-06-24 2011-01-13 Tokyo Electron Ltd インプリントシステム、インプリント方法、プログラム及びコンピュータ記憶媒体
JP5060517B2 (ja) * 2009-06-24 2012-10-31 東京エレクトロン株式会社 インプリントシステム
US8671855B2 (en) * 2009-07-06 2014-03-18 Peat International, Inc. Apparatus for treating waste
US8602706B2 (en) 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
JP4924680B2 (ja) * 2009-09-09 2012-04-25 村田機械株式会社 移載装置
JP5358366B2 (ja) * 2009-09-14 2013-12-04 東京エレクトロン株式会社 基板処理装置及び方法
TWI408766B (zh) * 2009-11-12 2013-09-11 Hitachi High Tech Corp Vacuum processing device
US8459922B2 (en) * 2009-11-13 2013-06-11 Brooks Automation, Inc. Manipulator auto-teach and position correction system
JP2011119556A (ja) * 2009-12-07 2011-06-16 Yaskawa Electric Corp 水平多関節ロボットおよびそれを備えた搬送装置
JP5282021B2 (ja) * 2009-12-14 2013-09-04 株式会社日立ハイテクノロジーズ 半導体処理システム及び半導体処理方法
JP5586271B2 (ja) * 2010-03-02 2014-09-10 株式会社日立ハイテクノロジーズ 真空処理装置及びプログラム
JP5476162B2 (ja) * 2010-03-02 2014-04-23 株式会社日立ハイテクノロジーズ 真空処理装置及びプログラム
JP5476171B2 (ja) * 2010-03-16 2014-04-23 株式会社日立ハイテクノロジーズ 真空処理装置
WO2011148782A1 (ja) * 2010-05-27 2011-12-01 シャープ株式会社 基板処理装置および仮置き棚
JP2012028659A (ja) * 2010-07-27 2012-02-09 Hitachi High-Technologies Corp 真空処理装置
JP5614326B2 (ja) 2010-08-20 2014-10-29 東京エレクトロン株式会社 基板搬送装置、基板搬送方法及びその基板搬送方法を実行させるためのプログラムを記録した記録媒体
KR101708420B1 (ko) * 2010-09-15 2017-02-21 삼성디스플레이 주식회사 기판 증착 시스템 및 이를 이용한 증착 방법
TWI586500B (zh) * 2010-10-08 2017-06-11 布魯克斯自動機械公司 機器人運送裝置及基板處理裝置
US9656386B2 (en) 2010-10-08 2017-05-23 Brooks Automation, Inc. Coaxial drive vacuum robot
JP5785712B2 (ja) * 2010-12-28 2015-09-30 株式会社日立ハイテクノロジーズ 真空処理装置
SG10201601482YA (en) * 2011-03-03 2016-04-28 Life Technologies Corp Sampling Probes, Systems, Apparatuses, And Methods
DE102011075036A1 (de) * 2011-04-29 2012-10-31 Hamilton Bonaduz Ag Stanzvorrichtung mit Greifeinheit
JP5476337B2 (ja) * 2011-05-26 2014-04-23 株式会社日立ハイテクノロジーズ 真空処理装置及びプログラム
US9177842B2 (en) 2011-08-10 2015-11-03 Applied Materials, Inc. Degassing apparatus adapted to process substrates in multiple tiers with second actuator
JP2013045817A (ja) * 2011-08-23 2013-03-04 Hitachi High-Technologies Corp 真空処理装置および真空処理方法
KR102578140B1 (ko) * 2011-09-16 2023-09-14 퍼시몬 테크놀로지스 코포레이션 로봇 구동부 및 무선 데이터 커플링
US9048271B2 (en) * 2011-09-29 2015-06-02 Asm International N.V. Modular semiconductor processing system
US8968532B2 (en) * 2011-10-06 2015-03-03 Applied Materials, Inc. Electrochemical processor alignment system
JP5494617B2 (ja) * 2011-10-26 2014-05-21 株式会社安川電機 ロボットシステムおよび加工品の製造方法
JP2015502654A (ja) * 2011-10-26 2015-01-22 ブルックス オートメーション インコーポレイテッド 半導体ウェハのハンドリングおよび搬送
CN102506712A (zh) * 2011-11-04 2012-06-20 中国科学院微电子研究所 一种激光检测装置
JP5923288B2 (ja) * 2011-12-01 2016-05-24 株式会社日立ハイテクノロジーズ 真空処理装置及び真空処理装置の運転方法
US9014836B2 (en) * 2011-12-15 2015-04-21 The Boeing Company Autonomous carrier system for moving aircraft structures
JP5810929B2 (ja) * 2012-01-13 2015-11-11 シンフォニアテクノロジー株式会社 ウェーハ搬送装置
CN104094394A (zh) * 2012-02-08 2014-10-08 应用材料公司 用于分散的基板的具有蜂巢式结构的动态负载锁定
KR20130096072A (ko) * 2012-02-21 2013-08-29 삼성전자주식회사 기판 반송 장치
US20150135880A1 (en) * 2012-03-15 2015-05-21 Moog Inc. Sealed robot base system
JP5738796B2 (ja) 2012-04-11 2015-06-24 株式会社日立ハイテクノロジーズ 処理室割当設定装置及び処理室割当設定プログラム
US9117865B2 (en) * 2012-04-12 2015-08-25 Applied Materials, Inc. Robot systems, apparatus, and methods having independently rotatable waists
JP2014036025A (ja) * 2012-08-07 2014-02-24 Hitachi High-Technologies Corp 真空処理装置または真空処理装置の運転方法
US9199216B2 (en) * 2012-08-07 2015-12-01 Molecular Devices, Llc Apparatuses and methods for conditioning and reorienting components of an electrophysiology measurement system
KR102064391B1 (ko) * 2012-08-31 2020-01-10 삼성디스플레이 주식회사 기판 처리 장치
US9022715B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Load lock chamber designs for high-throughput processing system
US10020187B2 (en) * 2012-11-26 2018-07-10 Applied Materials, Inc. Apparatus and methods for backside passivation
JP2014116545A (ja) * 2012-12-12 2014-06-26 Tokyo Electron Ltd 基板処理装置
CN205159286U (zh) * 2012-12-31 2016-04-13 菲力尔系统公司 用于微辐射热计真空封装组件的晶片级封装的装置
US9149936B2 (en) 2013-01-18 2015-10-06 Persimmon Technologies, Corp. Robot having arm with unequal link lengths
KR102503229B1 (ko) * 2013-01-18 2023-02-23 퍼시몬 테크놀로지스 코포레이션 이송 장치
US10224232B2 (en) 2013-01-18 2019-03-05 Persimmon Technologies Corporation Robot having two arms with unequal link lengths
US9064807B2 (en) * 2013-02-27 2015-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated platform for improved wafer manufacturing quality
US9281222B2 (en) * 2013-03-15 2016-03-08 Applied Materials, Inc. Wafer handling systems and methods
JP2014179508A (ja) * 2013-03-15 2014-09-25 Tokyo Electron Ltd 基板処理装置及び基板処理方法
JP6216530B2 (ja) * 2013-03-29 2017-10-18 株式会社日立ハイテクノロジーズ 真空処理装置の運転方法
US9669552B2 (en) * 2013-05-20 2017-06-06 Varian Semiconductor Equipment Associates, Inc. System and method for quick-swap of multiple substrates
US10328580B2 (en) * 2013-08-09 2019-06-25 Persimmon Technologies Corporation Reduced footprint substrate transport vacuum platform
US10424498B2 (en) * 2013-09-09 2019-09-24 Persimmon Technologies Corporation Substrate transport vacuum platform
US9948155B2 (en) 2013-11-13 2018-04-17 Brooks Automation, Inc. Sealed robot drive
TWI695447B (zh) 2013-11-13 2020-06-01 布魯克斯自動機械公司 運送設備
JP6679482B2 (ja) 2013-11-13 2020-04-15 ブルックス オートメーション インコーポレイテッド ブラシレス電気機械の制御方法および装置
US10348172B2 (en) 2013-11-13 2019-07-09 Brooks Automation, Inc. Sealed switched reluctance motor
KR20240046638A (ko) 2014-01-21 2024-04-09 퍼시몬 테크놀로지스 코포레이션 기판 이송 진공 플랫폼
JP6211960B2 (ja) * 2014-03-13 2017-10-11 東京エレクトロン株式会社 制御装置、基板処理装置及び基板処理システム
US9818633B2 (en) 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US9673071B2 (en) 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
US10692765B2 (en) * 2014-11-07 2020-06-23 Applied Materials, Inc. Transfer arm for film frame substrate handling during plasma singulation of wafers
US10062411B2 (en) * 2014-12-11 2018-08-28 Jeffrey R. Hay Apparatus and method for visualizing periodic motions in mechanical components
US10108325B2 (en) 2014-12-11 2018-10-23 Rdi Technologies, Inc. Method of analyzing, displaying, organizing and responding to vital signals
US11691268B2 (en) 2015-03-12 2023-07-04 Persimmon Technologies Corporation Robot having a variable transmission ratio
CN107534000B (zh) * 2015-04-20 2021-12-17 应用材料公司 缓冲腔室晶片加热机构和支撑机械臂
TWI677046B (zh) * 2015-04-23 2019-11-11 美商應用材料股份有限公司 半導體處理系統中的外部基板材旋轉
CN115424964A (zh) 2015-07-13 2022-12-02 博鲁可斯自动化美国有限责任公司 基底传输设备
WO2017011581A1 (en) 2015-07-13 2017-01-19 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
US9502275B1 (en) * 2015-10-20 2016-11-22 Lam Research Corporation Service tunnel for use on capital equipment in semiconductor manufacturing and research fabs
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
JP6333870B2 (ja) 2016-01-28 2018-05-30 ファナック株式会社 複数の機械および少なくとも一つのセンサを備えたシステム
US10099377B2 (en) * 2016-06-29 2018-10-16 Applied Materials, Inc. Methods and systems providing misalignment correction in robots
JP6830772B2 (ja) * 2016-08-04 2021-02-17 株式会社ジャパンディスプレイ 積層膜の製造装置、及び積層膜の製造方法
US10580672B2 (en) * 2016-10-18 2020-03-03 Mattson Technology, Inc. Systems and methods for workpiece processing
US11482434B2 (en) 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
CN110636922B (zh) * 2017-05-16 2023-03-21 Abb瑞士股份有限公司 用于控制机器人运动序列的方法和控制系统
US10903107B2 (en) * 2017-07-11 2021-01-26 Brooks Automation, Inc. Semiconductor process transport apparatus comprising an adapter pendant
US10406562B2 (en) * 2017-07-21 2019-09-10 Applied Materials, Inc. Automation for rotary sorters
US10861723B2 (en) * 2017-08-08 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EFEM robot auto teaching methodology
WO2019040430A1 (en) * 2017-08-21 2019-02-28 Massachusetts Institute Of Technology EXPANDABLE ROBOTIC ARM
US10456920B2 (en) 2017-08-24 2019-10-29 Samsung Electronics Co., Ltd. Proximity robot blade detection and auto retraction
US10978333B2 (en) * 2017-11-14 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for robotic arm sensing
EP3717918B1 (en) * 2017-11-30 2024-03-06 Michael Munoz Internet of things (iot) enabled wireless sensor system enabling process control, predictive maintenance of electrical distribution networks, liquid and gas pipelines and monitoring of air pollutants including nuclear, chemical, and biological agents using attached and/or embedded passive electromagnetic sensors
AU2019260798A1 (en) * 2018-04-27 2020-12-24 Zahra AHSAN Patient stabilization and securing device for robotic and laparoscopic surgeries in trendelenburg position
US11423551B1 (en) 2018-10-17 2022-08-23 Rdi Technologies, Inc. Enhanced presentation methods for visualizing motion of physical structures and machinery
US11673275B2 (en) 2019-02-08 2023-06-13 Yaskawa America, Inc. Through-beam auto teaching
JP7253955B2 (ja) * 2019-03-28 2023-04-07 東京エレクトロン株式会社 基板処理装置および基板処理方法
US20200365381A1 (en) 2019-05-14 2020-11-19 Mattson Technology, Inc. Systems And Methods For Transportation Of Replaceable Parts In a Vacuum Processing Apparatus
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
KR102211252B1 (ko) * 2019-06-26 2021-02-04 세메스 주식회사 기판 처리 장치
DE102019125134A1 (de) * 2019-09-18 2021-03-18 Mühlbauer Gmbh & Co. Kg Bauteilhandhabung, Bauteilinspektion
US11373317B1 (en) 2020-01-24 2022-06-28 Rdi Technologies, Inc. Measuring the speed of rotation or reciprocation of a mechanical component using one or more cameras
US11413744B2 (en) 2020-03-03 2022-08-16 Applied Materials, Inc. Multi-turn drive assembly and systems and methods of use thereof
US11565402B2 (en) 2020-03-09 2023-01-31 Applied Materials, Inc. Substrate transfer devices, systems and methods of use thereof
US11282213B1 (en) 2020-06-24 2022-03-22 Rdi Technologies, Inc. Enhanced analysis techniques using composite frequency spectrum data
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11322182B1 (en) 2020-09-28 2022-05-03 Rdi Technologies, Inc. Enhanced visualization techniques using reconstructed time waveforms
CN112736000A (zh) * 2020-12-31 2021-04-30 上海广川科技有限公司 一种真空传送装置及传送方法
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US11935771B2 (en) * 2021-02-17 2024-03-19 Applied Materials, Inc. Modular mainframe layout for supporting multiple semiconductor process modules or chambers
US11935770B2 (en) * 2021-02-17 2024-03-19 Applied Materials, Inc. Modular mainframe layout for supporting multiple semiconductor process modules or chambers

Family Cites Families (237)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3294670A (en) * 1963-10-07 1966-12-27 Western Electric Co Apparatus for processing materials in a controlled atmosphere
US3584847A (en) 1968-05-31 1971-06-15 Western Electric Co Advancing workpieces through a sputtering chamber
US3968018A (en) 1969-09-29 1976-07-06 Warner-Lambert Company Sputter coating method
US6076652A (en) * 1971-04-16 2000-06-20 Texas Instruments Incorporated Assembly line system and apparatus controlling transfer of a workpiece
US3796163A (en) 1972-07-12 1974-03-12 Kearney & Trecker Corp Manufacturing system
US3834555A (en) 1972-12-04 1974-09-10 Budd Co Article transfer apparatus
US4015558A (en) 1972-12-04 1977-04-05 Optical Coating Laboratory, Inc. Vapor deposition apparatus
US3874525A (en) 1973-06-29 1975-04-01 Ibm Method and apparatus for handling workpieces
US3925182A (en) 1973-09-25 1975-12-09 Shatterproof Glass Corp Method for continuous production of sputter-coated glass products
US3976330A (en) * 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
DE2812271C2 (de) 1978-03-21 1983-01-27 Leybold-Heraeus GmbH, 5000 Köln Vorrichtung mit mehreren Schleusenkammern zum chargenweisen Beschichten von Substraten
JPS54159964A (en) 1978-06-06 1979-12-18 Shiroyama Kogyo Kk Articulated arm type manipulator
US4216677A (en) * 1978-07-17 1980-08-12 Borg-Warner Corporation Hermetically sealed rotary drive apparatus
US4275978A (en) 1979-02-15 1981-06-30 Brooks Norman B Transport apparatus
FR2455695A1 (fr) * 1979-05-02 1980-11-28 Carpano & Pons Dispositif de commande pour moto-reducteur electrique
JPS5681533U (ja) 1979-11-27 1981-07-01
FR2480846A1 (fr) * 1980-04-18 1981-10-23 Carpano & Pons Dispositif d'entrainement, pour stores a rouleau, volets roulants, ou similaires
US4398720A (en) 1981-01-05 1983-08-16 California R & D Center Robot computer chess game
US4433951A (en) 1981-02-13 1984-02-28 Lam Research Corporation Modular loadlock
FR2502597A1 (fr) * 1981-03-24 1982-10-01 Carpano & Pons Dispositif d'entrainement d'un element souple de protection
US4392776A (en) * 1981-05-15 1983-07-12 Westinghouse Electric Corp. Robotic manipulator structure
JPS58177292A (ja) 1982-04-05 1983-10-17 株式会社豊田中央研究所 工業用ロボツトア−ム及びその製造方法
WO1984003196A1 (en) 1983-02-14 1984-08-16 Brooks Ass Articulated arm transfer device
US4666366A (en) 1983-02-14 1987-05-19 Canon Kabushiki Kaisha Articulated arm transfer device
US4909701A (en) 1983-02-14 1990-03-20 Brooks Automation Inc. Articulated arm transfer device
US4584045A (en) 1984-02-21 1986-04-22 Plasma-Therm, Inc. Apparatus for conveying a semiconductor wafer
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US4702668A (en) * 1985-01-24 1987-10-27 Adept Technology, Inc. Direct drive robotic system
US4712971A (en) 1985-02-13 1987-12-15 The Charles Stark Draper Laboratory, Inc. Control arm assembly
US4813732A (en) * 1985-03-07 1989-03-21 Epsilon Technology, Inc. Apparatus and method for automated wafer handling
US4749465A (en) 1985-05-09 1988-06-07 Seagate Technology In-line disk sputtering system
US4875825A (en) 1985-07-24 1989-10-24 Hewlett-Packard Company Method for automated cassette handling
US4724322A (en) * 1986-03-03 1988-02-09 Applied Materials, Inc. Method for non-contact xyz position sensing
US4701096A (en) * 1986-03-05 1987-10-20 Btu Engineering Corporation Wafer handling station
GB8608817D0 (en) * 1986-04-11 1986-05-14 Advel Ltd Fastener installation apparatus
US6103055A (en) * 1986-04-18 2000-08-15 Applied Materials, Inc. System for processing substrates
CA1331163C (en) * 1986-04-18 1994-08-02 Applied Materials, Inc. Multiple-processing and contamination-free plasma etching system
US5308431A (en) * 1986-04-18 1994-05-03 General Signal Corporation System providing multiple processing of substrates
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4775281A (en) * 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
JPS63157870A (ja) 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4817556A (en) 1987-05-04 1989-04-04 Varian Associates, Inc. Apparatus for retaining wafers
US4831270A (en) * 1987-05-21 1989-05-16 Ion Implant Services Ion implantation apparatus
US5020475A (en) 1987-10-15 1991-06-04 Epsilon Technology, Inc. Substrate handling and transporting apparatus
JP2502661B2 (ja) 1988-03-04 1996-05-29 松下電器産業株式会社 気相成長装置
US5536128A (en) * 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US5076205A (en) 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US5064340A (en) * 1989-01-20 1991-11-12 Genmark Automation Precision arm mechanism
FR2644290A1 (fr) * 1989-03-10 1990-09-14 Labo Electronique Physique Micromanipulateur
US5013210A (en) 1989-05-08 1991-05-07 Bond Irvin D Workpiece transfer apparatus with folding arms
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
US5227708A (en) 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
US5203443A (en) * 1989-11-13 1993-04-20 Kabushiki Kaisha Shinkawa Conveying apparatus used in assembling semicondutors
JPH0419081A (ja) 1990-05-15 1992-01-23 Seiko Instr Inc 真空内搬送ロボット
US5414334A (en) * 1990-12-28 1995-05-09 Somfy Control device for an asynchronous roller-blind motor
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5382806A (en) * 1991-05-07 1995-01-17 Kensington Laboratories, Inc. Specimen carrier platform and scanning assembly
IT1251017B (it) * 1991-05-21 1995-04-28 Ugo Crippa Meccanismo per compiere traiettorie prefissate assimilabili ad ellittiche
JPH04132388U (ja) * 1991-05-24 1992-12-08 千住金属工業株式会社 真空乾燥装置
US5314541A (en) 1991-05-28 1994-05-24 Tokyo Electron Limited Reduced pressure processing system and reduced pressure processing method
US5180275A (en) 1991-05-28 1993-01-19 The Braun Corporation Rotary bus lift with power stowable platform
JP3216154B2 (ja) * 1991-07-26 2001-10-09 株式会社島津製作所 真空成膜装置
JP3030667B2 (ja) 1991-07-29 2000-04-10 東京エレクトロン株式会社 搬送装置
US5467266A (en) * 1991-09-03 1995-11-14 Lutron Electronics Co., Inc. Motor-operated window cover
US5766360A (en) 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5426865A (en) * 1992-09-03 1995-06-27 Tokyo Electron Limited Vacuum creating method and apparatus
JPH06104327A (ja) * 1992-09-22 1994-04-15 Sony Corp 基板処理装置
KR100302012B1 (ko) 1992-11-06 2001-11-30 조셉 제이. 스위니 미소-환경 콘테이너 연결방법 및 미소-환경 로드 로크
DE69329269T2 (de) * 1992-11-12 2000-12-28 Applied Materials Inc System und Verfahren für automatische Positionierung eines Substrats in einem Prozessraum
KR970011065B1 (ko) * 1992-12-21 1997-07-05 다이닛뽕 스크린 세이조오 가부시키가이샤 기판처리장치와 기판처리장치에 있어서 기판교환장치 및 기판교환방법
JP3042576B2 (ja) * 1992-12-21 2000-05-15 大日本スクリーン製造株式会社 基板処理装置
US5431529A (en) 1992-12-28 1995-07-11 Brooks Automation, Inc. Articulated arm transfer device
CN1046654C (zh) * 1993-04-16 1999-11-24 布鲁克斯自动化公司 传送装置
US5433020A (en) 1993-04-29 1995-07-18 Altos Engineering, Inc. Apparatus and method for vacuum drying
US6296735B1 (en) 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
US5417537A (en) * 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
TW276353B (ja) 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
US5475914A (en) * 1993-08-10 1995-12-19 Ohio Electronic Engravers, Inc. Engraving head with cartridge mounted components
US5539975A (en) 1993-09-08 1996-07-30 Allen-Bradley Company, Inc. Control system and equipment configuration for a modular product assembly platform
US5344365A (en) * 1993-09-14 1994-09-06 Sematech, Inc. Integrated building and conveying structure for manufacturing under ultraclean conditions
JPH0799224A (ja) * 1993-09-28 1995-04-11 Hitachi Ltd 多チャンバ型半導体製造装置
US5447431A (en) 1993-10-29 1995-09-05 Brooks Automation, Inc. Low-gas temperature stabilization system
US5538390A (en) 1993-10-29 1996-07-23 Applied Materials, Inc. Enclosure for load lock interface
JPH07211762A (ja) * 1994-01-13 1995-08-11 Hitachi Ltd ウエハ搬送処理装置
US5511005A (en) * 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5563798A (en) * 1994-04-05 1996-10-08 Applied Materials, Inc. Wafer positioning system
AU2293095A (en) * 1994-04-18 1995-11-10 Micron Technology, Inc. Method and apparatus for automatically positioning electronic die within component packages
WO1995035506A2 (en) * 1994-06-17 1995-12-28 Kensington Laboratories, Inc. Scribe mark reader
US5486080A (en) * 1994-06-30 1996-01-23 Diamond Semiconductor Group, Inc. High speed movement of workpieces in vacuum processing
TW301761B (ja) * 1994-11-29 1997-04-01 Sharp Kk
JPH08196894A (ja) * 1995-01-25 1996-08-06 Tokki Kk 真空装置の小型、低価格化のための構成方法及び、材料の搬送形態
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
ATE275759T1 (de) * 1995-03-28 2004-09-15 Brooks Automation Gmbh Be- und entladestation für halbleiterbearbeitungsanlagen
WO1996042108A1 (fr) * 1995-06-08 1996-12-27 Kokusai Electric Co., Ltd. Dispositif de transport de substrat
TW309503B (ja) * 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
US6360144B1 (en) 1995-07-10 2002-03-19 Newport Corporation Self-teaching robot arm position method
US5765982A (en) * 1995-07-10 1998-06-16 Amtech Systems, Inc. Automatic wafer boat loading system and method
US6366830B2 (en) * 1995-07-10 2002-04-02 Newport Corporation Self-teaching robot arm position method to compensate for support structure component alignment offset
KR100310249B1 (ko) 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
TW318258B (ja) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
TW349897B (en) 1996-02-02 1999-01-11 Komatsu Mfg Co Ltd Operational robot
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
US5810549A (en) 1996-04-17 1998-09-22 Applied Materials, Inc. Independent linear dual-blade robot and method for transferring wafers
US5668452A (en) 1996-05-09 1997-09-16 Vlsi Technology, Inc. Magnetic sensing robotics for automated semiconductor wafer processing systems
US5765983A (en) 1996-05-30 1998-06-16 Brooks Automation, Inc. Robot handling apparatus
US6062798A (en) 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US6318951B1 (en) 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling
US5980194A (en) * 1996-07-15 1999-11-09 Applied Materials, Inc. Wafer position error detection and correction system
US6203582B1 (en) * 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
TW344847B (en) 1996-08-29 1998-11-11 Tokyo Electron Co Ltd Substrate treatment system, substrate transfer system, and substrate transfer method
US5814733A (en) * 1996-09-12 1998-09-29 Motorola, Inc. Method of characterizing dynamics of a workpiece handling system
JP3947761B2 (ja) * 1996-09-26 2007-07-25 株式会社日立国際電気 基板処理装置、基板搬送機および基板処理方法
US6048154A (en) 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5848634A (en) * 1996-12-27 1998-12-15 Latron Electronics Co. Inc. Motorized window shade system
US6126381A (en) * 1997-04-01 2000-10-03 Kensington Laboratories, Inc. Unitary specimen prealigner and continuously rotatable four link robot arm mechanism
US6059507A (en) 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
US6439824B1 (en) * 2000-07-07 2002-08-27 Semitool, Inc. Automated semiconductor immersion processing system
US5894760A (en) * 1997-06-12 1999-04-20 Brooks Automation, Inc. Substrate transport drive system
US6073366A (en) 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
US6312525B1 (en) * 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6034000A (en) 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
TW385488B (en) 1997-08-15 2000-03-21 Tokyo Electron Ltd substrate processing device
KR20010023014A (ko) 1997-08-28 2001-03-26 씨브이씨 프로덕츠 인코포레이티드 다중스테이션 장비용 웨이퍼 핸들러
US6053687A (en) 1997-09-05 2000-04-25 Applied Materials, Inc. Cost effective modular-linear wafer processing
US6002840A (en) * 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6205870B1 (en) * 1997-10-10 2001-03-27 Applied Komatsu Technology, Inc. Automated substrate processing systems and methods
US6281651B1 (en) * 1997-11-03 2001-08-28 Immersion Corporation Haptic pointing devices
US6293749B1 (en) * 1997-11-21 2001-09-25 Asm America, Inc. Substrate transfer system for semiconductor processing equipment
US6210084B1 (en) * 1997-11-26 2001-04-03 The Boeing Company Pressure foot assembly for clamping a joint
JP2002504744A (ja) * 1997-11-28 2002-02-12 マットソン テクノロジイ インコーポレイテッド 真空処理を行う非加工物を、低汚染かつ高処理能力で取扱うためのシステムおよび方法
US6257827B1 (en) 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
JP4178534B2 (ja) 1997-12-24 2008-11-12 株式会社安川電機 基板搬送用ロボット
US6146077A (en) * 1998-01-13 2000-11-14 Samsung Electronics Co., Ltd. Wafer transfer system of semiconductor fabricating equipment using a serial number detecting device
JPH11207611A (ja) * 1998-01-21 1999-08-03 Shin Etsu Handotai Co Ltd 両面研磨装置におけるワークの自動搬送装置
US6155768A (en) * 1998-01-30 2000-12-05 Kensington Laboratories, Inc. Multiple link robot arm system implemented with offset end effectors to provide extended reach and enhanced throughput
US6125551A (en) * 1998-03-17 2000-10-03 Verteq, Inc. Gas seal and support for rotating semiconductor processor
DE19813684C2 (de) 1998-03-27 2001-08-16 Brooks Automation Gmbh Einrichtung zur Aufnahme von Transportbehältern an einer Be- und Entladestation
DE19816221A1 (de) * 1998-04-09 1999-10-21 Siemens Ag Verfahren und Vorrichtung zum Behandeln von Gegenständen, vorzugsweise Wafern
KR100265287B1 (ko) 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6153044A (en) 1998-04-30 2000-11-28 Euv Llc Protection of lithographic components from particle contamination
US6547510B1 (en) * 1998-05-04 2003-04-15 Brooks Automation Inc. Substrate transport apparatus with coaxial drive shafts and dual independent scara arms
US6267549B1 (en) * 1998-06-02 2001-07-31 Applied Materials, Inc. Dual independent robot blades with minimal offset
US6142722A (en) * 1998-06-17 2000-11-07 Genmark Automation, Inc. Automated opening and closing of ultra clean storage containers
US6073828A (en) * 1998-06-30 2000-06-13 Lam Research Corporation End effector for substrate handling and method for making the same
US6375746B1 (en) 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
US6167322A (en) 1998-07-10 2000-12-26 Holbrooks; Orville Ray Intelligent wafer handling system and method
US6501070B1 (en) * 1998-07-13 2002-12-31 Newport Corporation Pod load interface equipment adapted for implementation in a fims system
US6016611A (en) * 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
US6017820A (en) 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6282459B1 (en) * 1998-09-01 2001-08-28 International Business Machines Corporation Structure and method for detection of physical interference during transport of an article
US6144118A (en) * 1998-09-18 2000-11-07 General Scanning, Inc. High-speed precision positioning apparatus
JP2965038B1 (ja) * 1998-09-21 1999-10-18 日新電機株式会社 真空処理装置
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US6960057B1 (en) * 1998-09-30 2005-11-01 Brooks Automation, Inc. Substrate transport apparatus
TW442891B (en) 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
US6405101B1 (en) * 1998-11-17 2002-06-11 Novellus Systems, Inc. Wafer centering system and method
ATE389237T1 (de) * 1998-12-02 2008-03-15 Newport Corp Armgreiforgan für probehalteroboter
JP2000177842A (ja) * 1998-12-10 2000-06-27 Mitsubishi Heavy Ind Ltd 搬送装置及び真空処理システム
JP3723003B2 (ja) 1998-12-18 2005-12-07 三菱重工業株式会社 真空処理システム
JP2000195925A (ja) * 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
US6485250B2 (en) 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
US6678572B1 (en) * 1998-12-31 2004-01-13 Asml Holdings, N.V. Recipe cascading in a wafer processing system
JP2000286319A (ja) * 1999-03-31 2000-10-13 Canon Inc 基板搬送方法および半導体製造装置
US6118243A (en) * 1999-04-07 2000-09-12 Overhead Door Corporation Door operator system
US6736582B1 (en) 1999-04-09 2004-05-18 Brooks Automation, Inc. Device for manipulating an object for loading and unloading a clean room
US6944584B1 (en) * 1999-04-16 2005-09-13 Brooks Automation, Inc. System and method for control and simulation
EP1187183A4 (en) * 1999-04-16 2009-01-14 Tokyo Electron Ltd MANUFACTURE OF A SEMICONDUCTOR PART AND ASSOCIATED MANUFACTURING STRIP
TW469483B (en) * 1999-04-19 2001-12-21 Applied Materials Inc Method and apparatus for aligning a cassette
US6763281B2 (en) * 1999-04-19 2004-07-13 Applied Materials, Inc Apparatus for alignment of automated workpiece handling systems
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US6227793B1 (en) * 1999-05-25 2001-05-08 Norfield Industries Door transport system
US6592673B2 (en) * 1999-05-27 2003-07-15 Applied Materials, Inc. Apparatus and method for detecting a presence or position of a substrate
US6166509A (en) 1999-07-07 2000-12-26 Applied Materials, Inc. Detection system for substrate clamp
US6318945B1 (en) * 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6242748B1 (en) * 1999-08-10 2001-06-05 Edax, Inc. Methods and apparatus for mounting an X-ray detecting unit to an electron microscope
KR100374478B1 (ko) * 1999-09-20 2003-03-03 엘지전자 주식회사 나노 크기의 아나타제형 이산화티탄 광촉매의 제조방법 및 상기 방법으로 제조된 광촉매
JP3339840B2 (ja) 1999-09-28 2002-10-28 タツモ株式会社 水平多関節型産業用ロボット及びその制御方法
US6402401B1 (en) * 1999-10-19 2002-06-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6309161B1 (en) 1999-11-04 2001-10-30 Brooks Automation, Inc. Load lock with vertically movable support
US6949143B1 (en) 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6640151B1 (en) * 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
JP3639764B2 (ja) 2000-02-01 2005-04-20 タツモ株式会社 基板搬送装置
US6506009B1 (en) 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6497267B1 (en) * 2000-04-07 2002-12-24 Lutron Electronics Co., Inc. Motorized window shade with ultraquiet motor drive and ESD protection
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
TW511220B (en) 2000-10-24 2002-11-21 Ulvac Corp Carrying device and vacuum processing apparatus using the same
JP2002158272A (ja) 2000-11-17 2002-05-31 Tatsumo Kk ダブルアーム基板搬送装置
JP2002166376A (ja) 2000-11-30 2002-06-11 Hirata Corp 基板搬送用ロボット
US6591160B2 (en) * 2000-12-04 2003-07-08 Asyst Technologies, Inc. Self teaching robot
US6494666B2 (en) * 2001-01-26 2002-12-17 Fortrend Engineering Corporation Simplified and enhanced SCARA arm
US6499936B2 (en) * 2001-02-17 2002-12-31 Yokogawa Electric Corporation Transfer system
US6601888B2 (en) * 2001-03-19 2003-08-05 Creo Inc. Contactless handling of objects
US20020159864A1 (en) * 2001-04-30 2002-10-31 Applied Materials, Inc. Triple chamber load lock
JP2002332570A (ja) 2001-05-08 2002-11-22 Anelva Corp 基板処理装置
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US6752585B2 (en) * 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
US7047099B2 (en) * 2001-06-19 2006-05-16 Applied Materials Inc. Integrating tool, module, and fab level control
US6918731B2 (en) * 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
TW490787B (en) 2001-07-10 2002-06-11 Asm Assembly Automation Ltd High speed pick and place apparatus
US6643563B2 (en) * 2001-07-13 2003-11-04 Brooks Automation, Inc. Trajectory planning and motion control strategies for a planar three-degree-of-freedom robotic arm
US6950716B2 (en) * 2001-08-13 2005-09-27 Applied Materials, Inc. Dynamic control of wafer processing paths in semiconductor manufacturing processes
US6984198B2 (en) * 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
US6586336B2 (en) * 2001-08-31 2003-07-01 Oriol, Inc. Chemical-mechanical-polishing station
JP2003077974A (ja) * 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2003124284A (ja) * 2001-10-11 2003-04-25 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2003142360A (ja) 2001-11-02 2003-05-16 Daikin Ind Ltd 半導体装置の製造装置
US6719517B2 (en) 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
JP2003183728A (ja) * 2001-12-14 2003-07-03 Jh Corp 真空熱処理装置
TWI258831B (en) * 2001-12-31 2006-07-21 Applied Materials Inc Cassette and workpiece handler characterization tool
US20030131458A1 (en) 2002-01-15 2003-07-17 Applied Materials, Inc. Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing
US6761085B1 (en) * 2002-02-06 2004-07-13 Novellus Systems Incorporated Method and apparatus for damping vibrations in a semiconductor wafer handling arm
US7351291B2 (en) * 2002-02-20 2008-04-01 Tokyo Electron Limited Semiconductor processing system
US6779962B2 (en) * 2002-03-22 2004-08-24 Brooks Automation, Inc. Device for handling flat panels in a vacuum
JP4197103B2 (ja) * 2002-04-15 2008-12-17 株式会社荏原製作所 ポリッシング装置
US6900877B2 (en) * 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
KR100464853B1 (ko) * 2002-06-20 2005-01-06 삼성전자주식회사 순간감압가열 건조방법 및 장치
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US6869263B2 (en) 2002-07-22 2005-03-22 Brooks Automation, Inc. Substrate loading and unloading station with buffer
JP4712379B2 (ja) 2002-07-22 2011-06-29 ブルックス オートメーション インコーポレイテッド 基板処理装置
US6813543B2 (en) * 2002-10-08 2004-11-02 Brooks-Pri Automation, Inc. Substrate handling system for aligning and orienting substrates during a transfer operation
US6996456B2 (en) * 2002-10-21 2006-02-07 Fsi International, Inc. Robot with tactile sensor device
US7641247B2 (en) * 2002-12-17 2010-01-05 Applied Materials, Inc. End effector assembly for supporting a substrate
US7245989B2 (en) * 2002-12-20 2007-07-17 Brooks Automation, Inc. Three-degree-of-freedom parallel robot arm
US6760976B1 (en) * 2003-01-15 2004-07-13 Novellus Systems, Inc. Method for active wafer centering using a single sensor
US6983783B2 (en) * 2003-06-10 2006-01-10 Lutron Electronics Co., Inc. Motorized shade control system
US6934606B1 (en) * 2003-06-20 2005-08-23 Novellus Systems, Inc. Automatic calibration of a wafer-handling robot
CN101094933A (zh) 2003-08-29 2007-12-26 交叉自动控制公司 用于半导体处理的方法和装置
US20050095087A1 (en) 2003-10-30 2005-05-05 Sullivan Robert P. Automated material handling system
EP1684951B1 (en) 2003-11-10 2014-05-07 Brooks Automation, Inc. System for handling workpieces in a vacuum-based semiconductor handling system
US6987272B2 (en) 2004-03-05 2006-01-17 Axcelis Technologies, Inc. Work piece transfer system for an ion beam implanter
US20080206036A1 (en) * 2007-02-27 2008-08-28 Smith John M Magnetic media processing tool with storage bays and multi-axis robot arms
JP5456287B2 (ja) * 2008-09-05 2014-03-26 東京エレクトロン株式会社 縦型熱処理装置

Also Published As

Publication number Publication date
US20090067958A1 (en) 2009-03-12
IL175518A0 (en) 2006-09-05
US7210246B2 (en) 2007-05-01
US8807905B2 (en) 2014-08-19
JP2011101035A (ja) 2011-05-19
JP5373760B2 (ja) 2013-12-18
US20050111956A1 (en) 2005-05-26
JP2007511104A (ja) 2007-04-26
US20050113976A1 (en) 2005-05-26
US20080085173A1 (en) 2008-04-10
EP1684951A4 (en) 2011-05-25
WO2005048313A3 (en) 2006-03-02
WO2005048313A2 (en) 2005-05-26
EP1684951B1 (en) 2014-05-07
US8029225B2 (en) 2011-10-04
US20050223837A1 (en) 2005-10-13
US20120014769A1 (en) 2012-01-19
SG132670A1 (en) 2007-06-28
US20120148374A1 (en) 2012-06-14
KR20070008533A (ko) 2007-01-17
US20050113964A1 (en) 2005-05-26
US20060263177A1 (en) 2006-11-23
US20050118009A1 (en) 2005-06-02
US8439623B2 (en) 2013-05-14
US7422406B2 (en) 2008-09-09
US7959403B2 (en) 2011-06-14
US20050120578A1 (en) 2005-06-09
EP1684951A2 (en) 2006-08-02
US20150221534A1 (en) 2015-08-06
US8944738B2 (en) 2015-02-03

Similar Documents

Publication Publication Date Title
JP5226215B2 (ja) 真空下の半導体処理システムにおいて加工中の製品を処理する方法及びシステム
US11352220B2 (en) Semiconductor wafer handling and transport
US7988399B2 (en) Mid-entry load lock for semiconductor handling system
US8500388B2 (en) Semiconductor wafer handling and transport
US20070264106A1 (en) Robotic components for semiconductor manufacturing
WO2007101228A2 (en) Semiconductor wafer handling and transport

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071109

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100629

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100928

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101005

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101228

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110524

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110926

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20121219

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20121219

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121219

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20130122

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130219

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130314

R150 Certificate of patent or registration of utility model

Ref document number: 5226215

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160322

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250