KR102211252B1 - 기판 처리 장치 - Google Patents

기판 처리 장치 Download PDF

Info

Publication number
KR102211252B1
KR102211252B1 KR1020190076321A KR20190076321A KR102211252B1 KR 102211252 B1 KR102211252 B1 KR 102211252B1 KR 1020190076321 A KR1020190076321 A KR 1020190076321A KR 20190076321 A KR20190076321 A KR 20190076321A KR 102211252 B1 KR102211252 B1 KR 102211252B1
Authority
KR
South Korea
Prior art keywords
substrate
robot
transfer
chamber
load lock
Prior art date
Application number
KR1020190076321A
Other languages
English (en)
Other versions
KR20210000972A (ko
Inventor
김상오
이명진
변희재
송준호
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020190076321A priority Critical patent/KR102211252B1/ko
Priority to CN202010587593.1A priority patent/CN112151412B/zh
Priority to US16/911,779 priority patent/US11702299B2/en
Publication of KR20210000972A publication Critical patent/KR20210000972A/ko
Application granted granted Critical
Publication of KR102211252B1 publication Critical patent/KR102211252B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G54/00Non-mechanical conveyors not otherwise provided for
    • B65G54/02Non-mechanical conveyors not otherwise provided for electrostatic, electric, or magnetic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G47/00Article or material-handling devices associated with conveyors; Methods employing such devices
    • B65G47/74Feeding, transfer, or discharging devices of particular kinds or types
    • B65G47/90Devices for picking-up and depositing articles or materials
    • B65G47/905Control arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67709Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using magnetic elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/6776Continuous loading and unloading into and out of a processing chamber, e.g. transporting belts within processing chambers

Abstract

기판 처리 장치가 제공된다. 기판 처리 장치는 일측으로 긴 형상을 갖고, 기판의 이동 공간을 제공하는 이송 챔버와, 상기 이송 챔버에 연결되어 상기 이송 챔버와 공정 전 기판 또는 공정 후 기판의 교환 공간을 제공하는 로드락 챔버와, 상기 이송 챔버에 연결되어 상기 이송 챔버로부터 전달된 기판에 대한 공정을 수행하는 공정 유닛과, 상기 이송 챔버에 구비되어 기판의 이동 경로를 제공하는 트랙, 및 비접촉 방식으로 상기 트랙을 따라 이동 가능하고, 상기 로드락 챔버에 진입하거나 진출하여 상기 로드락 챔버와 상기 이송 챔버 간의 기판 교환을 수행하는 이송 로봇을 포함한다.

Description

기판 처리 장치{Apparatus for treating substrate}
본 발명은 기판 처리 장치에 관한 것이다.
반도체 장치 또는 디스플레이 장치를 제조할 때에는, 사진, 식각, 애싱, 이온주입, 박막증착, 세정 등 다양한 공정이 실시된다. 여기서, 사진공정은 도포, 노광, 그리고 현상 공정을 포함한다. 기판 상에 감광액을 도포하고(즉, 도포 공정), 감광막이 형성된 기판 상에 회로 패턴을 노광하며(즉, 노광 공정), 기판의 노광처리된 영역을 선택적으로 현상한다(즉, 현상 공정).
기판 공정을 위한 설비의 면적을 최소화하기 위하여 트랙 형태의 플랫폼을 구성할 수 있다. 트랙의 일측 말단에 로드락 챔버가 구성되고, 트랙의 길이 방향을 따라 다수의 공정 유닛이 구비될 수 있다. 트랙에는 기판의 이송을 위한 로봇이 구비될 수 있다. 로봇은 트랙을 따라 이동하면서 로드락 챔버와 공정 유닛 간의 기판 교환을 수행할 수 있다.
본 발명이 해결하고자 하는 과제는 기판 처리 장치를 제공하는 것이다.
본 발명의 과제들은 이상에서 언급한 과제로 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 과제를 달성하기 위한 본 발명의 기판 처리 장치의 일 면(aspect)은, 일측으로 긴 형상을 갖고, 기판의 이동 공간을 제공하는 이송 챔버와, 상기 이송 챔버에 연결되어 상기 이송 챔버와 공정 전 기판 또는 공정 후 기판의 교환 공간을 제공하는 로드락 챔버와, 상기 이송 챔버에 연결되어 상기 이송 챔버로부터 전달된 기판에 대한 공정을 수행하는 공정 유닛과, 상기 이송 챔버에 구비되어 기판의 이동 경로를 제공하는 트랙, 및 비접촉 방식으로 상기 트랙을 따라 이동 가능하고, 상기 로드락 챔버에 진입하거나 진출하여 상기 로드락 챔버와 상기 이송 챔버 간의 기판 교환을 수행한다.
상기 이송 로봇은, 기판의 지지하기 위한 지지면을 제공하는 베이스부와, 상기 지지면에서 돌출 형성되어 기판을 지지하는 지지핀, 및 상기 지지면이 아닌 상기 베이스부의 일측에 배치되어 서로 다른 극성의 영구 자력을 번갈아 가면서 제공하는 자력부를 포함한다.
상기 트랙은 변화하는 자력을 상기 자력부에 제공하여 상기 이송 로봇이 상기 트랙에서 일정 거리만큼 이격된 상태에서 상기 트랙을 따라 이동하도록 한다.
상기 이송 로봇은 기판을 적재하고 있는 적재 로봇과 기판을 적재하지 않고 있는 비적재 로봇을 포함하고, 상기 적재 로봇 및 상기 비적재 로봇은 쌍으로 상기 트랙을 따라 이동한다.
상기 기판 처리 장치는 상기 공정 유닛에서 공정이 완료된 기판을 상기 비적재 로봇에 적재하고, 상기 적재 로봇에 적재된 기판을 상기 공정 유닛으로 반입하는 교환 로봇을 더 포함한다.
상기 교환 로봇은 단일의 핸드(hand)를 구비한다.
상기 교환 로봇은 상기 이송 챔버의 천장면에 배치된 스카라(SCARA; Selective Compliance Assembly Robot Arm)를 포함한다.
상기 기판 처리 장치는 상기 이송 챔버와 상기 로드락 챔버의 사이에 구비되어 복수의 이송 로봇 간의 위치 변경을 위한 공간을 제공하는 위치 교환 챔버를 더 포함한다.
기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
도 1은 본 발명의 일 실시예예 따른 기판 처리 장치를 나타낸 도면이다.
도 2는 도 1에 도시된 이송 로봇을 나타낸 도면이다.
도 3은 도 1에 도시된 이송 로봇이 트랙을 따라 이동하는 것을 나타낸 도면이다.
도 4는 도 1에 도시된 로드락 챔버에서 이송 로봇이 반출되는 것을 나타낸 도면이다.
도 5는 도 4에 도시된 적재 로봇과 비적재 로봇이 트랙을 따라 이동하는 것을 나타낸 도면이다.
도 6은 도 5에 도시된 적재 로봇과 비적재 로봇에 대하여 교환 로봇이 기판을 교환하는 것을 나타낸 도면이다.
도 7은 도 6에서 기판이 교환된 적재 로봇과 비적재 로봇이 트랙을 따라 이동하는 것을 나타낸 도면이다.
도 8은 도 7에 도시된 적재 로봇이 로드락 챔버로 반입되는 것을 나타낸 도면이다.
도 9는 도 8에 도시된 비적재 로봇의 위치가 변경되는 것을 나타낸 도면이다.
도 10은 도 9에 도시된 로드락 챔버에서 적재 로봇이 반출되는 것을 나타낸 도면이다.
이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명한다. 본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시 예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 게시되는 실시 예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 단지 본 실시 예들은 본 발명의 게시가 완전하도록 하고, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.
소자(elements) 또는 층이 다른 소자 또는 층의 "위(on)" 또는 "상(on)"으로 지칭되는 것은 다른 소자 또는 층의 바로 위뿐만 아니라 중간에 다른 층 또는 다른 소자를 개재한 경우를 모두 포함한다. 반면, 소자가 "직접 위(directly on)" 또는 "바로 위"로 지칭되는 것은 중간에 다른 소자 또는 층을 개재하지 않은 것을 나타낸다.
공간적으로 상대적인 용어인 "아래(below)", "아래(beneath)", "하부(lower)", "위(above)", "상부(upper)" 등은 도면에 도시되어 있는 바와 같이 하나의 소자 또는 구성 요소들과 다른 소자 또는 구성 요소들과의 상관관계를 용이하게 기술하기 위해 사용될 수 있다. 공간적으로 상대적인 용어는 도면에 도시되어 있는 방향에 더하여 사용시 또는 동작시 소자의 서로 다른 방향을 포함하는 용어로 이해되어야 한다. 예를 들면, 도면에 도시되어 있는 소자를 뒤집을 경우, 다른 소자의 "아래(below)" 또는 "아래(beneath)"로 기술된 소자는 다른 소자의 "위(above)"에 놓여질 수 있다. 따라서, 예시적인 용어인 "아래"는 아래와 위의 방향을 모두 포함할 수 있다. 소자는 다른 방향으로도 배향될 수 있고, 이에 따라 공간적으로 상대적인 용어들은 배향에 따라 해석될 수 있다.
비록 제1, 제2 등이 다양한 소자, 구성요소 및/또는 섹션들을 서술하기 위해서 사용되나, 이들 소자, 구성요소 및/또는 섹션들은 이들 용어에 의해 제한되지 않음은 물론이다. 이들 용어들은 단지 하나의 소자, 구성요소 또는 섹션들을 다른 소자, 구성요소 또는 섹션들과 구별하기 위하여 사용하는 것이다. 따라서, 이하에서 언급되는 제1 소자, 제1 구성요소 또는 제1 섹션은 본 발명의 기술적 사상 내에서 제2 소자, 제2 구성요소 또는 제2 섹션일 수도 있음은 물론이다.
본 명세서에서 사용된 용어는 실시예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 "포함한다(comprises)" 및/또는 "포함하는(comprising)"은 언급된 구성요소, 단계, 동작 및/또는 소자는 하나 이상의 다른 구성요소, 단계, 동작 및/또는 소자의 존재 또는 추가를 배제하지 않는다.
다른 정의가 없다면, 본 명세서에서 사용되는 모든 용어(기술 및 과학적 용어를 포함)는 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 공통적으로 이해될 수 있는 의미로 사용될 수 있을 것이다. 또 일반적으로 사용되는 사전에 정의되어 있는 용어들은 명백하게 특별히 정의되어 있지 않는 한 이상적으로 또는 과도하게 해석되지 않는다.
이하, 첨부한 도면들을 참조하여 본 발명의 실시예들을 상세히 설명하기로 하며, 첨부 도면을 참조하여 설명함에 있어 도면 부호에 상관없이 동일하거나 대응하는 구성 요소는 동일한 참조번호를 부여하고 이에 대한 중복되는 설명은 생략하기로 한다.
도 1은 본 발명의 일 실시예예 따른 기판 처리 장치를 나타낸 도면이다.
도 1을 참조하면, 기판 처리 장치(10)는 인덱스 모듈(11), 로딩 모듈(12), 공정 모듈(13) 및 제어 모듈(14)을 포함하여 구성된다.
인덱스 모듈(11), 로딩 모듈(12) 및 공정 모듈(13)은 일렬로 배치될 수 있다. 이하, 인덱스 모듈(11), 로딩 모듈(12) 및 공정 모듈(13)이 배열된 방향을 제1 방향(X)이라 하고, 상부에서 바라볼 때 제1 방향(X)에 수직한 방향을 제2 방향(Y)이라 하며, 제1 방향(X)과 제2 방향(Y)을 포함한 평면에 수직인 방향을 제3 방향(Z)이라 한다.
인덱스 모듈(11)은 공정 모듈(13)로 기판을 반입하고, 공정 모듈(13)에서 공정 처리가 완료된 기판을 인출하는 역할을 수행한다. 인덱스 모듈(11)과 공정 모듈(13)의 사이에는 로딩 모듈(12)이 구비될 수 있다. 인덱스 모듈(11)은 로딩 모듈(12)을 통하여 공정 모듈(13)로 기판을 반입하거나 로딩 모듈(12)에서 기판을 인출할 수 있다.
인덱스 모듈(11)은 기판이 수납된 캐리어(110)로부터 기판을 로딩 모듈(12)로 이송시키고, 로딩 모듈(12)에서 배출되는 기판을 캐리어(110)에 수납시킬 수 있다. 인덱스 모듈(11)은 로드 포트(100) 및 이송 프레임(200)을 포함할 수 있다.
로드 포트(100)에는 캐리어(110)가 안착될 수 있다. 캐리어(110)에는 복수의 기판이 수납될 수 있다. 로드 포트(100)는 복수 개가 제공될 수 있으며, 복수의 로드 포트(100)는 제2 방향(Y)으로 일렬로 배치될 수 있다.
캐리어(110)는 기판의 가장자리를 지지하는 슬롯(미도시)을 구비할 수 있다. 슬롯은 제3 방향(Z)을 따라 복수 개가 구비될 수 있다. 이에, 복수의 기판이 제3 방향(Z)을 따라 서로 이격된 상태로 캐리어(110)의 내부에서 적층될 수 있다. 캐리어(110)로는 전면 개방 일체형 포드(Front Opening Unified Pod; FOUP)가 이용될 수 있다.
이송 프레임(200)은 캐리어(110) 및 로딩 모듈(12) 간에 기판을 이송시키는 역할을 수행한다. 이송 프레임(200)은 인덱스 레일(210) 및 인덱스 로봇(220)을 포함할 수 있다.
인덱스 레일(210)은 제2 방향(Y)에 평행하게 길게 배치될 수 있다. 인덱스 로봇(220)은 인덱스 레일(210)상에 설치되며, 인덱스 레일(210)을 따라 제2 방향(Y)으로 이동할 수 있다. 인덱스 로봇(220)은 인덱스 베이스(221), 인덱스 몸체(222) 및 인덱스 암(223)을 포함할 수 있다. 인덱스 베이스(221)는 인덱스 레일(210)을 따라 이동 가능하도록 인덱스 레일(210)에 설치될 수 있다. 인덱스 몸체(222)는 인덱스 베이스(221)에 결합하여 인덱스 베이스(221)와 함께 인덱스 레일(210)을 따라 이동할 수 있다. 또한, 인덱스 몸체(222)는 인덱스 베이스(221)에 대하여 제3 방향(Z)을 따라 이동할 수 있으며, 제3 방향(Z)에 평행한 회전축을 중심으로 회전할 수도 있다.
인덱스 암(223)은 직접적으로 기판을 지지하여 기판을 이송시키는 역할을 수행한다. 이를 위하여, 인덱스 암(223)은 상호 간의 각도 조절 또는 길이 조절이 가능한 복수의 관절을 포함할 수 있다. 도 1은 하나의 인덱스 암(223)이 인덱스 몸체(222)에 구비된 것을 도시하고 있으나 복수의 인덱스 암(223)이 인덱스 몸체(222)에 구비될 수도 있다. 복수의 인덱스 암(223)이 구비된 경우 복수의 인덱스 암(223) 중 일부는 로딩 모듈(12)에서 캐리어(110)로 기판을 이송시킬 때 이용되고, 다른 일부는 캐리어(110)에서 로딩 모듈(12)로 기판을 이송시킬 때 이용될 수 있다. 복수의 인덱스 암(223)이 서로 구별된 이송 작업을 수행함에 따라 공정 전의 기판에서 발생된 파티클이 공정 후의 기판에 부착되는 것이 방지될 수 있다.
로딩 모듈(12)은 진공 분위기 또는 상압 분위기로 분위기 전환하여 진공 분위기의 공정 모듈(13)과 상압 분위기의 인덱스 모듈(11) 간에 기판을 중계하는 역할을 수행한다. 공정 모듈(13)의 내부는 진공 분위기이고, 인덱스 모듈(11)은 상압 분위기일 수 있다. 공정 모듈(13)의 진공 분위기를 그대로 유지하면서 공정 모듈(13)과 인덱스 모듈(11) 간의 기판 교환을 위하여 로딩 모듈(12)이 구비될 수 있다.
로딩 모듈(12)은 인덱스 모듈(11)과 공정 모듈(13)의 사이에 배치될 수 있다. 로딩 모듈(12)은 인덱스 모듈(11)의 이송 프레임(200)과 공정 모듈(13)의 이송 챔버(500) 간에 기판이 이송되기 전에 기판이 머무르는 공간을 제공할 수 있다. 로딩 모듈(12)은 로드락 챔버(300) 및 위치 교환 챔버(400)를 포함할 수 있다.
로드락 챔버(300)는 이송 챔버(500)에 연결되어 이송 챔버(500)와 공정 전 기판 또는 공정 후 기판의 교환 공간을 제공할 수 있다. 로드락 챔버(300)는 그 내부 공간의 분위기가 진공 분위기 또는 상압 분위기로 전환 가능하도록 제공될 수 있다.
하나 이상의 로드락 챔버(300)가 로딩 모듈(12)에 구비될 수 있다. 도 1은 2개의 로드락 챔버(300)가 로딩 모듈(12)에 구비된 것을 도시하고 있으나, 하나의 로드락 챔버(300)만이 구비될 수 있고, 3개 이상의 로드락 챔버(300)가 구비될 수도 있다.
로드락 챔버(300)에는 인덱스 모듈(11) 및 공정 모듈(13)을 향하는 도어(310, 320)가 각각 구비될 수 있다. 이하, 로드락 챔버(300)의 양측에 구비된 도어 중 인덱스 모듈(11)을 향하는 도어를 인덱스 도어(310)라 하고, 공정 모듈(13)을 향하는 도어를 이송 도어(320)라 한다.
로드락 챔버(300)는 인덱스 모듈(11)에서 공정 모듈(13)로 이송되는 기판을 임시로 수용하는 역할을 수행한다. 인덱스 모듈(11)로부터 전달된 기판이 로드락 챔버(300)에 반입되면 인덱스 도어(310) 및 이송 도어(320)가 닫히고 로드락 챔버(300)의 내부 공간은 인덱스 모듈(11) 및 공정 모듈(13)에 대해 밀폐될 수 있다. 밀폐된 이후에 로드락 챔버(300)의 내부 공간은 상압 분위기에서 진공 분위기로 전환될 수 있다. 그리고, 인덱스 도어(310)가 닫힌 상태에서 이송 도어(320)가 열리면서 로드락 챔버(300)의 기판은 반출되어 이송 챔버(500)로 이송될 수 있다.
로드락 챔버(300)는 공정 모듈(13)에서 인덱스 모듈(11)로 이송되는 기판을 임시로 수용하는 역할을 수행할 수도 있다. 공정 모듈(13)로부터 전달된 기판이 로드락 챔버(300)에 반입되면 인덱스 도어(310) 및 이송 도어(320)가 닫히고 로드락 챔버(300)의 내부 공간은 인덱스 모듈(11) 및 공정 모듈(13)에 대해 밀폐될 수 있다. 밀폐된 이후에 로드락 챔버(300)의 내부 공간은 진공 분위기에서 상압 분위기로 전환될 수 있다. 그리고, 이송 도어(320)가 닫힌 상태에서 인덱스 도어(310)가 열리면서 로드락 챔버(300)의 기판은 반출되어 인덱스 모듈(11)로 이송될 수 있다.
위치 교환 챔버(400)는 이송 챔버(500)와 로드락 챔버(300)의 사이에 구비되어 복수의 이송 로봇(700) 간의 위치 변경을 위한 공간을 제공할 수 있다. 후술하는 바와 같이, 이송 로봇(700)은 적재 로봇 및 비적재 로봇을 포함할 수 있으며, 적재 로봇 및 비적재 로봇은 쌍으로 트랙을 따라 이동할 수 있다. 이송 챔버(500)에서 로드락 챔버(300)로 이동한 적재 로봇 및 비적재 로봇 중 비적재 로봇이 로드락 챔버(300)에 근접하도록 배치될 수 있다. 이러한 경우 비적재 로봇에 막혀 있기 때문에 적재 로봇이 로드락 챔버(300)로 반입될 수 없다. 이 때, 비적재 로봇은 위치 교환 챔버(400)에서 위치를 변경하여 적재 로봇이 로드락 챔버(300)로 반입되도록 하기 위한 경로를 확보해 줄 수 있다. 이에, 적재 로봇은 로드락 챔버(300)에 반입될 수 있게 된다.
공정 모듈(13)은 기판에 대한 공정 처리를 수행할 수 있다. 공정 모듈(13)은 이송 챔버(500) 및 공정 유닛(600)을 포함할 수 있다. 공정 유닛(600)은 복수 개가 구비될 수 있다.
이송 챔버(500)는 로드락 챔버(300) 및 공정 유닛(600) 간의 기판 중계를 위한 공간을 제공할 수 있다. 이송 챔버(500)는 일측으로 긴 형상을 갖고, 기판의 이동 공간을 제공할 수 있다. 공정 유닛(600)은 이송 챔버(500)에 인접하여 배치될 수 있다. 구체적으로, 복수의 공정 유닛(600)이 이송 챔버(500)의 긴 측면을 따라 배치될 수 있다. 공정 유닛(600)은 이송 챔버(500)에 연결되어 이송 챔버(500)로부터 전달된 기판에 대한 공정을 수행할 수 있다.
도 1은 이송 챔버(500)의 양쪽 긴 측면 각각을 따라 복수의 공정 유닛(600)이 배치된 것을 도시하고 있으나, 이송 챔버(500)의 일측 긴 측면만을 따라 복수의 공정 유닛(600)이 배치될 수도 있다.
각 공정 유닛(600)별로 공정 도어(610)가 구비될 수 있다. 공정 도어(610)가 개방된 상태에서 이송 챔버(500)와 공정 유닛(600) 간의 기판 반입 또는 기판 반출이 수행되고, 공정 도어(610)가 폐쇄된 상태에서 공정 유닛(600)에 의한 공정 처리 동작이 수행될 수 있다.
공정 유닛(600)은 기판에 대한 특정 작업을 수행할 수 있다. 예를 들어, 공정 유닛(600)은 기판에 대한 식각 또는 증착 처리를 수행할 수 있다. 공정 모듈(13)은 복수의 공정 유닛(600)을 포함할 수 있으며, 각 공정 유닛(600)별로 동일하거나 서로 다른 작업을 수행할 수 있다.
이송 챔버(500)에는 적어도 하나의 교환 로봇(510)이 구비될 수 있다. 교환 로봇(510)은 공정 유닛(600)과 이송 로봇(700) 간에 기판을 교환하는 역할을 수행한다. 교환 로봇(510)은 공정 유닛(600)에서 공정 완료된 기판을 반출하여 이송 로봇(700)에 적재하거나, 이송 로봇(700)에 적재된 기판을 공정 유닛(600)에 반입할 수 있다. 이송 로봇(700)은 적재 로봇과 비적재 로봇을 포함하는데, 교환 로봇(510)은 공정 유닛(600)에서 공정이 완료된 기판을 비적재 로봇에 적재하고, 적재 로봇에 적재된 기판을 공정 유닛(600)으로 반입할 수 있다.
교환 로봇(510)은 몸체(511), 암(512) 및 핸드(513)를 포함할 수 있다. 몸체(511)는 이송 챔버(500)에 고정될 수 있다. 본 발명에서 교환 로봇(510)은 이송 챔버(500)의 천장면(미도시)에 배치된 스카라(SCARA; Selective Compliance Assembly Robot Arm)일 수 있다. 이에, 몸체(511)는 이송 챔버(500)의 천장면에 고정될 수 있다.
암(512)은 기판을 이송시키는 역할을 수행한다. 암(512)의 말단에는 기판을 지지하는 핸드(513)가 구비될 수 있다. 암(512)은 상호 간의 각도 조절 또는 길이 조절이 가능한 복수의 관절을 포함할 수 있다. 핸드(513)는 제1 방향(X) 및 제2 방향(Y)을 포함하는 평면에 평행한 이동을 수행할 수 있으며, 제3 방향(Z)을 따라 이동할 수도 있다.
교환 로봇(510)은 단일의 핸드(513)를 구비할 수 있다. 하나의 핸드(513)만으로 공정 유닛(600)에서 기판을 반출하여 이송 로봇(700)에 적재하거나, 이송 로봇(700)에 적재된 기판을 공정 유닛(600)에 반입할 수 있다.
이송 로봇(700)은 로드락 챔버(300) 및 공정 유닛(600) 간에 기판을 이송하는 역할을 수행한다. 예를 들어, 이송 로봇(700)은 로드락 챔버(300)에서 반출된 기판을 적재하여 공정 유닛(600)으로 이동하거나 공정 유닛(600)에서 반출된 기판을 적재하여 로드락 챔버(300)로 이동할 수 있다.
이송 챔버(500)에는 기판의 이동 경로를 제공하는 트랙(520)이 구비될 수 있다. 이송 로봇(700)은 비접촉 방식으로 트랙(520)을 따라 이동할 수 있다. 또한, 이송 로봇(700)은 로드락 챔버(300)에 진입하거나 진출하여 로드락 챔버(300)와 이송 챔버(500) 간의 기판 교환을 수행할 수 있다. 이를 위하여, 이송 로봇(700)은 이송 도어(320)를 통과할 수 있는 크기를 가질 수 있다. 또한, 트랙(520)은 이송 챔버(500)에서 로드락 챔버(300)의 내부까지 이어질 수 있다.
본 발명에서 이송 로봇(700)은 기판을 적재하고 있는 적재 로봇과 기판을 적재하지 않고 있는 비적재 로봇을 포함할 수 있다. 복수의 이송 로봇(700)이 기판 처리 장치(10)에 구비될 수 있는 것으로서, 일부는 기판을 적재하고 다른 일부는 기판을 적재하지 않고 있는 것이다.
적재 로봇 및 비적재 로봇은 쌍으로 트랙(520)을 따라 이동할 수 있다. 로드락 챔버(300)에서 공정 유닛(600)으로 이동하는 적재 로봇은 공정 전 기판을 적재할 수 있고, 공정 유닛(600)에서 로드락 챔버(300)로 이동하는 적재 로봇은 공정 후 기판을 적재할 수 있다.
제어 모듈(14)은 공정 모듈(13), 인덱스 모듈(11) 및 로딩 모듈(12)로부터 동작 상태를 수신하고, 수신된 동작 상태를 참조하여 공정 모듈(13), 인덱스 모듈(11) 및 로딩 모듈(12)의 동작을 스케줄링 하는 역할을 수행한다.
공정 모듈(13), 인덱스 모듈(11) 및 로딩 모듈(12)은 상호 유기적으로 동작할 수 있다. 인덱스 모듈(11)의 캐리어(110)에서 출발한 기판은 로딩 모듈(12)의 로드락 챔버(300)를 거쳐 공정 모듈(13)의 공정 유닛(600)으로 반입되고, 공정 유닛(600)에서 공정 처리될 수 있다. 그리고, 공정 처리 완료된 기판은 다른 공정 유닛(600)에 반입되어 다른 공정 처리가 수행되거나 로딩 모듈(12)의 로드락 챔버(300)를 거쳐 인덱스 모듈(11)로 반출되고, 인덱스 모듈(11)의 캐리어(110)에 수납될 수 있다. 이와 같은 과정은 반복적으로 수행되는 것으로서, 공정 모듈(13), 인덱스 모듈(11) 및 로딩 모듈(12)은 유기적인 동작을 수행하여 각각의 기판에 대한 공정 처리를 수행할 수 있다.
제어 모듈(14)은 공정 모듈(13), 인덱스 모듈(11) 및 로딩 모듈(12)의 동작을 스케줄링 하기 위한 장비 제어 정보를 생성하여 송신할 수 있다. 장비 제어 정보에는 장비를 제어하기 위한 제어 정보가 포함될 수 있다. 공정 모듈(13), 인덱스 모듈(11) 및 로딩 모듈(12)에 구비된 각 장비는 수신된 장비 제어 정보에 따라 동작하여 기판에 대한 공정 처리를 수행할 수 있다.
도 2는 도 1에 도시된 이송 로봇을 나타낸 도면이고, 도 3은 도 1에 도시된 이송 로봇이 트랙을 따라 이동하는 것을 나타낸 도면이다.
도 2 및 도 3을 참조하면, 이송 로봇(700)은 베이스부(710), 지지핀(720) 및 자력부(730)를 포함하여 구성된다.
베이스부(710)는 기판을 지지하기 위한 지지면(SF)을 제공할 수 있다. 지지핀(720)은 지지면(SF)에서 돌출 형성되어 기판을 지지할 수 있다. 지지핀(720)에 의하여 기판은 베이스부(710)의 지지면(SF)에서 일정 거리만큼 이격될 수 있다. 교환 로봇(510)의 핸드(513)는 기판과 지지면(SF) 간의 공간에 삽입되어 기판을 들어올릴 수 있다.
자력부(730)는 지지면(SF)이 아닌 베이스부(710)의 일측에 배치되어 서로 다른 극성의 영구 자력을 번갈아 가면서 제공할 수 있다. 이를 위하여, 서로 다른 극성의 영구 자석이 서로 번갈아 가면서 자력부(730)에 구비될 수 있다.
도 3을 참조하면, 이송 로봇(700)은 트랙(520)을 따라 이동할 수 있다. 전술한 바와 같이, 트랙(520)은 이송 챔버(500)에서 로드락 챔버(300)의 내부까지 이어질 수 있다.
트랙(520)은 변화하는 자력을 제공할 수 있다. 예를 들어, 트랙(520)은 전자석을 구비할 수 있다. 트랙(520)은 변화하는 자력을 자력부(730)에 제공하여 이송 로봇(700)이 트랙(520)에서 일정 거리만큼 이격된 상태에서 트랙(520)을 따라 이동하도록 할 수 있다.
트랙(520)의 변화하는 자력이 자력부(730)의 영구 자력에 작용함으로써 이송 로봇(700)이 트랙(520)에서 일정 거리만큼 이격된 상태에서 이동할 수 있는 것이다. 이송 로봇(700)이 트랙(520)에서 일정 거리만큼 이격된 상태에서 이동하기 때문에 이송 로봇(700)과 트랙(520) 간의 마찰이 방지되고, 마찰로 인한 파티클의 발생이 방지될 수 있다. 트랙(520)에 의한 이송 로봇(700)의 이동은 리니어 모터(Linear Motor)의 원리와 유사한 것으로서, 이에 대한 세부적인 사항은 본 발명의 범위를 벗어나므로 자세한 설명은 생략하기로 한다.
트랙(520)의 자력 변화는 제어 모듈(14)에 의하여 결정될 수 있다. 제어 모듈(14)은 이송 로봇(700)이 현재 지점에서 목표 지점으로 이동하도록 트랙(520)을 제어할 수 있다. 제어 모듈(14)의 제어에 의하여 트랙(520)은 자력을 변화시키고, 이송 로봇(700)은 변화된 자력에 의하여 트랙(520)상에서 이동할 수 있다.
이하, 도 4 내지 도 10을 참조하여 이송 로봇(700)에 의한 기판의 이송 과정을 설명하기로 한다.
도 4는 도 1에 도시된 로드락 챔버에서 이송 로봇이 반출되는 것을 나타낸 도면이고, 도 5는 도 4에 도시된 적재 로봇과 비적재 로봇이 트랙을 따라 이동하는 것을 나타낸 도면이고, 도 6은 도 5에 도시된 적재 로봇과 비적재 로봇에 대하여 교환 로봇이 기판을 교환하는 것을 나타낸 도면이고, 도 7은 도 6에서 기판이 교환된 적재 로봇과 비적재 로봇이 트랙을 따라 이동하는 것을 나타낸 도면이고, 도 8은 도 7에 도시된 적재 로봇이 로드락 챔버로 반입되는 것을 나타낸 도면이고, 도 9는 도 8에 도시된 비적재 로봇의 위치가 변경되는 것을 나타낸 도면이며, 도 10은 도 9에 도시된 로드락 챔버에서 적재 로봇이 반출되는 것을 나타낸 도면이다.
도 4를 참조하면, 로드락 챔버(300)에서 이송 로봇(701)이 반출될 수 있다.
이송 로봇(701)은 기판(W1)을 적재한 것일 수 있다. 사전에 로드락 챔버(300)에 반입된 이송 로봇(701)에 인덱스 로봇(220)이 기판(W1)을 적재할 수 있다.
이송 로봇(701)은 위치 교환 챔버(400)를 거쳐 이송 챔버(500) 쪽으로 이동할 수 있다. 이 때, 기판을 적재하지 않고 있는 이송 로봇(702)이 대기할 수 있다. 이하, 기판(W1)을 적재하여 로드락 챔버(300)에서 반출된 이송 로봇을 제1 이송 로봇(701)이라 하고, 기판을 적재하지 않고 대기 한 이송 로봇을 제2 이송 로봇(702)이라 한다. 제1 이송 로봇(701)은 적재 로봇이고, 제2 이송 로봇(702)은 비적재 로봇일 수 있다.
도 5를 참조하면, 제1 이송 로봇(701) 및 제2 이송 로봇(702)은 쌍으로 트랙(520)을 따라 이동할 수 있다.
즉, 제1 이송 로봇(701) 및 제2 이송 로봇(702)은 서로 근접한 상태에서 동일하거나 유사한 속도로 트랙(520)을 따라 이동할 수 있는 것이다. 제1 이송 로봇(701) 및 제2 이송 로봇(702)은 목표 공정 챔버(600)에 인접한 위치로 이동할 수 있다.
도 6을 참조하면, 목표 공정 챔버(600)에 인접한 교환 로봇(510)은 기판 교환을 수행할 수 있다.
우선, 교환 로봇(510)은 목표 공정 챔버(600)에서 공정이 완료된 기판(W2)을 반출하여 비적재 로봇인 제2 이송 로봇(702)에 적재할 수 있다. 그리고, 교환 로봇(510)은 적재 로봇인 제1 이송 로봇(701)에 적재된 기판(W1)을 목표 공정 챔버(600)에 반입할 수 있다. 하나의 핸드(513)만으로 교환 로봇(510)은 제1 이송 로봇(701), 제2 이송 로봇(702) 및 목표 공정 챔버(600) 간의 기판 교환을 수행할 수 있다.
이에, 제1 이송 로봇(701)은 비적재 로봇이 되고, 제2 이송 로봇(702)은 적재 로봇이 된다.
도 7을 참조하면, 제1 이송 로봇(701) 및 제2 이송 로봇(702)은 트랙(520)을 따라 이동할 수 있다.
제1 이송 로봇(701) 및 제2 이송 로봇(702)은 서로 근접한 상태에서 동일하거나 유사한 속도로 트랙(520)을 따라 이동할 수 있다. 제1 이송 로봇(701) 및 제2 이송 로봇(702)은 로드락 챔버(300)에 인접한 위치로 이동할 수 있다.
도 8을 참조하면, 적재 로봇인 제2 이송 로봇(702)은 로드락 챔버(300)로 반입될 수 있다.
제2 이송 로봇(702)의 이동을 위하여 제1 이송 로봇(701)은 위치 교환 챔버(400)에서 위치를 변경할 수 있다. 제1 이송 로봇(701)이 위치를 변경함에 따라 제2 이송 로봇(702)에서 로드락 챔버(300)까지의 경로가 확보되고, 제2 이송 로봇(702)은 확보된 경로를 따라 로드락 챔버(300)로 이동할 수 있다.
로드락 챔버(300)에 반입된 이후에 제2 이송 로봇(702)에 적재된 기판(W2)은 인덱스 로봇(220)에 의해 운반되어 캐리어(110)에 수납될 수 있다.
도 9를 참조하면, 비적재 로봇인 제1 이송 로봇(701)은 위치를 변경할 수 있다. 즉, 제1 이송 로봇(701)은 위치 교환 챔버(400)에서 이송 챔버(500)로 이동할 수 있다. 이에, 로드락 챔버(300)의 이송 도어(320)의 앞에 다른 이송 로봇이 위치할 공간이 마련될 수 있게 된다.
도 10을 참조하면, 로드락 챔버(300)에서 이송 로봇(703)이 반출될 수 있다.
이송 로봇(703)은 기판(W3)을 적재한 것일 수 있다. 이하, 기판(W3)을 적재하여 로드락 챔버(300)에서 반출된 이송 로봇을 제3 이송 로봇(703)이라 한다.
제3 이송 로봇(703)은 위치 교환 챔버(400)를 거쳐 이송 챔버(500) 쪽으로 이동할 수 있다. 이 때, 기판을 적재하지 않고 있는 제1 이송 로봇(701)이 대기할 수 있다.
이후에 기판(W3)을 적재하고 있는 제3 이송 로봇(703)과 기판을 적재하지 않고 있는 제1 이송 로봇(701)은 쌍으로 트랙(520)을 따라 이동하며 도 5 내지 도 9의 과정을 반복할 수 있다.
이상과 첨부된 도면을 참조하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
10: 기판 처리 장치 11: 인덱스 모듈
12: 로딩 모듈 13: 공정 모듈
14: 제어 모듈 100: 로드 포트
110: 캐리어 200: 이송 프레임
210: 인덱스 레일 220: 인덱스 로봇
221: 인덱스 베이스 222: 인덱스 몸체
223: 인덱스 암 300: 로드락 챔버
310: 인덱스 도어 320: 이송 도어
400: 위치 교환 챔버 500: 이송 챔버
510: 교환 로봇 511: 몸체
512: 암 513: 핸드
520: 트랙 600: 공정 유닛
610: 공정 도어 700, 701, 702, 703: 이송 로봇
710: 베이스부 720: 지지핀
730: 자력부 W1, W2, W3: 기판

Claims (8)

  1. 일측으로 긴 형상을 갖고, 기판의 이동 공간을 제공하는 이송 챔버;
    상기 이송 챔버에 연결되어 상기 이송 챔버와 공정 전 기판 또는 공정 후 기판의 교환 공간을 제공하는 로드락 챔버;
    상기 이송 챔버에 연결되어 상기 이송 챔버로부터 전달된 기판에 대한 공정을 수행하는 공정 유닛;
    상기 이송 챔버에 구비되어 기판의 이동 경로를 제공하는 트랙; 및
    비접촉 방식으로 상기 트랙을 따라 이동 가능하고, 상기 로드락 챔버에 진입하거나 진출하여 상기 로드락 챔버와 상기 이송 챔버 간의 기판 교환을 수행하는 이송 로봇을 포함하되,
    상기 트랙은 상기 이송 챔버에서 상기 로드락 챔버의 내부까지 이어지고,
    상기 이송 로봇은 상기 로드락 챔버에 구비된 이송 도어를 통과할 수 있는 크기를 갖는 기판 처리 장치.
  2. 제1 항에 있어서,
    상기 이송 로봇은,
    기판의 지지하기 위한 지지면을 제공하는 베이스부;
    상기 지지면에서 돌출 형성되어 기판을 지지하는 지지핀; 및
    상기 지지면이 아닌 상기 베이스부의 일측에 배치되어 서로 다른 극성의 영구 자력을 번갈아 가면서 제공하는 자력부를 포함하는 기판 처리 장치.
  3. 제2 항에 있어서,
    상기 트랙은 변화하는 자력을 상기 자력부에 제공하여 상기 이송 로봇이 상기 트랙에서 일정 거리만큼 이격된 상태에서 상기 트랙을 따라 이동하도록 하는 기판 처리 장치.
  4. 제1 항에 있어서,
    상기 이송 로봇은 기판을 적재하고 있는 적재 로봇과 기판을 적재하지 않고 있는 비적재 로봇을 포함하고,
    상기 적재 로봇 및 상기 비적재 로봇은 쌍으로 상기 트랙을 따라 이동하는 기판 처리 장치.
  5. 제4 항에 있어서,
    상기 공정 유닛에서 공정이 완료된 기판을 상기 비적재 로봇에 적재하고, 상기 적재 로봇에 적재된 기판을 상기 공정 유닛으로 반입하는 교환 로봇을 더 포함하는 기판 처리 장치.
  6. 제5 항에 있어서,
    상기 교환 로봇은 단일의 핸드(hand)를 구비하는 기판 처리 장치.
  7. 제5 항에 있어서,
    상기 교환 로봇은 상기 이송 챔버의 천장면에 배치된 스카라(SCARA; Selective Compliance Assembly Robot Arm)를 포함하는 기판 처리 장치.
  8. 제1 항에 있어서,
    상기 이송 챔버와 상기 로드락 챔버의 사이에 구비되어 복수의 이송 로봇 간의 위치 변경을 위한 공간을 제공하는 위치 교환 챔버를 더 포함하는 기판 처리 장치.
KR1020190076321A 2019-06-26 2019-06-26 기판 처리 장치 KR102211252B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020190076321A KR102211252B1 (ko) 2019-06-26 2019-06-26 기판 처리 장치
CN202010587593.1A CN112151412B (zh) 2019-06-26 2020-06-24 传送机器人和具有上述传送机器人的基板处理装置
US16/911,779 US11702299B2 (en) 2019-06-26 2020-06-25 Transport robot and substrate treating apparatus comprising the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020190076321A KR102211252B1 (ko) 2019-06-26 2019-06-26 기판 처리 장치

Publications (2)

Publication Number Publication Date
KR20210000972A KR20210000972A (ko) 2021-01-06
KR102211252B1 true KR102211252B1 (ko) 2021-02-04

Family

ID=73887652

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190076321A KR102211252B1 (ko) 2019-06-26 2019-06-26 기판 처리 장치

Country Status (3)

Country Link
US (1) US11702299B2 (ko)
KR (1) KR102211252B1 (ko)
CN (1) CN112151412B (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022104042A (ja) * 2020-12-28 2022-07-08 東京エレクトロン株式会社 基板処理装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005243995A (ja) 2004-02-27 2005-09-08 Shin Etsu Handotai Co Ltd 半導体ウエーハの搬送装置及び搬送方法並びに両面研磨装置及び両面研磨方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5170714A (en) * 1988-06-13 1992-12-15 Asahi Glass Company, Ltd. Vacuum processing apparatus and transportation system thereof
JPH08119409A (ja) * 1994-10-27 1996-05-14 Tokyo Electron Ltd 集合処理装置
CN1996553A (zh) * 2001-08-31 2007-07-11 阿赛斯特技术公司 用于半导体材料处理系统的一体化机架
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
US7422406B2 (en) * 2003-11-10 2008-09-09 Blueshift Technologies, Inc. Stacked process modules for a semiconductor handling system
US7905960B2 (en) * 2004-03-24 2011-03-15 Jusung Engineering Co., Ltd. Apparatus for manufacturing substrate
KR20070029032A (ko) * 2005-09-08 2007-03-13 주성엔지니어링(주) 이동식 이송챔버와 이를 포함하는 기판처리장치
KR101251519B1 (ko) * 2010-12-02 2013-04-05 현대자동차주식회사 질소산화물 저감 촉매에 저장되는 황산화물의 양을 예측하는 방법 및 이를 이용한 배기 장치
JP6003011B2 (ja) * 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
KR20120137662A (ko) * 2011-06-13 2012-12-24 주식회사 나온테크 주행형 진공 로봇
US9799544B2 (en) 2015-10-23 2017-10-24 Applied Materials, Inc. Robot assemblies, substrate processing apparatus, and methods for transporting substrates in electronic device manufacturing
KR101810172B1 (ko) * 2015-12-09 2017-12-20 국제엘렉트릭코리아 주식회사 보우트 및 그 보우트를 포함하는 퍼니스형 기판 처리 장치 그리고 클러스터 설비
KR101754589B1 (ko) * 2016-11-21 2017-07-10 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
KR101882902B1 (ko) * 2017-02-10 2018-07-30 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
KR101964327B1 (ko) * 2017-04-05 2019-04-01 코스텍시스템(주) 웨이퍼 이송 장치
KR101931727B1 (ko) * 2017-04-05 2018-12-24 코스텍시스템(주) 웨이퍼 이송 장치
KR102616246B1 (ko) * 2020-07-31 2023-12-19 세메스 주식회사 처리액을 공급 및 회수하는 기판 처리 장치

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005243995A (ja) 2004-02-27 2005-09-08 Shin Etsu Handotai Co Ltd 半導体ウエーハの搬送装置及び搬送方法並びに両面研磨装置及び両面研磨方法

Also Published As

Publication number Publication date
CN112151412A (zh) 2020-12-29
US20200407179A1 (en) 2020-12-31
KR20210000972A (ko) 2021-01-06
CN112151412B (zh) 2024-03-26
US11702299B2 (en) 2023-07-18

Similar Documents

Publication Publication Date Title
KR100575320B1 (ko) 기판처리장치
JPH1092733A (ja) 処理システム
KR100935990B1 (ko) 기판처리장치
US20100192844A1 (en) Apparatus and method for treating substrate
JP2009158925A (ja) 基板処理装置及びこれを用いる基板移送方法
KR20140110851A (ko) 기판 프로세싱 시스템 및 방법
US20080075563A1 (en) Substrate handling system and method
US7123349B2 (en) Lithographic projection assembly, substrate handling apparatus and substrate handling method
JP2004343068A (ja) リソグラフィー投影組立体、ロード・ロック、および物体移送方法
KR20170050061A (ko) 기판 처리 장치
KR102315667B1 (ko) 기판 처리 방법 및 장치
KR102211252B1 (ko) 기판 처리 장치
TW202112510A (zh) 包括張開的末端執行器的雙機器人以及包括該雙機器人的系統及方法
JP4463081B2 (ja) 基板処理装置および基板処理方法
KR100938737B1 (ko) 기판처리장치
KR102000013B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP4949064B2 (ja) 基板処理装置
KR20140102366A (ko) 기판반송장치
KR102037900B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR102010265B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP3352636B2 (ja) 処理装置及びその方法
KR102583261B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR20050104457A (ko) 반도체 제조 설비
KR102315666B1 (ko) 반송 유닛 및 이를 가지는 기판 처리 장치
KR102299889B1 (ko) 공조 덕트 및 이를 갖는 기판 처리 장치