JP5373760B2 - 真空下の半導体処理システムにおいて加工中の製品を処理する方法及びシステム - Google Patents
真空下の半導体処理システムにおいて加工中の製品を処理する方法及びシステム Download PDFInfo
- Publication number
- JP5373760B2 JP5373760B2 JP2010293470A JP2010293470A JP5373760B2 JP 5373760 B2 JP5373760 B2 JP 5373760B2 JP 2010293470 A JP2010293470 A JP 2010293470A JP 2010293470 A JP2010293470 A JP 2010293470A JP 5373760 B2 JP5373760 B2 JP 5373760B2
- Authority
- JP
- Japan
- Prior art keywords
- processing system
- vacuum
- arm
- robot
- processing
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 114
- 238000012545 processing Methods 0.000 title claims description 264
- 238000000034 method Methods 0.000 title abstract description 207
- 238000004519 manufacturing process Methods 0.000 claims description 104
- 230000007246 mechanism Effects 0.000 claims description 17
- 238000011068 loading method Methods 0.000 claims description 11
- 238000009434 installation Methods 0.000 claims description 10
- 238000003860 storage Methods 0.000 claims description 5
- 230000008569 process Effects 0.000 abstract description 132
- 239000000463 material Substances 0.000 abstract description 74
- 235000012431 wafers Nutrition 0.000 description 167
- 239000000047 product Substances 0.000 description 80
- 239000012636 effector Substances 0.000 description 69
- 230000009977 dual effect Effects 0.000 description 36
- 238000010438 heat treatment Methods 0.000 description 26
- 230000008901 benefit Effects 0.000 description 22
- 230000033001 locomotion Effects 0.000 description 22
- 238000003475 lamination Methods 0.000 description 15
- 238000012546 transfer Methods 0.000 description 15
- 238000005086 pumping Methods 0.000 description 11
- 238000009833 condensation Methods 0.000 description 10
- 230000005494 condensation Effects 0.000 description 10
- 238000001816 cooling Methods 0.000 description 10
- 239000000758 substrate Substances 0.000 description 9
- 238000010586 diagram Methods 0.000 description 8
- 230000006870 function Effects 0.000 description 8
- 238000012549 training Methods 0.000 description 8
- 210000000707 wrist Anatomy 0.000 description 8
- 238000013459 approach Methods 0.000 description 7
- 230000003287 optical effect Effects 0.000 description 7
- 238000003672 processing method Methods 0.000 description 7
- 239000002245 particle Substances 0.000 description 6
- 238000005266 casting Methods 0.000 description 5
- 230000008878 coupling Effects 0.000 description 5
- 238000010168 coupling process Methods 0.000 description 5
- 238000005859 coupling reaction Methods 0.000 description 5
- 238000010943 off-gassing Methods 0.000 description 5
- 238000012360 testing method Methods 0.000 description 5
- 230000005540 biological transmission Effects 0.000 description 4
- 238000012864 cross contamination Methods 0.000 description 4
- 238000003754 machining Methods 0.000 description 4
- CSDREXVUYHZDNP-UHFFFAOYSA-N alumanylidynesilicon Chemical compound [Al].[Si] CSDREXVUYHZDNP-UHFFFAOYSA-N 0.000 description 3
- 238000003491 array Methods 0.000 description 3
- 238000013461 design Methods 0.000 description 3
- 238000012544 monitoring process Methods 0.000 description 3
- 230000005855 radiation Effects 0.000 description 3
- 229910010271 silicon carbide Inorganic materials 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- 239000000969 carrier Substances 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 238000004891 communication Methods 0.000 description 2
- 238000013016 damping Methods 0.000 description 2
- 238000007872 degassing Methods 0.000 description 2
- 230000003028 elevating effect Effects 0.000 description 2
- 239000011554 ferrofluid Substances 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 230000001965 increasing effect Effects 0.000 description 2
- 238000007689 inspection Methods 0.000 description 2
- 239000011553 magnetic fluid Substances 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 238000002156 mixing Methods 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000005457 optimization Methods 0.000 description 2
- 238000007514 turning Methods 0.000 description 2
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 239000006096 absorbing agent Substances 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 238000004458 analytical method Methods 0.000 description 1
- 238000013528 artificial neural network Methods 0.000 description 1
- 230000002238 attenuated effect Effects 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 230000003139 buffering effect Effects 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 239000007795 chemical reaction product Substances 0.000 description 1
- 210000000078 claw Anatomy 0.000 description 1
- 230000003749 cleanliness Effects 0.000 description 1
- 230000003750 conditioning effect Effects 0.000 description 1
- 238000012790 confirmation Methods 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 230000008602 contraction Effects 0.000 description 1
- 230000006837 decompression Effects 0.000 description 1
- 230000001934 delay Effects 0.000 description 1
- 238000003708 edge detection Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 239000012467 final product Substances 0.000 description 1
- 238000000227 grinding Methods 0.000 description 1
- 231100001261 hazardous Toxicity 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 238000005339 levitation Methods 0.000 description 1
- 239000000155 melt Substances 0.000 description 1
- 230000006911 nucleation Effects 0.000 description 1
- 238000010899 nucleation Methods 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 230000002028 premature Effects 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 230000035939 shock Effects 0.000 description 1
- 238000004088 simulation Methods 0.000 description 1
- 230000001052 transient effect Effects 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 230000000007 visual effect Effects 0.000 description 1
- 239000012855 volatile organic compound Substances 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67167—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B25—HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
- B25J—MANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
- B25J9/00—Programme-controlled manipulators
- B25J9/02—Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type
- B25J9/04—Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type by rotating at least one arm, excluding the head movement itself, e.g. cylindrical coordinate type or polar coordinate type
- B25J9/041—Cylindrical coordinate type
- B25J9/042—Cylindrical coordinate type comprising an articulated arm
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67126—Apparatus for sealing, encapsulating, glassing, decapsulating or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67173—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67178—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67184—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67196—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67201—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67253—Process monitoring, e.g. flow or thickness monitoring
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67259—Position monitoring, e.g. misposition detection or presence detection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67703—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
- H01L21/67706—Mechanical details, e.g. roller, belt
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67703—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
- H01L21/67727—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67703—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
- H01L21/67733—Overhead conveying
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67703—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
- H01L21/67736—Loading to or unloading from a conveyor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67742—Mechanical parts of transfer devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67745—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67748—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67754—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67757—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67763—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
- H01L21/67778—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
- H01L21/67781—Batch transfer of wafers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/68—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68707—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68735—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S414/00—Material or article handling
- Y10S414/135—Associated with semiconductor wafer handling
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S414/00—Material or article handling
- Y10S414/135—Associated with semiconductor wafer handling
- Y10S414/137—Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S414/00—Material or article handling
- Y10S414/135—Associated with semiconductor wafer handling
- Y10S414/139—Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T74/00—Machine element or mechanism
- Y10T74/20—Control lever and linkage systems
- Y10T74/20207—Multiple controlling elements for single controlled element
- Y10T74/20305—Robotic arm
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Robotics (AREA)
- Mechanical Engineering (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Manipulator (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
Description
図54は、本明細書において記載する線形のアームからアームへのシステムの1つのような平面的なロボットシステムにおいて使用可能な双対フロッグレッグアームを示す。
Claims (17)
- 装填端部及び出口端部を有して、リニア構成に配置されている真空加工システムと、
前記出口端部から前記装填端部に品物を戻す非真空帰路システムとからなる半導体処理システムであって、
前記出口端部は出力キャリアを保持するように構成され、
前記非真空帰路システムは空のキャリアのための格納領域を有し、前記真空加工システムで加工された品物が装填された出力キャリアを前記出力端部から取り除き、前記真空加工システムで加工された品物が装填された出力キャリアを取り除いた前記出力端部に前記空のキャリアを配置することによって、当該前記真空加工システムで加工された品物が装填された出力キャリアを前記格納領域からの前記空のキャリアと取り替えるように構成されていることを特徴とする半導体処理システム。 - 前記非真空帰路システムが前記真空加工システムの上方に配置されていることを特徴とする請求項1記載の半導体処理システム。
- 前記非真空帰路システムが前記真空加工システムの下方に配置されていることを特徴とする請求項1記載の半導体処理システム。
- 前記非真空帰路システムが前記真空加工システムの脇に配置されていることを特徴とする請求項1記載の半導体処理システム。
- 前記非真空帰路システムが前記真空加工システム内に配置されていることを特徴とする請求項1記載の半導体処理システム。
- 前記帰路システムが前記真空加工システムの中間入り口点に接続されていることを特徴とする請求項1記載の半導体処理システム。
- 前記非真空帰路システムが、前記真空加工システムから前記非真空帰路システムに前記品物を移動するように前記出口端部にロードロックを含み、前記ロードロックは前記出力キャリアを保持するように構成されていることを特徴とする請求項1記載の半導体処理システム。
- 前記非真空帰路システムが、前記出口端部から前記装填端部に前記品物を移動するように摺動機構と把持部を含むことを特徴とする請求項1記載の半導体処理システム。
- 前記真空加工システムが複数の加工モジュールを含むことを特徴とする請求項1記載の半導体処理システム。
- 前記真空加工システムが、前記加工モジュール間で前記品物を移動する1つ又はそれ以上のロボットアームを含むことを特徴とする請求項9記載の半導体処理システム。
- さらに複数のロボットアームを含み、当該複数のロボットアームのうちの第1のロボットアームから当該複数のロボットアームのうちの第2のロボットアームに前記品物を引き渡すことにより、前記品物を移動することを特徴とする請求項10記載の半導体処理システム。
- 前記複数のロボットアームがスカラアームを含むことを特徴とする請求項11記載の半導体処理システム。
- 前記複数のロボットアームが4リンクスカラアームを含むことを特徴とする請求項11記載の半導体処理システム。
- 前記複数のロボットアームが3リンクスカラアームを含むことを特徴とする請求項11記載の半導体処理システム。
- 前記複数のロボットアームが、互いに対して垂直に配置されている少なくとも一対の連関されたロボットアームを含むことを特徴とする請求項11記載の半導体処理システム。
- 前記複数の加工モジュールの設置面積は2つ又はそれ以上のファクタで変化することを特徴とする請求項11記載の半導体処理システム。
- さらに半導体製造設備を含み、該半導体製造設備が複数のリニア半導体処理システムを含み、該複数のリニア半導体処理システムの装填端部が前記半導体製造設備の通路と対向するように、前記複数のリニア半導体処理システムが並んで配列されていることを特徴とする請求項1記載の半導体処理システム。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US51882303P | 2003-11-10 | 2003-11-10 | |
US60/518,823 | 2003-11-10 | ||
US60764904P | 2004-09-07 | 2004-09-07 | |
US60/607,649 | 2004-09-07 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2006539863A Division JP5226215B2 (ja) | 2003-11-10 | 2004-11-10 | 真空下の半導体処理システムにおいて加工中の製品を処理する方法及びシステム |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2011101035A JP2011101035A (ja) | 2011-05-19 |
JP5373760B2 true JP5373760B2 (ja) | 2013-12-18 |
Family
ID=34594927
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2006539863A Active JP5226215B2 (ja) | 2003-11-10 | 2004-11-10 | 真空下の半導体処理システムにおいて加工中の製品を処理する方法及びシステム |
JP2010293470A Active JP5373760B2 (ja) | 2003-11-10 | 2010-12-28 | 真空下の半導体処理システムにおいて加工中の製品を処理する方法及びシステム |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2006539863A Active JP5226215B2 (ja) | 2003-11-10 | 2004-11-10 | 真空下の半導体処理システムにおいて加工中の製品を処理する方法及びシステム |
Country Status (7)
Country | Link |
---|---|
US (12) | US7210246B2 (ja) |
EP (1) | EP1684951B1 (ja) |
JP (2) | JP5226215B2 (ja) |
KR (1) | KR20070008533A (ja) |
IL (1) | IL175518A0 (ja) |
SG (1) | SG132670A1 (ja) |
WO (1) | WO2005048313A2 (ja) |
Families Citing this family (192)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7018517B2 (en) | 2002-06-21 | 2006-03-28 | Applied Materials, Inc. | Transfer chamber for vacuum processing system |
US20070183871A1 (en) * | 2002-07-22 | 2007-08-09 | Christopher Hofmeister | Substrate processing apparatus |
US7959395B2 (en) * | 2002-07-22 | 2011-06-14 | Brooks Automation, Inc. | Substrate processing apparatus |
US7988398B2 (en) | 2002-07-22 | 2011-08-02 | Brooks Automation, Inc. | Linear substrate transport apparatus |
US8960099B2 (en) * | 2002-07-22 | 2015-02-24 | Brooks Automation, Inc | Substrate processing apparatus |
US6949938B2 (en) * | 2002-11-20 | 2005-09-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Prevention of robot damage via capacitive sensor assembly |
CN101894779B (zh) * | 2003-08-29 | 2013-05-01 | 交叉自动控制公司 | 用于半导体处理的方法和装置 |
US8639489B2 (en) | 2003-11-10 | 2014-01-28 | Brooks Automation, Inc. | Methods and systems for controlling a semiconductor fabrication process |
US7458763B2 (en) * | 2003-11-10 | 2008-12-02 | Blueshift Technologies, Inc. | Mid-entry load lock for semiconductor handling system |
WO2005048313A2 (en) * | 2003-11-10 | 2005-05-26 | Blueshift Technologies, Inc. | Methods and systems for handling workpieces in a vacuum-based semiconductor handling system |
US8696298B2 (en) * | 2003-11-10 | 2014-04-15 | Brooks Automation, Inc. | Semiconductor manufacturing process modules |
US20070269297A1 (en) | 2003-11-10 | 2007-11-22 | Meulen Peter V D | Semiconductor wafer handling and transport |
US20070282480A1 (en) | 2003-11-10 | 2007-12-06 | Pannese Patrick D | Methods and systems for controlling a semiconductor fabrication process |
US8639365B2 (en) | 2003-11-10 | 2014-01-28 | Brooks Automation, Inc. | Methods and systems for controlling a semiconductor fabrication process |
US10086511B2 (en) | 2003-11-10 | 2018-10-02 | Brooks Automation, Inc. | Semiconductor manufacturing systems |
US8602716B2 (en) * | 2003-11-10 | 2013-12-10 | Brooks Automation, Inc. | Semiconductor manufacturing process modules |
US7784164B2 (en) * | 2004-06-02 | 2010-08-31 | Applied Materials, Inc. | Electronic device manufacturing chamber method |
US8000837B2 (en) * | 2004-10-05 | 2011-08-16 | J&L Group International, Llc | Programmable load forming system, components thereof, and methods of use |
US7314808B2 (en) * | 2004-12-23 | 2008-01-01 | Applied Materials, Inc. | Method for sequencing substrates |
WO2006088757A1 (en) * | 2005-02-12 | 2006-08-24 | Applied Materials, Inc. | Multi-axis vacuum motor assembly |
JP4860167B2 (ja) * | 2005-03-30 | 2012-01-25 | 東京エレクトロン株式会社 | ロードロック装置,処理システム及び処理方法 |
US7513822B2 (en) * | 2005-06-18 | 2009-04-07 | Flitsch Frederick A | Method and apparatus for a cleanspace fabricator |
US11024527B2 (en) | 2005-06-18 | 2021-06-01 | Frederick A. Flitsch | Methods and apparatus for novel fabricators with Cleanspace |
US10627809B2 (en) | 2005-06-18 | 2020-04-21 | Frederick A. Flitsch | Multilevel fabricators |
US20130226329A1 (en) * | 2006-08-12 | 2013-08-29 | Frederick A. Flitsch | Cleanspace Fabricators for High Technology Manufacturing and Assembly Processing |
US10651063B2 (en) | 2005-06-18 | 2020-05-12 | Frederick A. Flitsch | Methods of prototyping and manufacturing with cleanspace fabricators |
JP2007005582A (ja) * | 2005-06-24 | 2007-01-11 | Asm Japan Kk | 基板搬送装置及びそれを搭載した半導体基板製造装置 |
JP5014603B2 (ja) * | 2005-07-29 | 2012-08-29 | 株式会社アルバック | 真空処理装置 |
CN100358097C (zh) * | 2005-08-05 | 2007-12-26 | 中微半导体设备(上海)有限公司 | 半导体工艺处理系统及其处理方法 |
TWI342597B (en) * | 2005-11-21 | 2011-05-21 | Applied Materials Inc | Methods and apparatus for transferring substrates during electronic device manufacturing |
CN100388457C (zh) * | 2005-12-08 | 2008-05-14 | 北京圆合电子技术有限责任公司 | 真空机械手 |
US7720655B2 (en) * | 2005-12-20 | 2010-05-18 | Applied Materials, Inc. | Extended mainframe designs for semiconductor device manufacturing equipment |
US7387484B2 (en) * | 2005-12-21 | 2008-06-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Wafer positioning systems and methods thereof |
SG172675A1 (en) * | 2006-03-05 | 2011-07-28 | Blueshift Technologies Inc | Wafer center finding |
US8398355B2 (en) * | 2006-05-26 | 2013-03-19 | Brooks Automation, Inc. | Linearly distributed semiconductor workpiece processing tool |
US7665951B2 (en) * | 2006-06-02 | 2010-02-23 | Applied Materials, Inc. | Multiple slot load lock chamber and method of operation |
US20080025821A1 (en) * | 2006-07-25 | 2008-01-31 | Applied Materials, Inc. | Octagon transfer chamber |
US20080025823A1 (en) * | 2006-07-31 | 2008-01-31 | Masahiko Harumoto | Load lock device, and substrate processing apparatus and substrate processing system including the same |
US7751919B2 (en) | 2006-08-19 | 2010-07-06 | Dynamic Micro Systems | Method for operating equipment using buffer station having emergency access |
US9117859B2 (en) * | 2006-08-31 | 2015-08-25 | Brooks Automation, Inc. | Compact processing apparatus |
US7901539B2 (en) * | 2006-09-19 | 2011-03-08 | Intevac, Inc. | Apparatus and methods for transporting and processing substrates |
US8419341B2 (en) * | 2006-09-19 | 2013-04-16 | Brooks Automation, Inc. | Linear vacuum robot with Z motion and articulated arm |
US9524896B2 (en) * | 2006-09-19 | 2016-12-20 | Brooks Automation Inc. | Apparatus and methods for transporting and processing substrates |
US8293066B2 (en) * | 2006-09-19 | 2012-10-23 | Brooks Automation, Inc. | Apparatus and methods for transporting and processing substrates |
US8182198B2 (en) * | 2006-10-06 | 2012-05-22 | Dynamic Micro Systems Semiconductor Equipment Gmbh | Redundantable robot assembly for workpiece transfer |
WO2008041169A2 (en) * | 2006-10-06 | 2008-04-10 | Dynamic Micro Systems | Redundantable robot assembly for workpiece transfer |
US9122272B2 (en) | 2006-10-06 | 2015-09-01 | Dynamic Micro Systems | Redundantable robot assembly for workpiece transfer |
US7410542B2 (en) * | 2006-10-10 | 2008-08-12 | Paul Terrance Nolan | Variable environment, scale-able, roll to roll system and method for manufacturing thin film electronics on flexible substrates |
TW200900210A (en) * | 2006-11-09 | 2009-01-01 | Ihi Corp | Frog-leg arm robot and control method thereof |
JP2008137738A (ja) * | 2006-11-30 | 2008-06-19 | Asyst Technologies Japan Inc | 天井走行搬送装置 |
US20080138178A1 (en) * | 2006-12-06 | 2008-06-12 | Axcelis Technologies,Inc. | High throughput serial wafer handling end station |
KR100847888B1 (ko) * | 2006-12-12 | 2008-07-23 | 세메스 주식회사 | 반도체 소자 제조 장치 |
US20080206036A1 (en) * | 2007-02-27 | 2008-08-28 | Smith John M | Magnetic media processing tool with storage bays and multi-axis robot arms |
US20080206023A1 (en) * | 2007-02-27 | 2008-08-28 | Smith John M | Semiconductor substrate processing apparatus with horizontally clustered vertical stacks |
US20080206022A1 (en) * | 2007-02-27 | 2008-08-28 | Smith John M | Mult-axis robot arms in substrate vacuum processing tool |
US20080202892A1 (en) * | 2007-02-27 | 2008-08-28 | Smith John M | Stacked process chambers for substrate vacuum processing tool |
US20080202417A1 (en) * | 2007-02-27 | 2008-08-28 | Smith John M | Self-contained process modules for vacuum processing tool |
JP2010524201A (ja) * | 2007-03-22 | 2010-07-15 | クロッシング オートメイション, インコーポレイテッド | モジュラクラスタツール |
US8752449B2 (en) | 2007-05-08 | 2014-06-17 | Brooks Automation, Inc. | Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism |
TWI626705B (zh) * | 2007-05-08 | 2018-06-11 | 布魯克斯自動機械公司 | 具有使用機械轉換機構之複數可動臂的基板運送裝置 |
WO2008140728A2 (en) * | 2007-05-08 | 2008-11-20 | Brooks Automation, Inc. | Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism |
WO2009026372A1 (en) * | 2007-08-20 | 2009-02-26 | Blueshift Technologies, Inc. | Wafer presence detection |
CA2699976A1 (en) * | 2007-09-24 | 2009-04-02 | Arborgen, Llc | Apparatus for and method of preparing plant tissue for plant production |
US8099192B2 (en) * | 2007-11-06 | 2012-01-17 | Novellus Systems, Inc. | Method and apparatus for teaching a workpiece transfer robot |
JP4473343B2 (ja) * | 2007-11-09 | 2010-06-02 | キヤノンアネルバ株式会社 | インライン型ウェハ搬送装置 |
JP4494523B2 (ja) * | 2007-11-09 | 2010-06-30 | キヤノンアネルバ株式会社 | インライン型ウェハ搬送装置および基板搬送方法 |
WO2009060540A1 (ja) * | 2007-11-09 | 2009-05-14 | Canon Anelva Corporation | インライン型ウェハ搬送装置 |
US8033769B2 (en) * | 2007-11-30 | 2011-10-11 | Novellus Systems, Inc. | Loadlock designs and methods for using same |
NL1036794A1 (nl) * | 2008-04-25 | 2009-10-27 | Asml Netherlands Bv | Robot for in-vacuum use. |
US8185242B2 (en) * | 2008-05-07 | 2012-05-22 | Lam Research Corporation | Dynamic alignment of wafers using compensation values obtained through a series of wafer movements |
US8288288B1 (en) | 2008-06-16 | 2012-10-16 | Novellus Systems, Inc. | Transferring heat in loadlocks |
JP5405235B2 (ja) * | 2008-09-05 | 2014-02-05 | Ntn株式会社 | 生産設備及び生産システム |
US8056256B2 (en) * | 2008-09-17 | 2011-11-15 | Slack Associates, Inc. | Method for reconditioning FCR APG-68 tactical radar units |
US8701307B2 (en) | 2008-09-17 | 2014-04-22 | Howard C. Slack | Method for cleaning and reconditioning FCR APG-68 tactical radar units |
US8033771B1 (en) | 2008-12-11 | 2011-10-11 | Novellus Systems, Inc. | Minimum contact area wafer clamping with gas flow for rapid wafer cooling |
US8666551B2 (en) * | 2008-12-22 | 2014-03-04 | Asm Japan K.K. | Semiconductor-processing apparatus equipped with robot diagnostic module |
TWI394224B (zh) * | 2009-02-24 | 2013-04-21 | Intevac Inc | 載送及處理基板之裝置與方法 |
US8293532B2 (en) | 2009-03-26 | 2012-10-23 | Dow AgroSciences, L.L.C. | Method and apparatus for tissue transfer |
JP5060517B2 (ja) * | 2009-06-24 | 2012-10-31 | 東京エレクトロン株式会社 | インプリントシステム |
JP2011009362A (ja) * | 2009-06-24 | 2011-01-13 | Tokyo Electron Ltd | インプリントシステム、インプリント方法、プログラム及びコンピュータ記憶媒体 |
US8671855B2 (en) * | 2009-07-06 | 2014-03-18 | Peat International, Inc. | Apparatus for treating waste |
US8602706B2 (en) | 2009-08-17 | 2013-12-10 | Brooks Automation, Inc. | Substrate processing apparatus |
JP4924680B2 (ja) * | 2009-09-09 | 2012-04-25 | 村田機械株式会社 | 移載装置 |
JP5358366B2 (ja) * | 2009-09-14 | 2013-12-04 | 東京エレクトロン株式会社 | 基板処理装置及び方法 |
TWI408766B (zh) * | 2009-11-12 | 2013-09-11 | Hitachi High Tech Corp | Vacuum processing device |
US8459922B2 (en) | 2009-11-13 | 2013-06-11 | Brooks Automation, Inc. | Manipulator auto-teach and position correction system |
JP2011119556A (ja) * | 2009-12-07 | 2011-06-16 | Yaskawa Electric Corp | 水平多関節ロボットおよびそれを備えた搬送装置 |
JP5282021B2 (ja) * | 2009-12-14 | 2013-09-04 | 株式会社日立ハイテクノロジーズ | 半導体処理システム及び半導体処理方法 |
JP5586271B2 (ja) * | 2010-03-02 | 2014-09-10 | 株式会社日立ハイテクノロジーズ | 真空処理装置及びプログラム |
JP5476162B2 (ja) * | 2010-03-02 | 2014-04-23 | 株式会社日立ハイテクノロジーズ | 真空処理装置及びプログラム |
JP5476171B2 (ja) | 2010-03-16 | 2014-04-23 | 株式会社日立ハイテクノロジーズ | 真空処理装置 |
WO2011148782A1 (ja) * | 2010-05-27 | 2011-12-01 | シャープ株式会社 | 基板処理装置および仮置き棚 |
JP2012028659A (ja) * | 2010-07-27 | 2012-02-09 | Hitachi High-Technologies Corp | 真空処理装置 |
JP5614326B2 (ja) | 2010-08-20 | 2014-10-29 | 東京エレクトロン株式会社 | 基板搬送装置、基板搬送方法及びその基板搬送方法を実行させるためのプログラムを記録した記録媒体 |
KR101708420B1 (ko) * | 2010-09-15 | 2017-02-21 | 삼성디스플레이 주식회사 | 기판 증착 시스템 및 이를 이용한 증착 방법 |
US9656386B2 (en) | 2010-10-08 | 2017-05-23 | Brooks Automation, Inc. | Coaxial drive vacuum robot |
TWI586500B (zh) * | 2010-10-08 | 2017-06-11 | 布魯克斯自動機械公司 | 機器人運送裝置及基板處理裝置 |
JP5785712B2 (ja) | 2010-12-28 | 2015-09-30 | 株式会社日立ハイテクノロジーズ | 真空処理装置 |
CN103988084B (zh) * | 2011-03-03 | 2017-03-08 | 生命科技公司 | 采样探针、系统、装置和方法 |
DE102011075036A1 (de) * | 2011-04-29 | 2012-10-31 | Hamilton Bonaduz Ag | Stanzvorrichtung mit Greifeinheit |
JP5476337B2 (ja) | 2011-05-26 | 2014-04-23 | 株式会社日立ハイテクノロジーズ | 真空処理装置及びプログラム |
US9177842B2 (en) | 2011-08-10 | 2015-11-03 | Applied Materials, Inc. | Degassing apparatus adapted to process substrates in multiple tiers with second actuator |
JP2013045817A (ja) * | 2011-08-23 | 2013-03-04 | Hitachi High-Technologies Corp | 真空処理装置および真空処理方法 |
JP6084618B2 (ja) * | 2011-09-16 | 2017-02-22 | パーシモン テクノロジーズ コーポレイションPersimmon Technologies, Corp. | 低変動ロボット |
US9048271B2 (en) * | 2011-09-29 | 2015-06-02 | Asm International N.V. | Modular semiconductor processing system |
US8968532B2 (en) * | 2011-10-06 | 2015-03-03 | Applied Materials, Inc. | Electrochemical processor alignment system |
WO2013072760A2 (en) * | 2011-10-26 | 2013-05-23 | Brooks Automation, Inc. | Semiconductor wafer handling and transport |
JP5494617B2 (ja) * | 2011-10-26 | 2014-05-21 | 株式会社安川電機 | ロボットシステムおよび加工品の製造方法 |
CN102506712A (zh) * | 2011-11-04 | 2012-06-20 | 中国科学院微电子研究所 | 一种激光检测装置 |
JP5923288B2 (ja) * | 2011-12-01 | 2016-05-24 | 株式会社日立ハイテクノロジーズ | 真空処理装置及び真空処理装置の運転方法 |
US9014836B2 (en) * | 2011-12-15 | 2015-04-21 | The Boeing Company | Autonomous carrier system for moving aircraft structures |
JP5810929B2 (ja) * | 2012-01-13 | 2015-11-11 | シンフォニアテクノロジー株式会社 | ウェーハ搬送装置 |
CN104094394A (zh) * | 2012-02-08 | 2014-10-08 | 应用材料公司 | 用于分散的基板的具有蜂巢式结构的动态负载锁定 |
KR20130096072A (ko) * | 2012-02-21 | 2013-08-29 | 삼성전자주식회사 | 기판 반송 장치 |
US20150135880A1 (en) * | 2012-03-15 | 2015-05-21 | Moog Inc. | Sealed robot base system |
JP5738796B2 (ja) | 2012-04-11 | 2015-06-24 | 株式会社日立ハイテクノロジーズ | 処理室割当設定装置及び処理室割当設定プログラム |
WO2013154863A1 (en) * | 2012-04-12 | 2013-10-17 | Applied Materials, Inc | Robot systems, apparatus, and methods having independently rotatable waists |
JP2014036025A (ja) * | 2012-08-07 | 2014-02-24 | Hitachi High-Technologies Corp | 真空処理装置または真空処理装置の運転方法 |
US9199216B2 (en) * | 2012-08-07 | 2015-12-01 | Molecular Devices, Llc | Apparatuses and methods for conditioning and reorienting components of an electrophysiology measurement system |
KR102064391B1 (ko) * | 2012-08-31 | 2020-01-10 | 삼성디스플레이 주식회사 | 기판 처리 장치 |
US9022715B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Load lock chamber designs for high-throughput processing system |
US10020187B2 (en) * | 2012-11-26 | 2018-07-10 | Applied Materials, Inc. | Apparatus and methods for backside passivation |
JP2014116545A (ja) * | 2012-12-12 | 2014-06-26 | Tokyo Electron Ltd | 基板処理装置 |
CN205159286U (zh) * | 2012-12-31 | 2016-04-13 | 菲力尔系统公司 | 用于微辐射热计真空封装组件的晶片级封装的装置 |
KR102503229B1 (ko) * | 2013-01-18 | 2023-02-23 | 퍼시몬 테크놀로지스 코포레이션 | 이송 장치 |
US10224232B2 (en) | 2013-01-18 | 2019-03-05 | Persimmon Technologies Corporation | Robot having two arms with unequal link lengths |
US9149936B2 (en) | 2013-01-18 | 2015-10-06 | Persimmon Technologies, Corp. | Robot having arm with unequal link lengths |
US9064807B2 (en) * | 2013-02-27 | 2015-06-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated platform for improved wafer manufacturing quality |
JP2014179508A (ja) * | 2013-03-15 | 2014-09-25 | Tokyo Electron Ltd | 基板処理装置及び基板処理方法 |
US9281222B2 (en) * | 2013-03-15 | 2016-03-08 | Applied Materials, Inc. | Wafer handling systems and methods |
JP6216530B2 (ja) * | 2013-03-29 | 2017-10-18 | 株式会社日立ハイテクノロジーズ | 真空処理装置の運転方法 |
US9669552B2 (en) * | 2013-05-20 | 2017-06-06 | Varian Semiconductor Equipment Associates, Inc. | System and method for quick-swap of multiple substrates |
US10328580B2 (en) * | 2013-08-09 | 2019-06-25 | Persimmon Technologies Corporation | Reduced footprint substrate transport vacuum platform |
US10424498B2 (en) | 2013-09-09 | 2019-09-24 | Persimmon Technologies Corporation | Substrate transport vacuum platform |
WO2015073651A1 (en) | 2013-11-13 | 2015-05-21 | Brooks Automation, Inc. | Method and apparatus for brushless electrical machine control |
TWI695447B (zh) | 2013-11-13 | 2020-06-01 | 布魯克斯自動機械公司 | 運送設備 |
WO2015073647A1 (en) | 2013-11-13 | 2015-05-21 | Brooks Automation, Inc. | Sealed robot drive |
US10348172B2 (en) | 2013-11-13 | 2019-07-09 | Brooks Automation, Inc. | Sealed switched reluctance motor |
WO2015112538A1 (en) | 2014-01-21 | 2015-07-30 | Persimmon Technologies, Corp. | Substrate transport vacuum platform |
JP6211960B2 (ja) * | 2014-03-13 | 2017-10-11 | 東京エレクトロン株式会社 | 制御装置、基板処理装置及び基板処理システム |
US9818633B2 (en) | 2014-10-17 | 2017-11-14 | Lam Research Corporation | Equipment front end module for transferring wafers and method of transferring wafers |
US9673071B2 (en) | 2014-10-23 | 2017-06-06 | Lam Research Corporation | Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates |
US10692765B2 (en) * | 2014-11-07 | 2020-06-23 | Applied Materials, Inc. | Transfer arm for film frame substrate handling during plasma singulation of wafers |
US10459615B2 (en) | 2014-12-11 | 2019-10-29 | Rdi Technologies, Inc. | Apparatus and method for analyzing periodic motions in machinery |
US10062411B2 (en) * | 2014-12-11 | 2018-08-28 | Jeffrey R. Hay | Apparatus and method for visualizing periodic motions in mechanical components |
KR102567510B1 (ko) | 2015-03-12 | 2023-08-17 | 퍼시몬 테크놀로지스 코포레이션 | 종속화된 엔드 이펙터 움직임을 가진 로봇 |
US10103046B2 (en) | 2015-04-20 | 2018-10-16 | Applied Materials, Inc. | Buffer chamber wafer heating mechanism and supporting robot |
TWI677046B (zh) * | 2015-04-23 | 2019-11-11 | 美商應用材料股份有限公司 | 半導體處理系統中的外部基板材旋轉 |
KR20230113410A (ko) | 2015-07-13 | 2023-07-28 | 브룩스 오토메이션 인코퍼레이티드 | 기판 이송 장치 |
KR20230145534A (ko) | 2015-07-13 | 2023-10-17 | 브룩스 오토메이션 인코퍼레이티드 | 온 더 플라이 자동 웨이퍼 센터링 방법 및 장치 |
US9502275B1 (en) * | 2015-10-20 | 2016-11-22 | Lam Research Corporation | Service tunnel for use on capital equipment in semiconductor manufacturing and research fabs |
US10121655B2 (en) | 2015-11-20 | 2018-11-06 | Applied Materials, Inc. | Lateral plasma/radical source |
JP6333870B2 (ja) | 2016-01-28 | 2018-05-30 | ファナック株式会社 | 複数の機械および少なくとも一つのセンサを備えたシステム |
US10099377B2 (en) * | 2016-06-29 | 2018-10-16 | Applied Materials, Inc. | Methods and systems providing misalignment correction in robots |
JP6830772B2 (ja) * | 2016-08-04 | 2021-02-17 | 株式会社ジャパンディスプレイ | 積層膜の製造装置、及び積層膜の製造方法 |
US11482434B2 (en) | 2016-10-18 | 2022-10-25 | Belting E-Town Semiconductor Technology Co., Ltd | Systems and methods for workpiece processing |
KR102650824B1 (ko) * | 2016-10-18 | 2024-03-26 | 매슨 테크놀로지 인크 | 워크피스 처리를 위한 시스템 및 방법 |
JP7158133B2 (ja) * | 2017-03-03 | 2022-10-21 | アプライド マテリアルズ インコーポレイテッド | 雰囲気が制御された移送モジュール及び処理システム |
WO2018210404A1 (en) * | 2017-05-16 | 2018-11-22 | Abb Schweiz Ag | Method and control system for controlling movement sequences of a robot |
US10903107B2 (en) * | 2017-07-11 | 2021-01-26 | Brooks Automation, Inc. | Semiconductor process transport apparatus comprising an adapter pendant |
US10406562B2 (en) * | 2017-07-21 | 2019-09-10 | Applied Materials, Inc. | Automation for rotary sorters |
US10861723B2 (en) * | 2017-08-08 | 2020-12-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | EFEM robot auto teaching methodology |
WO2019040430A1 (en) * | 2017-08-21 | 2019-02-28 | Massachusetts Institute Of Technology | EXPANDABLE ROBOTIC ARM |
US10456920B2 (en) | 2017-08-24 | 2019-10-29 | Samsung Electronics Co., Ltd. | Proximity robot blade detection and auto retraction |
US10978333B2 (en) * | 2017-11-14 | 2021-04-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Systems and methods for robotic arm sensing |
WO2019108986A1 (en) * | 2017-11-30 | 2019-06-06 | Michael Munoz | Internet of things (iot) enabled wireless sensor system enabling process control, predictive maintenance of electrical distribution networks, liquid and gas pipelines and monitoring of air pollutants including nuclear, chemical, and biological agents using attached and/or embedded passive electromagnetic sensors |
AU2019260798A1 (en) * | 2018-04-27 | 2020-12-24 | Zahra AHSAN | Patient stabilization and securing device for robotic and laparoscopic surgeries in trendelenburg position |
US11423551B1 (en) | 2018-10-17 | 2022-08-23 | Rdi Technologies, Inc. | Enhanced presentation methods for visualizing motion of physical structures and machinery |
CN113874173A (zh) | 2019-02-08 | 2021-12-31 | 安川美国有限公司 | 对射式自动示教 |
JP7253955B2 (ja) * | 2019-03-28 | 2023-04-07 | 東京エレクトロン株式会社 | 基板処理装置および基板処理方法 |
US11508560B2 (en) | 2019-05-14 | 2022-11-22 | Beijing E-Town Semiconductor Technology Co., Ltd | Focus ring adjustment assembly of a system for processing workpieces under vacuum |
US10998209B2 (en) | 2019-05-31 | 2021-05-04 | Applied Materials, Inc. | Substrate processing platforms including multiple processing chambers |
KR102211252B1 (ko) * | 2019-06-26 | 2021-02-04 | 세메스 주식회사 | 기판 처리 장치 |
DE102019125134A1 (de) * | 2019-09-18 | 2021-03-18 | Mühlbauer Gmbh & Co. Kg | Bauteilhandhabung, Bauteilinspektion |
US11373317B1 (en) | 2020-01-24 | 2022-06-28 | Rdi Technologies, Inc. | Measuring the speed of rotation or reciprocation of a mechanical component using one or more cameras |
US11413744B2 (en) | 2020-03-03 | 2022-08-16 | Applied Materials, Inc. | Multi-turn drive assembly and systems and methods of use thereof |
US11565402B2 (en) | 2020-03-09 | 2023-01-31 | Applied Materials, Inc. | Substrate transfer devices, systems and methods of use thereof |
US12014908B2 (en) | 2020-03-24 | 2024-06-18 | Hitachi High-Tech Corporation | Vacuum processing apparatus |
JP7511380B2 (ja) | 2020-05-01 | 2024-07-05 | 東京エレクトロン株式会社 | 処理システム |
US11282213B1 (en) | 2020-06-24 | 2022-03-22 | Rdi Technologies, Inc. | Enhanced analysis techniques using composite frequency spectrum data |
US12080571B2 (en) | 2020-07-08 | 2024-09-03 | Applied Materials, Inc. | Substrate processing module and method of moving a workpiece |
US11749542B2 (en) | 2020-07-27 | 2023-09-05 | Applied Materials, Inc. | Apparatus, system, and method for non-contact temperature monitoring of substrate supports |
US11817331B2 (en) | 2020-07-27 | 2023-11-14 | Applied Materials, Inc. | Substrate holder replacement with protective disk during pasting process |
US11600507B2 (en) | 2020-09-09 | 2023-03-07 | Applied Materials, Inc. | Pedestal assembly for a substrate processing chamber |
US11610799B2 (en) | 2020-09-18 | 2023-03-21 | Applied Materials, Inc. | Electrostatic chuck having a heating and chucking capabilities |
US11322182B1 (en) | 2020-09-28 | 2022-05-03 | Rdi Technologies, Inc. | Enhanced visualization techniques using reconstructed time waveforms |
CN112736000A (zh) * | 2020-12-31 | 2021-04-30 | 上海广川科技有限公司 | 一种真空传送装置及传送方法 |
US11674227B2 (en) | 2021-02-03 | 2023-06-13 | Applied Materials, Inc. | Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure |
US11935771B2 (en) * | 2021-02-17 | 2024-03-19 | Applied Materials, Inc. | Modular mainframe layout for supporting multiple semiconductor process modules or chambers |
US11935770B2 (en) * | 2021-02-17 | 2024-03-19 | Applied Materials, Inc. | Modular mainframe layout for supporting multiple semiconductor process modules or chambers |
US12002668B2 (en) | 2021-06-25 | 2024-06-04 | Applied Materials, Inc. | Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool |
CN118661248A (zh) * | 2023-01-11 | 2024-09-17 | 博鲁可斯自动化美国有限责任公司 | 衬底处理系统 |
Family Cites Families (237)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3294670A (en) * | 1963-10-07 | 1966-12-27 | Western Electric Co | Apparatus for processing materials in a controlled atmosphere |
US3584847A (en) | 1968-05-31 | 1971-06-15 | Western Electric Co | Advancing workpieces through a sputtering chamber |
US3968018A (en) | 1969-09-29 | 1976-07-06 | Warner-Lambert Company | Sputter coating method |
US6076652A (en) * | 1971-04-16 | 2000-06-20 | Texas Instruments Incorporated | Assembly line system and apparatus controlling transfer of a workpiece |
US3796163A (en) * | 1972-07-12 | 1974-03-12 | Kearney & Trecker Corp | Manufacturing system |
US4015558A (en) | 1972-12-04 | 1977-04-05 | Optical Coating Laboratory, Inc. | Vapor deposition apparatus |
US3834555A (en) * | 1972-12-04 | 1974-09-10 | Budd Co | Article transfer apparatus |
US3874525A (en) | 1973-06-29 | 1975-04-01 | Ibm | Method and apparatus for handling workpieces |
US3925182A (en) | 1973-09-25 | 1975-12-09 | Shatterproof Glass Corp | Method for continuous production of sputter-coated glass products |
US3976330A (en) * | 1975-10-01 | 1976-08-24 | International Business Machines Corporation | Transport system for semiconductor wafer multiprocessing station system |
DE2812271C2 (de) | 1978-03-21 | 1983-01-27 | Leybold-Heraeus GmbH, 5000 Köln | Vorrichtung mit mehreren Schleusenkammern zum chargenweisen Beschichten von Substraten |
JPS54159964A (en) * | 1978-06-06 | 1979-12-18 | Shiroyama Kogyo Kk | Articulated arm type manipulator |
US4216677A (en) * | 1978-07-17 | 1980-08-12 | Borg-Warner Corporation | Hermetically sealed rotary drive apparatus |
US4275978A (en) | 1979-02-15 | 1981-06-30 | Brooks Norman B | Transport apparatus |
FR2455695A1 (fr) * | 1979-05-02 | 1980-11-28 | Carpano & Pons | Dispositif de commande pour moto-reducteur electrique |
JPS5681533U (ja) | 1979-11-27 | 1981-07-01 | ||
FR2480846A1 (fr) * | 1980-04-18 | 1981-10-23 | Carpano & Pons | Dispositif d'entrainement, pour stores a rouleau, volets roulants, ou similaires |
US4398720A (en) * | 1981-01-05 | 1983-08-16 | California R & D Center | Robot computer chess game |
US4433951A (en) * | 1981-02-13 | 1984-02-28 | Lam Research Corporation | Modular loadlock |
FR2502597A1 (fr) * | 1981-03-24 | 1982-10-01 | Carpano & Pons | Dispositif d'entrainement d'un element souple de protection |
US4392776A (en) * | 1981-05-15 | 1983-07-12 | Westinghouse Electric Corp. | Robotic manipulator structure |
JPS58177292A (ja) * | 1982-04-05 | 1983-10-17 | 株式会社豊田中央研究所 | 工業用ロボツトア−ム及びその製造方法 |
WO1984003196A1 (en) | 1983-02-14 | 1984-08-16 | Brooks Ass | Articulated arm transfer device |
US4666366A (en) | 1983-02-14 | 1987-05-19 | Canon Kabushiki Kaisha | Articulated arm transfer device |
US4909701A (en) | 1983-02-14 | 1990-03-20 | Brooks Automation Inc. | Articulated arm transfer device |
US4584045A (en) * | 1984-02-21 | 1986-04-22 | Plasma-Therm, Inc. | Apparatus for conveying a semiconductor wafer |
US5259881A (en) | 1991-05-17 | 1993-11-09 | Materials Research Corporation | Wafer processing cluster tool batch preheating and degassing apparatus |
US4702668A (en) * | 1985-01-24 | 1987-10-27 | Adept Technology, Inc. | Direct drive robotic system |
US4712971A (en) | 1985-02-13 | 1987-12-15 | The Charles Stark Draper Laboratory, Inc. | Control arm assembly |
US4813732A (en) * | 1985-03-07 | 1989-03-21 | Epsilon Technology, Inc. | Apparatus and method for automated wafer handling |
US4749465A (en) | 1985-05-09 | 1988-06-07 | Seagate Technology | In-line disk sputtering system |
US4875825A (en) | 1985-07-24 | 1989-10-24 | Hewlett-Packard Company | Method for automated cassette handling |
US4724322A (en) * | 1986-03-03 | 1988-02-09 | Applied Materials, Inc. | Method for non-contact xyz position sensing |
US4701096A (en) * | 1986-03-05 | 1987-10-20 | Btu Engineering Corporation | Wafer handling station |
GB8608817D0 (en) * | 1986-04-11 | 1986-05-14 | Advel Ltd | Fastener installation apparatus |
EP0246453A3 (en) * | 1986-04-18 | 1989-09-06 | General Signal Corporation | Novel multiple-processing and contamination-free plasma etching system |
US5308431A (en) * | 1986-04-18 | 1994-05-03 | General Signal Corporation | System providing multiple processing of substrates |
US6103055A (en) * | 1986-04-18 | 2000-08-15 | Applied Materials, Inc. | System for processing substrates |
US4917556A (en) | 1986-04-28 | 1990-04-17 | Varian Associates, Inc. | Modular wafer transport and processing system |
US4775281A (en) * | 1986-12-02 | 1988-10-04 | Teradyne, Inc. | Apparatus and method for loading and unloading wafers |
US4951601A (en) * | 1986-12-19 | 1990-08-28 | Applied Materials, Inc. | Multi-chamber integrated process system |
JPS63157870A (ja) | 1986-12-19 | 1988-06-30 | Anelva Corp | 基板処理装置 |
US4817556A (en) * | 1987-05-04 | 1989-04-04 | Varian Associates, Inc. | Apparatus for retaining wafers |
US4831270A (en) * | 1987-05-21 | 1989-05-16 | Ion Implant Services | Ion implantation apparatus |
US5020475A (en) * | 1987-10-15 | 1991-06-04 | Epsilon Technology, Inc. | Substrate handling and transporting apparatus |
JP2502661B2 (ja) * | 1988-03-04 | 1996-05-29 | 松下電器産業株式会社 | 気相成長装置 |
US5536128A (en) * | 1988-10-21 | 1996-07-16 | Hitachi, Ltd. | Method and apparatus for carrying a variety of products |
US5076205A (en) | 1989-01-06 | 1991-12-31 | General Signal Corporation | Modular vapor processor system |
US5064340A (en) * | 1989-01-20 | 1991-11-12 | Genmark Automation | Precision arm mechanism |
FR2644290A1 (fr) * | 1989-03-10 | 1990-09-14 | Labo Electronique Physique | Micromanipulateur |
US5013210A (en) * | 1989-05-08 | 1991-05-07 | Bond Irvin D | Workpiece transfer apparatus with folding arms |
US5227708A (en) | 1989-10-20 | 1993-07-13 | Applied Materials, Inc. | Two-axis magnetically coupled robot |
US5447409A (en) | 1989-10-20 | 1995-09-05 | Applied Materials, Inc. | Robot assembly |
US5203443A (en) * | 1989-11-13 | 1993-04-20 | Kabushiki Kaisha Shinkawa | Conveying apparatus used in assembling semicondutors |
JPH0419081A (ja) | 1990-05-15 | 1992-01-23 | Seiko Instr Inc | 真空内搬送ロボット |
US5414334A (en) * | 1990-12-28 | 1995-05-09 | Somfy | Control device for an asynchronous roller-blind motor |
US5286296A (en) * | 1991-01-10 | 1994-02-15 | Sony Corporation | Multi-chamber wafer process equipment having plural, physically communicating transfer means |
US5382806A (en) * | 1991-05-07 | 1995-01-17 | Kensington Laboratories, Inc. | Specimen carrier platform and scanning assembly |
IT1251017B (it) * | 1991-05-21 | 1995-04-28 | Ugo Crippa | Meccanismo per compiere traiettorie prefissate assimilabili ad ellittiche |
JPH04132388U (ja) * | 1991-05-24 | 1992-12-08 | 千住金属工業株式会社 | 真空乾燥装置 |
US5180275A (en) | 1991-05-28 | 1993-01-19 | The Braun Corporation | Rotary bus lift with power stowable platform |
US5314541A (en) | 1991-05-28 | 1994-05-24 | Tokyo Electron Limited | Reduced pressure processing system and reduced pressure processing method |
JP3216154B2 (ja) * | 1991-07-26 | 2001-10-09 | 株式会社島津製作所 | 真空成膜装置 |
JP3030667B2 (ja) | 1991-07-29 | 2000-04-10 | 東京エレクトロン株式会社 | 搬送装置 |
US5467266A (en) * | 1991-09-03 | 1995-11-14 | Lutron Electronics Co., Inc. | Motor-operated window cover |
US5766360A (en) | 1992-03-27 | 1998-06-16 | Kabushiki Kaisha Toshiba | Substrate processing apparatus and substrate processing method |
US5404894A (en) * | 1992-05-20 | 1995-04-11 | Tokyo Electron Kabushiki Kaisha | Conveyor apparatus |
US5426865A (en) * | 1992-09-03 | 1995-06-27 | Tokyo Electron Limited | Vacuum creating method and apparatus |
JPH06104327A (ja) * | 1992-09-22 | 1994-04-15 | Sony Corp | 基板処理装置 |
KR100302012B1 (ko) * | 1992-11-06 | 2001-11-30 | 조셉 제이. 스위니 | 미소-환경 콘테이너 연결방법 및 미소-환경 로드 로크 |
EP0597637B1 (en) | 1992-11-12 | 2000-08-23 | Applied Materials, Inc. | System and method for automated positioning of a substrate in a processing chamber |
KR970011065B1 (ko) | 1992-12-21 | 1997-07-05 | 다이닛뽕 스크린 세이조오 가부시키가이샤 | 기판처리장치와 기판처리장치에 있어서 기판교환장치 및 기판교환방법 |
JP3042576B2 (ja) * | 1992-12-21 | 2000-05-15 | 大日本スクリーン製造株式会社 | 基板処理装置 |
US5431529A (en) | 1992-12-28 | 1995-07-11 | Brooks Automation, Inc. | Articulated arm transfer device |
DE69415517T3 (de) * | 1993-04-16 | 2005-03-17 | Brooks Automation, Inc., Lowell | Handhabungseinrichtung mit gelenkarm |
US5433020A (en) * | 1993-04-29 | 1995-07-18 | Altos Engineering, Inc. | Apparatus and method for vacuum drying |
US6296735B1 (en) * | 1993-05-03 | 2001-10-02 | Unaxis Balzers Aktiengesellschaft | Plasma treatment apparatus and method for operation same |
US5417537A (en) * | 1993-05-07 | 1995-05-23 | Miller; Kenneth C. | Wafer transport device |
TW276353B (ja) | 1993-07-15 | 1996-05-21 | Hitachi Seisakusyo Kk | |
US5475914A (en) * | 1993-08-10 | 1995-12-19 | Ohio Electronic Engravers, Inc. | Engraving head with cartridge mounted components |
US5539975A (en) * | 1993-09-08 | 1996-07-30 | Allen-Bradley Company, Inc. | Control system and equipment configuration for a modular product assembly platform |
US5344365A (en) * | 1993-09-14 | 1994-09-06 | Sematech, Inc. | Integrated building and conveying structure for manufacturing under ultraclean conditions |
JPH0799224A (ja) * | 1993-09-28 | 1995-04-11 | Hitachi Ltd | 多チャンバ型半導体製造装置 |
US5447431A (en) | 1993-10-29 | 1995-09-05 | Brooks Automation, Inc. | Low-gas temperature stabilization system |
US5538390A (en) * | 1993-10-29 | 1996-07-23 | Applied Materials, Inc. | Enclosure for load lock interface |
JPH07211762A (ja) * | 1994-01-13 | 1995-08-11 | Hitachi Ltd | ウエハ搬送処理装置 |
US5511005A (en) * | 1994-02-16 | 1996-04-23 | Ade Corporation | Wafer handling and processing system |
US5563798A (en) * | 1994-04-05 | 1996-10-08 | Applied Materials, Inc. | Wafer positioning system |
DE69529501T2 (de) * | 1994-04-18 | 2003-12-11 | Micron Technology, Inc. | Verfahren und vorrichtung zum automatischen positionieren elektronischer würfel in bauteilverpackungen |
WO1995035506A2 (en) * | 1994-06-17 | 1995-12-28 | Kensington Laboratories, Inc. | Scribe mark reader |
US5486080A (en) * | 1994-06-30 | 1996-01-23 | Diamond Semiconductor Group, Inc. | High speed movement of workpieces in vacuum processing |
TW301761B (ja) * | 1994-11-29 | 1997-04-01 | Sharp Kk | |
JPH08196894A (ja) * | 1995-01-25 | 1996-08-06 | Tokki Kk | 真空装置の小型、低価格化のための構成方法及び、材料の搬送形態 |
US5586585A (en) * | 1995-02-27 | 1996-12-24 | Asyst Technologies, Inc. | Direct loadlock interface |
DE59611078D1 (de) * | 1995-03-28 | 2004-10-14 | Brooks Automation Gmbh | Be- und Entladestation für Halbleiterbearbeitungsanlagen |
KR100286248B1 (ko) * | 1995-06-08 | 2001-04-16 | 엔도 마코토 | 기판 반송 장치 |
TW309503B (ja) * | 1995-06-27 | 1997-07-01 | Tokyo Electron Co Ltd | |
US5765982A (en) * | 1995-07-10 | 1998-06-16 | Amtech Systems, Inc. | Automatic wafer boat loading system and method |
US6360144B1 (en) * | 1995-07-10 | 2002-03-19 | Newport Corporation | Self-teaching robot arm position method |
US6366830B2 (en) | 1995-07-10 | 2002-04-02 | Newport Corporation | Self-teaching robot arm position method to compensate for support structure component alignment offset |
KR100310249B1 (ko) * | 1995-08-05 | 2001-12-17 | 엔도 마코토 | 기판처리장치 |
TW318258B (ja) * | 1995-12-12 | 1997-10-21 | Tokyo Electron Co Ltd | |
TW349897B (en) * | 1996-02-02 | 1999-01-11 | Komatsu Mfg Co Ltd | Operational robot |
US5751003A (en) * | 1996-02-16 | 1998-05-12 | Eaton Corporation | Loadlock assembly for an ion implantation system |
US5810549A (en) | 1996-04-17 | 1998-09-22 | Applied Materials, Inc. | Independent linear dual-blade robot and method for transferring wafers |
US5668452A (en) * | 1996-05-09 | 1997-09-16 | Vlsi Technology, Inc. | Magnetic sensing robotics for automated semiconductor wafer processing systems |
US5765983A (en) * | 1996-05-30 | 1998-06-16 | Brooks Automation, Inc. | Robot handling apparatus |
US6062798A (en) * | 1996-06-13 | 2000-05-16 | Brooks Automation, Inc. | Multi-level substrate processing apparatus |
US5980194A (en) * | 1996-07-15 | 1999-11-09 | Applied Materials, Inc. | Wafer position error detection and correction system |
US6318951B1 (en) * | 1999-07-09 | 2001-11-20 | Semitool, Inc. | Robots for microelectronic workpiece handling |
US6203582B1 (en) * | 1996-07-15 | 2001-03-20 | Semitool, Inc. | Modular semiconductor workpiece processing tool |
TW344847B (en) * | 1996-08-29 | 1998-11-11 | Tokyo Electron Co Ltd | Substrate treatment system, substrate transfer system, and substrate transfer method |
US5814733A (en) * | 1996-09-12 | 1998-09-29 | Motorola, Inc. | Method of characterizing dynamics of a workpiece handling system |
JP3947761B2 (ja) * | 1996-09-26 | 2007-07-25 | 株式会社日立国際電気 | 基板処理装置、基板搬送機および基板処理方法 |
US6048154A (en) * | 1996-10-02 | 2000-04-11 | Applied Materials, Inc. | High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock |
US5961269A (en) * | 1996-11-18 | 1999-10-05 | Applied Materials, Inc. | Three chamber load lock apparatus |
US5848634A (en) * | 1996-12-27 | 1998-12-15 | Latron Electronics Co. Inc. | Motorized window shade system |
US6126381A (en) * | 1997-04-01 | 2000-10-03 | Kensington Laboratories, Inc. | Unitary specimen prealigner and continuously rotatable four link robot arm mechanism |
US6059507A (en) * | 1997-04-21 | 2000-05-09 | Brooks Automation, Inc. | Substrate processing apparatus with small batch load lock |
US6439824B1 (en) | 2000-07-07 | 2002-08-27 | Semitool, Inc. | Automated semiconductor immersion processing system |
US5894760A (en) * | 1997-06-12 | 1999-04-20 | Brooks Automation, Inc. | Substrate transport drive system |
US6312525B1 (en) * | 1997-07-11 | 2001-11-06 | Applied Materials, Inc. | Modular architecture for semiconductor wafer fabrication equipment |
US6073366A (en) * | 1997-07-11 | 2000-06-13 | Asm America, Inc. | Substrate cooling system and method |
US6034000A (en) * | 1997-07-28 | 2000-03-07 | Applied Materials, Inc. | Multiple loadlock system |
TW385488B (en) * | 1997-08-15 | 2000-03-21 | Tokyo Electron Ltd | substrate processing device |
US6048162A (en) | 1997-08-28 | 2000-04-11 | Cvc Products, Inc. | Wafer handler for multi-station tool |
US6053687A (en) * | 1997-09-05 | 2000-04-25 | Applied Materials, Inc. | Cost effective modular-linear wafer processing |
US6002840A (en) * | 1997-09-30 | 1999-12-14 | Brooks Automation Inc. | Substrate transport apparatus |
US6235634B1 (en) * | 1997-10-08 | 2001-05-22 | Applied Komatsu Technology, Inc. | Modular substrate processing system |
US6205870B1 (en) * | 1997-10-10 | 2001-03-27 | Applied Komatsu Technology, Inc. | Automated substrate processing systems and methods |
US6281651B1 (en) * | 1997-11-03 | 2001-08-28 | Immersion Corporation | Haptic pointing devices |
US6293749B1 (en) * | 1997-11-21 | 2001-09-25 | Asm America, Inc. | Substrate transfer system for semiconductor processing equipment |
US6210084B1 (en) * | 1997-11-26 | 2001-04-03 | The Boeing Company | Pressure foot assembly for clamping a joint |
EP2099061A3 (en) * | 1997-11-28 | 2013-06-12 | Mattson Technology, Inc. | Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing |
US6257827B1 (en) * | 1997-12-01 | 2001-07-10 | Brooks Automation Inc. | Apparatus and method for transporting substrates |
JP4178534B2 (ja) * | 1997-12-24 | 2008-11-12 | 株式会社安川電機 | 基板搬送用ロボット |
US6146077A (en) * | 1998-01-13 | 2000-11-14 | Samsung Electronics Co., Ltd. | Wafer transfer system of semiconductor fabricating equipment using a serial number detecting device |
JPH11207611A (ja) * | 1998-01-21 | 1999-08-03 | Shin Etsu Handotai Co Ltd | 両面研磨装置におけるワークの自動搬送装置 |
US6155768A (en) * | 1998-01-30 | 2000-12-05 | Kensington Laboratories, Inc. | Multiple link robot arm system implemented with offset end effectors to provide extended reach and enhanced throughput |
US6125551A (en) * | 1998-03-17 | 2000-10-03 | Verteq, Inc. | Gas seal and support for rotating semiconductor processor |
DE19813684C2 (de) | 1998-03-27 | 2001-08-16 | Brooks Automation Gmbh | Einrichtung zur Aufnahme von Transportbehältern an einer Be- und Entladestation |
DE19816221A1 (de) * | 1998-04-09 | 1999-10-21 | Siemens Ag | Verfahren und Vorrichtung zum Behandeln von Gegenständen, vorzugsweise Wafern |
KR100265287B1 (ko) * | 1998-04-21 | 2000-10-02 | 윤종용 | 반도체소자 제조용 식각설비의 멀티챔버 시스템 |
US6153044A (en) * | 1998-04-30 | 2000-11-28 | Euv Llc | Protection of lithographic components from particle contamination |
US6547510B1 (en) * | 1998-05-04 | 2003-04-15 | Brooks Automation Inc. | Substrate transport apparatus with coaxial drive shafts and dual independent scara arms |
US6267549B1 (en) * | 1998-06-02 | 2001-07-31 | Applied Materials, Inc. | Dual independent robot blades with minimal offset |
US6142722A (en) * | 1998-06-17 | 2000-11-07 | Genmark Automation, Inc. | Automated opening and closing of ultra clean storage containers |
US6073828A (en) * | 1998-06-30 | 2000-06-13 | Lam Research Corporation | End effector for substrate handling and method for making the same |
US6375746B1 (en) | 1998-07-10 | 2002-04-23 | Novellus Systems, Inc. | Wafer processing architecture including load locks |
US6167322A (en) * | 1998-07-10 | 2000-12-26 | Holbrooks; Orville Ray | Intelligent wafer handling system and method |
US6016611A (en) * | 1998-07-13 | 2000-01-25 | Applied Komatsu Technology, Inc. | Gas flow control in a substrate processing system |
US6501070B1 (en) * | 1998-07-13 | 2002-12-31 | Newport Corporation | Pod load interface equipment adapted for implementation in a fims system |
US6017820A (en) | 1998-07-17 | 2000-01-25 | Cutek Research, Inc. | Integrated vacuum and plating cluster system |
US6282459B1 (en) * | 1998-09-01 | 2001-08-28 | International Business Machines Corporation | Structure and method for detection of physical interference during transport of an article |
US6144118A (en) * | 1998-09-18 | 2000-11-07 | General Scanning, Inc. | High-speed precision positioning apparatus |
JP2965038B1 (ja) * | 1998-09-21 | 1999-10-18 | 日新電機株式会社 | 真空処理装置 |
US6719516B2 (en) * | 1998-09-28 | 2004-04-13 | Applied Materials, Inc. | Single wafer load lock with internal wafer transport |
US6960057B1 (en) | 1998-09-30 | 2005-11-01 | Brooks Automation, Inc. | Substrate transport apparatus |
TW442891B (en) * | 1998-11-17 | 2001-06-23 | Tokyo Electron Ltd | Vacuum processing system |
US6405101B1 (en) * | 1998-11-17 | 2002-06-11 | Novellus Systems, Inc. | Wafer centering system and method |
IL143467A (en) * | 1998-12-02 | 2005-05-17 | Newport Corp | Specimen holding robotic arm and effector |
JP2000177842A (ja) * | 1998-12-10 | 2000-06-27 | Mitsubishi Heavy Ind Ltd | 搬送装置及び真空処理システム |
JP3723003B2 (ja) | 1998-12-18 | 2005-12-07 | 三菱重工業株式会社 | 真空処理システム |
JP2000195925A (ja) * | 1998-12-28 | 2000-07-14 | Anelva Corp | 基板処理装置 |
US6485250B2 (en) | 1998-12-30 | 2002-11-26 | Brooks Automation Inc. | Substrate transport apparatus with multiple arms on a common axis of rotation |
US6678572B1 (en) * | 1998-12-31 | 2004-01-13 | Asml Holdings, N.V. | Recipe cascading in a wafer processing system |
JP2000286319A (ja) * | 1999-03-31 | 2000-10-13 | Canon Inc | 基板搬送方法および半導体製造装置 |
US6118243A (en) * | 1999-04-07 | 2000-09-12 | Overhead Door Corporation | Door operator system |
US6736582B1 (en) * | 1999-04-09 | 2004-05-18 | Brooks Automation, Inc. | Device for manipulating an object for loading and unloading a clean room |
EP1187183A4 (en) * | 1999-04-16 | 2009-01-14 | Tokyo Electron Ltd | MANUFACTURE OF A SEMICONDUCTOR PART AND ASSOCIATED MANUFACTURING STRIP |
US6944584B1 (en) * | 1999-04-16 | 2005-09-13 | Brooks Automation, Inc. | System and method for control and simulation |
TW469483B (en) * | 1999-04-19 | 2001-12-21 | Applied Materials Inc | Method and apparatus for aligning a cassette |
US6763281B2 (en) * | 1999-04-19 | 2004-07-13 | Applied Materials, Inc | Apparatus for alignment of automated workpiece handling systems |
US6440261B1 (en) * | 1999-05-25 | 2002-08-27 | Applied Materials, Inc. | Dual buffer chamber cluster tool for semiconductor wafer processing |
US6227793B1 (en) * | 1999-05-25 | 2001-05-08 | Norfield Industries | Door transport system |
US6592673B2 (en) * | 1999-05-27 | 2003-07-15 | Applied Materials, Inc. | Apparatus and method for detecting a presence or position of a substrate |
US6166509A (en) * | 1999-07-07 | 2000-12-26 | Applied Materials, Inc. | Detection system for substrate clamp |
US6318945B1 (en) * | 1999-07-28 | 2001-11-20 | Brooks Automation, Inc. | Substrate processing apparatus with vertically stacked load lock and substrate transport robot |
US6242748B1 (en) * | 1999-08-10 | 2001-06-05 | Edax, Inc. | Methods and apparatus for mounting an X-ray detecting unit to an electron microscope |
KR100374478B1 (ko) * | 1999-09-20 | 2003-03-03 | 엘지전자 주식회사 | 나노 크기의 아나타제형 이산화티탄 광촉매의 제조방법 및 상기 방법으로 제조된 광촉매 |
JP3339840B2 (ja) | 1999-09-28 | 2002-10-28 | タツモ株式会社 | 水平多関節型産業用ロボット及びその制御方法 |
US6402401B1 (en) * | 1999-10-19 | 2002-06-11 | Tokyo Electron Limited | Substrate processing apparatus and substrate processing method |
US6309161B1 (en) * | 1999-11-04 | 2001-10-30 | Brooks Automation, Inc. | Load lock with vertically movable support |
US6949143B1 (en) | 1999-12-15 | 2005-09-27 | Applied Materials, Inc. | Dual substrate loadlock process equipment |
US6640151B1 (en) * | 1999-12-22 | 2003-10-28 | Applied Materials, Inc. | Multi-tool control system, method and medium |
JP3639764B2 (ja) | 2000-02-01 | 2005-04-20 | タツモ株式会社 | 基板搬送装置 |
US6506009B1 (en) | 2000-03-16 | 2003-01-14 | Applied Materials, Inc. | Apparatus for storing and moving a cassette |
US6497267B1 (en) * | 2000-04-07 | 2002-12-24 | Lutron Electronics Co., Inc. | Motorized window shade with ultraquiet motor drive and ESD protection |
US6641350B2 (en) * | 2000-04-17 | 2003-11-04 | Hitachi Kokusai Electric Inc. | Dual loading port semiconductor processing equipment |
TW511220B (en) | 2000-10-24 | 2002-11-21 | Ulvac Corp | Carrying device and vacuum processing apparatus using the same |
JP2002158272A (ja) | 2000-11-17 | 2002-05-31 | Tatsumo Kk | ダブルアーム基板搬送装置 |
JP2002166376A (ja) | 2000-11-30 | 2002-06-11 | Hirata Corp | 基板搬送用ロボット |
US6591160B2 (en) * | 2000-12-04 | 2003-07-08 | Asyst Technologies, Inc. | Self teaching robot |
US6494666B2 (en) * | 2001-01-26 | 2002-12-17 | Fortrend Engineering Corporation | Simplified and enhanced SCARA arm |
US6499936B2 (en) * | 2001-02-17 | 2002-12-31 | Yokogawa Electric Corporation | Transfer system |
US6601888B2 (en) * | 2001-03-19 | 2003-08-05 | Creo Inc. | Contactless handling of objects |
US20020159864A1 (en) * | 2001-04-30 | 2002-10-31 | Applied Materials, Inc. | Triple chamber load lock |
JP2002332570A (ja) | 2001-05-08 | 2002-11-22 | Anelva Corp | 基板処理装置 |
US6852194B2 (en) * | 2001-05-21 | 2005-02-08 | Tokyo Electron Limited | Processing apparatus, transferring apparatus and transferring method |
US6752585B2 (en) * | 2001-06-13 | 2004-06-22 | Applied Materials Inc | Method and apparatus for transferring a semiconductor substrate |
US7047099B2 (en) * | 2001-06-19 | 2006-05-16 | Applied Materials Inc. | Integrating tool, module, and fab level control |
US6918731B2 (en) * | 2001-07-02 | 2005-07-19 | Brooks Automation, Incorporated | Fast swap dual substrate transport for load lock |
US6758113B2 (en) * | 2001-07-10 | 2004-07-06 | Asm Assembly Automation Limited | High speed pick and place apparatus |
AU2002322504A1 (en) * | 2001-07-13 | 2003-01-29 | Broks Automation, Inc. | Trajectory planning and motion control strategies for a planar three-degree-of-freedom robotic arm |
US6950716B2 (en) * | 2001-08-13 | 2005-09-27 | Applied Materials, Inc. | Dynamic control of wafer processing paths in semiconductor manufacturing processes |
US6984198B2 (en) * | 2001-08-14 | 2006-01-10 | Applied Materials, Inc. | Experiment management system, method and medium |
JP2003077974A (ja) * | 2001-08-31 | 2003-03-14 | Hitachi Kokusai Electric Inc | 基板処理装置および半導体装置の製造方法 |
US6586336B2 (en) * | 2001-08-31 | 2003-07-01 | Oriol, Inc. | Chemical-mechanical-polishing station |
JP2003124284A (ja) * | 2001-10-11 | 2003-04-25 | Hitachi Kokusai Electric Inc | 基板処理装置および半導体装置の製造方法 |
JP2003142360A (ja) | 2001-11-02 | 2003-05-16 | Daikin Ind Ltd | 半導体装置の製造装置 |
US6719517B2 (en) * | 2001-12-04 | 2004-04-13 | Brooks Automation | Substrate processing apparatus with independently configurable integral load locks |
JP2003183728A (ja) * | 2001-12-14 | 2003-07-03 | Jh Corp | 真空熱処理装置 |
US6729824B2 (en) * | 2001-12-14 | 2004-05-04 | Applied Materials, Inc. | Dual robot processing system |
TWI258831B (en) * | 2001-12-31 | 2006-07-21 | Applied Materials Inc | Cassette and workpiece handler characterization tool |
US20030131458A1 (en) * | 2002-01-15 | 2003-07-17 | Applied Materials, Inc. | Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing |
US6761085B1 (en) * | 2002-02-06 | 2004-07-13 | Novellus Systems Incorporated | Method and apparatus for damping vibrations in a semiconductor wafer handling arm |
US7351291B2 (en) * | 2002-02-20 | 2008-04-01 | Tokyo Electron Limited | Semiconductor processing system |
US6779962B2 (en) * | 2002-03-22 | 2004-08-24 | Brooks Automation, Inc. | Device for handling flat panels in a vacuum |
JP4197103B2 (ja) * | 2002-04-15 | 2008-12-17 | 株式会社荏原製作所 | ポリッシング装置 |
US6900877B2 (en) * | 2002-06-12 | 2005-05-31 | Asm American, Inc. | Semiconductor wafer position shift measurement and correction |
KR100464853B1 (ko) * | 2002-06-20 | 2005-01-06 | 삼성전자주식회사 | 순간감압가열 건조방법 및 장치 |
AU2003259203A1 (en) * | 2002-07-22 | 2004-02-09 | Brooks Automation, Inc. | Substrate processing apparatus |
US7988398B2 (en) * | 2002-07-22 | 2011-08-02 | Brooks Automation, Inc. | Linear substrate transport apparatus |
US6869263B2 (en) | 2002-07-22 | 2005-03-22 | Brooks Automation, Inc. | Substrate loading and unloading station with buffer |
US6813543B2 (en) * | 2002-10-08 | 2004-11-02 | Brooks-Pri Automation, Inc. | Substrate handling system for aligning and orienting substrates during a transfer operation |
US6996456B2 (en) * | 2002-10-21 | 2006-02-07 | Fsi International, Inc. | Robot with tactile sensor device |
US7641247B2 (en) * | 2002-12-17 | 2010-01-05 | Applied Materials, Inc. | End effector assembly for supporting a substrate |
US7245989B2 (en) * | 2002-12-20 | 2007-07-17 | Brooks Automation, Inc. | Three-degree-of-freedom parallel robot arm |
US6760976B1 (en) * | 2003-01-15 | 2004-07-13 | Novellus Systems, Inc. | Method for active wafer centering using a single sensor |
US6983783B2 (en) * | 2003-06-10 | 2006-01-10 | Lutron Electronics Co., Inc. | Motorized shade control system |
US6934606B1 (en) * | 2003-06-20 | 2005-08-23 | Novellus Systems, Inc. | Automatic calibration of a wafer-handling robot |
CN101894779B (zh) * | 2003-08-29 | 2013-05-01 | 交叉自动控制公司 | 用于半导体处理的方法和装置 |
US20050095087A1 (en) * | 2003-10-30 | 2005-05-05 | Sullivan Robert P. | Automated material handling system |
WO2005048313A2 (en) * | 2003-11-10 | 2005-05-26 | Blueshift Technologies, Inc. | Methods and systems for handling workpieces in a vacuum-based semiconductor handling system |
US6987272B2 (en) | 2004-03-05 | 2006-01-17 | Axcelis Technologies, Inc. | Work piece transfer system for an ion beam implanter |
US20080206036A1 (en) * | 2007-02-27 | 2008-08-28 | Smith John M | Magnetic media processing tool with storage bays and multi-axis robot arms |
JP5456287B2 (ja) * | 2008-09-05 | 2014-03-26 | 東京エレクトロン株式会社 | 縦型熱処理装置 |
-
2004
- 2004-11-10 WO PCT/US2004/037672 patent/WO2005048313A2/en active Application Filing
- 2004-11-10 US US10/985,727 patent/US7210246B2/en active Active
- 2004-11-10 EP EP04818697.7A patent/EP1684951B1/en active Active
- 2004-11-10 SG SG200703351-7A patent/SG132670A1/en unknown
- 2004-11-10 US US10/985,839 patent/US7422406B2/en active Active
- 2004-11-10 US US10/985,844 patent/US20050113964A1/en not_active Abandoned
- 2004-11-10 JP JP2006539863A patent/JP5226215B2/ja active Active
- 2004-11-10 US US10/985,843 patent/US20050111956A1/en not_active Abandoned
- 2004-11-10 US US10/985,730 patent/US20050223837A1/en not_active Abandoned
- 2004-11-10 US US10/985,846 patent/US20050113976A1/en not_active Abandoned
- 2004-11-10 KR KR1020067011218A patent/KR20070008533A/ko not_active Application Discontinuation
-
2006
- 2006-05-09 IL IL175518A patent/IL175518A0/en unknown
- 2006-05-10 US US11/382,491 patent/US8439623B2/en active Active
-
2007
- 2007-08-28 US US11/846,290 patent/US7959403B2/en active Active
-
2008
- 2008-09-08 US US12/206,382 patent/US8029225B2/en active Active
-
2010
- 2010-12-28 JP JP2010293470A patent/JP5373760B2/ja active Active
-
2011
- 2011-06-13 US US13/158,883 patent/US8807905B2/en active Active
- 2011-09-29 US US13/248,600 patent/US8944738B2/en active Active
-
2015
- 2015-02-02 US US14/611,697 patent/US20150221534A1/en not_active Abandoned
Also Published As
Publication number | Publication date |
---|---|
IL175518A0 (en) | 2006-09-05 |
JP2007511104A (ja) | 2007-04-26 |
US20050113976A1 (en) | 2005-05-26 |
JP2011101035A (ja) | 2011-05-19 |
US20120148374A1 (en) | 2012-06-14 |
US8439623B2 (en) | 2013-05-14 |
US7422406B2 (en) | 2008-09-09 |
US20050118009A1 (en) | 2005-06-02 |
WO2005048313A3 (en) | 2006-03-02 |
US20090067958A1 (en) | 2009-03-12 |
EP1684951A4 (en) | 2011-05-25 |
WO2005048313A2 (en) | 2005-05-26 |
US20080085173A1 (en) | 2008-04-10 |
EP1684951A2 (en) | 2006-08-02 |
US20120014769A1 (en) | 2012-01-19 |
US7210246B2 (en) | 2007-05-01 |
SG132670A1 (en) | 2007-06-28 |
US7959403B2 (en) | 2011-06-14 |
US20060263177A1 (en) | 2006-11-23 |
US20050120578A1 (en) | 2005-06-09 |
JP5226215B2 (ja) | 2013-07-03 |
US20050223837A1 (en) | 2005-10-13 |
US20050113964A1 (en) | 2005-05-26 |
US20150221534A1 (en) | 2015-08-06 |
EP1684951B1 (en) | 2014-05-07 |
US8029225B2 (en) | 2011-10-04 |
KR20070008533A (ko) | 2007-01-17 |
US20050111956A1 (en) | 2005-05-26 |
US8807905B2 (en) | 2014-08-19 |
US8944738B2 (en) | 2015-02-03 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5373760B2 (ja) | 真空下の半導体処理システムにおいて加工中の製品を処理する方法及びシステム | |
US11352220B2 (en) | Semiconductor wafer handling and transport | |
US7988399B2 (en) | Mid-entry load lock for semiconductor handling system | |
US8500388B2 (en) | Semiconductor wafer handling and transport | |
US20070264106A1 (en) | Robotic components for semiconductor manufacturing | |
WO2007101228A2 (en) | Semiconductor wafer handling and transport |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20120614 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20120619 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20120919 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20120924 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20121113 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20121116 |
|
A524 | Written submission of copy of amendment under article 19 pct |
Free format text: JAPANESE INTERMEDIATE CODE: A524 Effective date: 20121219 |
|
A711 | Notification of change in applicant |
Free format text: JAPANESE INTERMEDIATE CODE: A711 Effective date: 20121219 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20130514 |
|
A072 | Dismissal of procedure [no reply to invitation to correct request for examination] |
Free format text: JAPANESE INTERMEDIATE CODE: A072 Effective date: 20130521 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20130813 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20130903 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20130919 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 5373760 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |