KR20210155813A - 포커스 링 조정 조립체를 갖는 플라즈마 처리 장치 - Google Patents

포커스 링 조정 조립체를 갖는 플라즈마 처리 장치 Download PDF

Info

Publication number
KR20210155813A
KR20210155813A KR1020217040749A KR20217040749A KR20210155813A KR 20210155813 A KR20210155813 A KR 20210155813A KR 1020217040749 A KR1020217040749 A KR 1020217040749A KR 20217040749 A KR20217040749 A KR 20217040749A KR 20210155813 A KR20210155813 A KR 20210155813A
Authority
KR
South Korea
Prior art keywords
workpiece
focus ring
chamber
process chamber
support
Prior art date
Application number
KR1020217040749A
Other languages
English (en)
Inventor
마틴 엘. 주커
피터 제이. 렘베시스
테드 테비스
리안 파쿨스키
샤우밍 마
마이클 엑스. 양
Original Assignee
매슨 테크놀로지 인크
베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 매슨 테크놀로지 인크, 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 filed Critical 매슨 테크놀로지 인크
Publication of KR20210155813A publication Critical patent/KR20210155813A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • B25J15/0014Gripping heads and other end effectors having fork, comb or plate shaped means for engaging the lower surface on a object to be transported
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/3288Maintenance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2007Holding mechanisms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices

Abstract

플라즈마 처리 장치가 제공된다. 상기 플라즈마 처리 장치는 수직방향 및 측방향을 형성하는 처리 챔버를 포함한다. 상기 플라즈마 처리 장치는 처리 챔버 내에 배치된 페디스털(pedestal)을 구비한다. 상기 페디스털은 기판을 지지하도록 구성된다. 상기 플라즈마 처리 장치는 처리 챔버 내에 배치된 무선 주파수(RF)를 구비한다. RF 바이어스 전극은 RF 바이어스 전극의 제1 단부와 측방향을 따라 RF 바이어스 전극의 제2 단부 사이에서 연장되는 RF 존을 형성한다. 상기 플라즈마 처리 장치는 처리 챔버 내에 배치된 포커스 링을 구비한다. 상기 플라즈마 처리 장치는 포커스 링 조정 조립체를 더 구비한다. 상기 포커스 링 조정 조립체는 RF 존의 외부에 위치된 리프트 핀을 구비한다. 상기 리프트 핀은 수직방향을 따라 이동가능하여 수직방향을 따라 페디스털과 포커스 링 사이의 거리를 조정한다.

Description

포커스 링 조정 조립체를 갖는 플라즈마 처리 장치
본 출원은 "진공 처리 장치 내의 교체가능한 부품의 운반을 위한 시스템 및 방법"이라는 명칭으로 2019년 5월 14일자로 출원된 미국 가출원 62/847,595호의 우선권을 주장하며, 이는 본원에 참조로 편입된다.
본 발명은 일반적으로 워크피스의 처리에 관한 것으로, 보다 상세하게는 진공 하에서 반도체 워크피스와 같은 워크피스를 처리하기 위한 시스템의 포커스 링 조정 조립체에 관한 것이다.
반도체 웨이퍼 또는 다른 적합한 기판과 같은 워크피스를 반도체 디바이스 또는 다른 디바이스를 형성하기 위한 전체 처리 계획에 노출시키는 처리 시스템은, 플라즈마 처리(예를 들어, 스트립, 에칭 등), 열처리(예를 들어, 어닐링), 증착(예를 들어, 화학 기상 증착) 등과 같은 복수의 처리 단계를 수행할 수 있다. 이러한 처리 단계를 수행하기 위해, 시스템은, 예를 들어 시스템 내로, 다양한 처리 챔버들 사이에서, 그리고 시스템 밖으로 다수의 상이한 시간에 워크피스를 이동시키기 위해 하나 이상의 로봇을 구비할 수 있다. 반도체 워크피스 처리에 있어서, 처리 시스템에 대한 루틴 유지 및/또는 예방적인 유지보수를 수행하는 것이 때때로 필요할 수 있다. 이는 특정 경우에, 처리 시스템 내의 특정 부품의 물리적 교체를 필요로 할 수 있다.
본 개시내용의 실시예의 관점 및 이점은 하기의 설명에 기재된 바와 같이 실시되거나, 또는 그 설명으로부터 알 수 있거나, 본 개시내용의 실시를 통해 학습될 수 있다.
본 개시내용의 일 예시적인 실시예는 플라즈마 처리 장치에 관한 것이다. 상기 장치는 수직방향 및 측방향을 형성하는 처리 챔버를 구비할 수 있다. 상기 플라즈마 처리 장치는 처리 챔버 내에 배치된 페디스털(pedestal)을 구비할 수 있다. 상기 페디스털은 기판을 지지하도록 구성될 수 있다. 상기 플라즈마 처리 장치는 페디스털 내에 배치된 무선 주파수(RF) 바이어스 전극을 구비할 수 있다. 상기 RF 바이어스 전극은 상기 RF 바이어스 전극의 제1 단부와 상기 RF 바이어스 전극의 제2 단부 사이에서 상기 측방향을 따라 연장될 수 있다. 상기 RF 바이어스 전극은 상기 RF 바이어스 전극의 제1 단부와 상기 RF 바이어스 전극의 제2 단부 사이에서 상기 측방향을 따라 연장되는 RF 존을 형성할 수 있다. 일부 구현예에서, 상기 RF 존은 상기 RF 바이어스 전극의 제1 단부로부터 상기 RF 바이어스 전극의 제2 단부로 측방향을 따라 연장될 수 있다. 상기 장치는 RF 존의 외부에 위치된 리프트 핀을 포함하는 포커스 링 조정 조립체를 구비할 수 있다. 상기 수직방향을 따라 상기 페디스털 및 상기 포커스 링 사이의 거리를 조정하기 위해 적어도 제1 위치와 제2 위치 사이에서 포커스 링을 이동시키도록 상기 수직방향을 따라 이동 가능할 수 있다.
다른 예시적인 관점은 워크피스를 처리하기 위한 시스템 및 방법에 관한 것이다. 본 개시내용의 예시적인 관점에 변형 및 수정이 이루어질 수 있다.
다양한 실시예들의 이들 및 다른 특징, 관점 및 이점은 다음의 설명 및 첨부된 청구범위를 참조하여 더 잘 이해될 것이다. 본 명세서의 일부를 구성하는 첨부 도면들은 본 개시의 실시예들을 예시하며, 설명과 함께 관련된 원리들을 설명하는 역할을 한다.
본 기술 분야에서 통상의 지식을 가진 자에게 관련된 실시예들의 상세한 논의는 첨부된 도면들을 참조하여 본 명세서에서 설명된다.
도 1은 본 개시내용의 예시적인 실시예들에 따른 예시적인 처리 시스템의 평면도를 도시한다.
도 2는 본 개시내용의 예시적인 실시예들에 따른 예시적인 처리 시스템의 평면도를 도시한다.
도 3은 본 개시내용의 예시적인 실시예들에 따른 예시적인 처리 시스템의 평면도를 도시한다.
도 4는 본 개시내용의 예시적인 실시예들에 따른 예시적인 반송 위치를 도시한다.
도 5는 본 개시내용의 예시적인 실시예들에 따른 예시적인 워크피스 칼럼을 도시한다.
도 6은 본 개시내용의 예시적인 실시예들에 따른 예시적인 로봇 아암 모션 패턴을 도시한다.
도 7은 본 개시내용의 예시적 실시예들에 따른 예시적인 방법의 예시적인 흐름도를 도시한다.
도 8은 본 개시내용의 예시적 실시예들에 따른 예시적인 방법의 예시적인 흐름도를 도시한다.
도 9는 본 개시내용의 예시적인 실시예들에 따른 예시적인 엔드 이펙터의 사시도를 도시한다.
도 10a는 본 개시내용의 예시적인 실시예들에 따른 예시적인 워크피스 및 포커스 링을 지지하기 위한 도 9의 엔드 이펙터 상의 지지 요소들의 제1 구성의 사시도를 도시한다.
도 10b는 본 개시내용의 예시적인 실시예들에 따른 도 10a에 도시된 엔드 이펙터 상의 지지 요소들의 측면도를 도시한다.
도 11a는 본 개시내용의 예시적인 실시예들에 따른 예시적인 워크피스 및 포커스 링을 지지하기 위한 도 9의 엔드 이펙터 상의 지지 요소들의 제2 구성의 사시도를 도시한다.
도 11b는 본 개시내용의 예시적인 실시예들에 따른 도 11a에 도시된 엔드 이펙터 상의 지지 요소들의 측면도를 도시한다.
도 12a는 본 개시내용의 예시적인 실시예들에 따른 예시적인 워크피스 및 포커스 링을 지지하기 위한 도 9의 엔드 이펙터 상의 지지 요소들의 제3 구성의 부분 사시도를 도시한다.
도 12b는 본 개시내용의 예시적인 실시예들에 따른 도 12a에 도시된 엔드 이펙터 상의 지지 요소들의 측면도를 도시한다.
도 13은 본 개시내용의 예시적인 실시예들에 따른 예시적인 처리 시스템의 포커스 링 조정 조립체의 사시도를 도시한다.
도 14a는 본 개시내용의 예시적인 실시예들에 따른 하강 위치에서 포커스 링을 갖는 도 13에 도시된 조정 조립체의 측단면도를 도시한다.
도 14b는 본 개시내용의 예시적인 실시예들에 따른 상승 위치에서 포커스 링을 갖는 도 13에 도시된 조정 조립체의 측단면도를 도시한다.
도 15a는 본 개시내용의 예시적인 실시예들에 따른 도 13에 도시된 조정 조립체와 함께 사용하기 위한 포커스 링의 제1 실시예의 단면도를 도시한다.
도 15b는 본 개시내용의 예시적인 실시예들에 따른 도 13에 도시된 조정 조립체와 함께 사용하기 위한 포커스 링의 제2 실시예의 단면도를 도시한다.
도 16은 본 개시내용의 예시적인 실시예들에 따른 도 14a-14b에 도시된 조정 조립체의 핀 지지 플레이트의 상면도를 도시한다.
도 17은 본 개시내용의 예시적인 실시예들에 따른 도 14a-14b에 도시된 조정 조립체를 위한 작동 시스템의 개략도를 도시한다.
도 18은 본 개시내용의 예시적인 실시예들에 따른 플라즈마 처리 장치를 도시한다.
도 19는 본 개시내용의 예시적인 실시예들에 따른 제1 위치에서 플라즈마 처리 장치의 포커스 링을 유지하는 포커스 링 조정 조립체를 도시한다.
도 20은 본 개시내용의 예시적인 실시예들에 따른 제2 위치에서 플라즈마 처리 장치의 포커스 링을 유지하는 포커스 링 조정 조립체를 도시한다.
이하, 실시예가 상세하게 참조로 이루어지며, 그 하나 이상의 예는 도면에 도시된다. 각 예는 본 개시내용의 한정이 아닌 실시예들의 설명을 통해 제공된다. 실제로, 본 개시내용의 범위 또는 사상으로부터 벗어나지 않고서 실시예에 각종 변경 및 수정이 이루어질 수 있음이 당업자에게 명백할 것이다. 예를 들어, 일 실시예의 일부로서 도시되거나 설명된 특징들은 또 다른 실시예를 이용하여 또 다른 실시예를 산출하기 위해 사용될 수 있다. 따라서, 본 개시내용의 관점들은 이러한 수정들 및 변형들을 커버하도록 의도된다.
본 개시내용의 예시적인 관점들은 반도체 워크피스 처리 장비 내의 교체가능한 부품들의 자동화된 교체를 위한 시스템들 및 방법들에 관한 것이다. 상기 시스템 및 방법은 진공 장치를 통해 교체가능한 부품을 조작하기 위한 것을 제공할 수 있다. 예시적인 교체가능한 부품들은 반도체 워크피스들을 위한 플라즈마 처리 챔버들(예를 들어, 플라즈마 건식 에칭 챔버들)에서 사용되는 포커스 링들을 구비할 수 있다.
워크피스 처리 시스템에서, 플라즈마 건식 에칭 챔버 내의 포커스 링과 같은 교체가능한 부품을 교체하기 위한 노동력의 물리적 작용을 수행하는 숙련된 기술자에 의해 예방적인 유지보수가 수행될 수 있다. 진공 처리 시스템에서, 이는 처리 챔버를 대시에 벤팅하고, 액세스를 위해 처리 챔버의 개방을 필요로 할 수 있다. 이는 반도체 디바이스 제조 공정들에서 값비싼 다운타임(downtime)을 초래할 수 있다. 또한, 처리 챔버가 환경에 개방될 때, 다른 처리 부품들의 잠재적인 오염은 증가된 리스크를 가지고, 다른 챔버 부분들이 제거 및/또는 교체될 필요가 있을 수 있다.
예를 들어, 반도체 처리 장비에 대한 유지보수를 수행하기 위한 프로세스는 워크피스 카운트, (예를 들어, 플라즈마 프로세싱 툴에 대한) 플라즈마 노출 시간 등과 같은 트리거 조건에 대한 모니터링을 포함한다. 트리거 조건의 발생 시, 진공 처리 챔버는 오프라인으로 취해질 수 있어, 워크피스 처리량을 감소시킬 수 있다. 서비스 기술자는 처리 챔버 컨디셔닝(예를 들어, 플라즈마 세정)을 실시하여 진공 처리 챔버를 안전 개방 상태로 둘 수 있다. 컨디셔닝 후, 기술자는 진공 처리 챔버를 배기할 수 있다. 기술자는 특정 챔버 부품(예를 들어, 포커스 링)의 내부 및 시작 제거에 액세스하기 위해 진공 처리 챔버를 개방할 수 있다. 임의의 제거되지 않은 부분의 세정 후에, 교체 부품이 처리 챔버에 추가될 수 있고, 진공 처리 챔버가 폐쇄되고 비워질 수 있다. 온라인으로 다시 한번, 일부 자격의 워크피스는 진공 처리 챔버를 통해 실행될 수 있다. 일단 진공 처리 챔버가 성공적인 결과를 생성하면, 처리 챔버는 반도체 장치 생산으로 다시 투입될 수 있다.
본 개시내용의 예시적인 관점들에 따르면, 워크피스 처리 장비는 전형적으로 워크피스 처리 장비에서 발견되는 로봇들을 통해 특정 프로세스 챔버 부품들을 자동적으로 교체하도록 구성될 수 있다. 보다 구체적으로, 사용되지 않은 교체가능한 부품들은 저장 영역에 로딩될 수 있고 진공 반송 로보틱스(vacuum transport robotics)에 액세스할 수 있다. 로보틱스(robotics)는 소모된(사용된) 챔버 부품을 제거하고 새로운(비소모된) 챔버 부품으로 대체하기 위해 워크피스 처리 모듈과 인터페이스할 수 있다. 그 후, 사용된 부품은 워크피스 처리 챔버를 붕괴시킬 필요 없이 제거될 수 있는 저장 영역으로 복귀될 수 있다.
일부 실시예들에서, 새로운(비소모된) 챔버 부품의 배치 후에, 로보틱스는 (예를 들어, 저장 영역 내의 선반 상의) 저장 영역에 저장된 테스트 워크피스(예를 들어, 더미 웨이퍼)에 액세스할 수 있다. 로보틱스는 워크피스를 처리 모듈에 반송하여 테스트 프로세스를 수행할 수 있다. 상기 시스템은 새로운 챔버 부품이 정확하게 배치되었는지를 확인하기 위해 측정을 수행할 수 있다. 부가적으로 및/또는 대안적으로, 다양한 센서(예를 들어, 광 센서)는 새로운 챔버 부품의 위치에 관한 측정을 수행하여 정확하게 위치되었는지를 확인한다. 일부 실시예들에서, 자동화된 웨이퍼 센터링 시스템은 적절한 배치를 보장하기 위해 처리 모듈 내에 새로운(비소모된) 부품을 배치할 때에 로보틱스의 모션을 조정하는데 사용될 수 있다.
일부 실시예들에서, 본 개시내용의 예시적 관점들에 따른 시스템들 및 방법들은 플라즈마 처리 챔버들에서 사용되는 포커스 링들을 대체하기 위해 사용될 수 있다. 포커스 링은 플라즈마 처리 장치 내의 (예를 들어, 캐소드 또는 바이어스 전극을 갖는) 워크피스 지지부 상에 지지된 워크피스의 주변부 주위에 위치될 수 있다. 포커스 링은, 예를 들어 워크피스의 근방에서 플라즈마를 형성하기 위해 사용될 수 있다. 플라즈마 처리 챔버에서 플라즈마 처리 동안, 포커스 링은 플라즈마에 노출될 수 있고, 이로써 증착 및 침식에 노출된다. 결과적으로, 포커스 링들은 워크피스 처리 시스템에 대한 예방적인 유지보수의 일부로서 플라즈마 처리 챔버들에서 주기적으로 교체될 필요가 있을 수 있다.
본 개시내용의 관점들은 교체가능한 부품으로서의 포커스 링을 참조하여 논의된다. 본 명세서에 제공된 개시내용을 이용하는 당업자는 본 개시내용의 관점들이 본 개시내용의 범위를 벗어나지 않고서 진공 처리 챔버 내의 다른 교체가능한 부품들을 교체하기 위해 적용될 수 있다는 것을 이해할 것이다.
일부 실시예에서, 상기 시스템은 워크피스 카운트, 플라즈마 노출 시간 등과 같은 트리거 조건을 모니터링할 수 있다. 트리거 조건의 발생 시, 인-시츄(in-situ) 플라즈마 건식 세정 프로세스는 진공 처리 챔버를 준비하도록 실시될 수 있다. 인-시츄 플라즈마 건식 세정 공정이 완료되면, 진공 처리 챔버 외부이지만 챔버 내에 결합된 리프트 메커니즘(lift mechanism)은 진공 처리 챔버 내의 워크피스 지지부 주위에 놓이는 포커스 링을 들어올리기 위해 한 세트의 핀들을 사용할 수 있다. 포커스 링을 들어올린 후에, 워크피스 핸들링 로봇은 챔버 내로 진입할 수 있고 수직 모션 내의 핀으로부터 링을 들어올릴 수 있다. 로봇은 저장 위치에 있는 선반에 사용된 포커스 링을 배치하도록 후퇴 및 회전할 수 있다. 일부 실시예들에서, 워크피스 핸들링 로봇은 저장 위치로 배치하기 위해 포커스 링을 제2 로봇으로 핸드오프(hand off)할 수 있다.
그 다음, 로봇은 저장 위치의 다른 선반으로 이동하여 새로운 포커스 링을 검색할 수 있다. 진공 처리 모듈에 대한 회전 후에, 로봇은 요구된 위치 및 드롭 다운(drop down)으로 연장하여 리프트 핀 상에 포커스 링을 위치시킬 수 있다. 로봇이 진공 처리 모듈로부터 후퇴한 후에, 시스템은 리프팅 핀을 낮추어 링을 (예를 들어, 캐소드를 구비하는) 워크피스 지지부 주위의 최종 위치로 떨어뜨릴 수 있다. 컨디셔닝 플라즈마는 워크피스 처리 챔버 내의 프로세스 성능을 안정화시키기 위해 사용될 수 있고, 진공 처리 챔버는 정상 동작을 위해 온라인으로 다시 될 수 있다. (예를 들어, 저장 위치로부터 획득된) 테스트 워크피스는 처리 모듈을 정상 동작을 위해 온라인으로 되돌리기 전에 테스트 프로세스를 이용하여 처리 모듈을 테스트하는데 사용될 수 있다.
본 개시내용의 예시적인 관점들은 또한 특정 로봇 아암 모션 패턴에 따라 나란한 처리 스테이션들 중 하나 이상에 액세스할 수 있는 워크피스 핸들링 로봇들을 구비한다. 구체적으로, 상기 로봇 아암 모션 패턴은 워크피스 핸들링 로봇의 엔드 이펙터가 나란한 처리 스테이션들을 갖는 프로세스 챔버에 진입하고, 그 후 처리 스테이션들 중 하나에 액세스하여 워크피스들 또는 교체가능한 부품들을 반송할 수 있게 한다. 상기 로봇 아암 모션 패턴은 제1 시간 주기 동안 제1 방향에 따라 엔드 이펙터를 이동시키고, 제2 시간 주기 동안 제1 방향에 대체로 수평인 제2 방향에 따라 엔드 이펙터를 이동시키고, 제3 시간 주기 동안 제1 또는 제2 방향과 상이한 제3 방향에 따라 엔드 이펙터를 이동시키는 것을 구비할 수 있다. 상기 엔드 이펙터는 처리 스테이션에 액세스하기 위해 로봇 아암 모션 패턴에 따라 이동될 수 있고, 또한 동일한 모션에 따라 처리 스테이션으로부터 후퇴될 수 있다. 일부 실시예에서, 로봇 모션은 처리 스테이션 내의 교체가능한 부품의 적절한 배치를 보장하기 위해, 예를 들어 자동화된 웨이퍼 센터링 시스템(예를 들어, 광학 센서)의 일부로서 센서를 사용하여 실시간으로 자동적으로 제어 및/또는 조정될 수 있다.
본 개시내용의 예시적인 관점들에 따르면, 워크피스 처리 시스템의 일부로서 워크피스들을 반송하기 위해 사용되는 로보틱스는 본 개시내용의 예시적인 실시예들에 따라 교체가능한 부품들(예를 들어, 포커스 링들)을 반송하도록 구성될 수 있다. 예를 들어, 로봇 엔드 이펙터는 반도체 워크피스들 및 교체가능한 부품들을 지지하기 위해 워크피스 지지 패드들 및 교체가능한 부품 지지 패드들을 수용하도록 스패튤러 설계(spatula design)를 가질 수 있다. 상기 엔드 이펙터는 워크피스 및 교체가능한 부품 모두를 지지하도록 구성된 적어도 하나의 공통 지지 패드를 구비할 수 있다. 또한, 상기 엔드 이펙터는 교체가능한 부품을 지지하기 위한 적어도 하나의 다른 지지 패드보다 이펙터의 축으로부터 그리고 이펙터의 원위 단부로부터 더 멀리 위치된 워크피스를 지지하기 위한 적어도 하나의 지지 패드를 구비할 수 있다.
본 개시내용의 예시적인 관점들은 또한 제거 및/또는 설치를 위해 프로세스 챔버 내에서 포커스 링의 위치를 조정하기 위한 포커스 링 조정 조립체를 구비한다. 구체적으로, 상기 조정 조립체는, 예를 들어 하나 이상의 상이한 수직 위치로 포커스 링을 상승하도록 구성된 핀을 구비하여, 상기 포커스 링이 엔드 이펙터에 의해 상기 포커스 챔버로부터 보다 용이하게 제거될 수 있도록 하고, 상기 포커스 링을 워크피스 지지체 주위에 설치하기 위해 새로운 포커스 링을 더 낮출 수 있다. 상기 핀들은 포커스 링의 하측부의 수직으로 가장 높은 부분과 접촉하도록 구성될 수 있다. 부가적으로, 일부 실시예들에서, 상기 핀들은 워크피스 지지부에 대한 포커스 링의 적절한 센터링(centering)을 보조하기 위해 포커스 링의 방위각 위치를 로킹하도록 회전 가능할 수 있다.
상기 포커스 링 조정 조립체는 플라즈마 처리 장치의 포커스 링의 이동을 용이하게 하도록 수직방향을 따라 이동가능한 리프트 핀을 구비하여, 포커스 링과 처리될 기판을 지지하도록 구성된 페디스털 사이의 거리를 조정한다. 특히, 상기 리프트 핀은 페디스털 내에 위치된 바이어스 전극에 의해 정의되는 RF 존의 외부에 위치될 수 있다. 상기 리프트 핀은 또한 페디스털 내에 위치된 접지면(ground plane)을 관통할 수 있다.
본 개시내용의 관점들은 다수의 기술적 효과들 및 이점들을 제공할 수 있다. 예를 들어, 본 명세서에 제공된 로봇 아암 모션 패턴은 2개의 처리 스테이션들과 같은 다수의 처리 스테이션들을 갖는 프로세스 챔버들에서 교체가능한 부품들에 대한 액세스를 용이하게 할 수 있다. 또한, 본 명세서에 제공된 저장 챔버는 사용가능한 교체가능한 부품의 저장을 가능하게 하고, 시스템의 전체 진공을 파괴하지 않고서 프로세스 챔버에 대한 새로운 교체가능한 부품의 검색을 가능하게 한다. 일부 실시예에서, 테스트 워크피스는 배치 후 교체가능한 부품의 테스트에 사용되는 저장 챔버에 포함될 수 있다. 상기 핀들과 상기 포커스 링 사이의 접촉은 포커스 링이 정전 척 또는 다른 워크피스 지지부에 정확하게 동심인 것을 보장하기 위해 포커스 링이 상승 및 하강됨에 따라 포커스 링의 측방향 이동을 방지할 수 있다. 본 명세서에 제공된 엔드 이펙터 지지 요소는 전체 부품의 개수를 감소시킬 수 있으며, 이는 비용을 감소시키고 엔드 이펙터를 이동시키기 위한 제어 패턴을 단순화한다. 또한, 상기 엔드 이펙터 상의 지지 패드의 공간 구성은 교체가능한 부품을 공정 챔버 내외로 이동시키기 위한 공정 챔버의 기존 개구를 이용할 수 있다. 상기 RF 존의 외부에 리프트 핀을 위치시키고 리프트 핀을 갖는 리프트 핀을 구비하는 것은 플라즈마 프로세스 동안 RF 소스로부터 바이어스 전극으로 RF 전력(예를 들어, 바이어스 전력)을 인가하는 것과 연관된 아크 위험을 감소시킬 수 있다. 또한, 리프트 핀과 포커스 링 사이의 (예를 들어, 전기적 및 기계적인) 간섭이 감소될 수 있다.
본 개시내용의 일 예시적인 실시예는 워크피스들을 처리하기 위한 시스템에 관한 것이다. 상기 시스템은 대기압에서 유지되도록 구성된 전방 단부를 구비한다. 상기 시스템은 전방 단부와 진공 부분 사이에 배치된 로드록 챔버를 구비한다. 상기 시스템은 진공 부분 내에 배치된 하나 이상의 프로세스 챔버를 구비한다. 각각의 프로세스 챔버는 2개 이상의 처리 스테이션들을 구비할 수 있다. 적어도 하나의 반송 챔버는 진공 부분에 배치될 수 있다. 상기 시스템은 적어도 하나의 반송 챔버에 결합된 하나 이상의 교체가능한 부품들을 저장하도록 구성된 저장 챔버를 구비할 수 있다. 상기 시스템은 적어도 하나의 반송 챔버 내에 배치된 하나 이상의 워크피스 핸들링 로봇을 구비할 수 있다. 상기 워크피스 핸들링 로봇은 저장 챔버와 하나 이상의 프로세스 챔버들 사이에서 하나 이상의 교체가능한 부품들을 이동시키도록 구성될 수 있다. 상기 워크피스 핸들링 로봇은 교체가능한 부품을 지지하도록 구성된 엔드 이펙터를 구비할 수 있다. 상기 시스템은 처리 스테이션에 액세스하기 위해 로봇 아암 모션 패턴에 따라 엔드 이펙터의 모션을 제어하도록 구성된 제어기를 포함할 수 있다. 상기 로봇 아암 모션 패턴은 제1 시간 주기 동안 제1 방향으로 연장되고, 제2 시간 주기 동안 제1 방향에 측방향인 제2 방향으로 연장되고, 제3 시간 주기 동안 제1 방향 및 제2 방향과 상이한 제3 방향으로 연장되는 것을 구비한다. 일부 실시예들에서, 상기 로봇 아암 모션 패턴은 동일한 패턴에 따라 엔드 이펙터를 다시 후퇴시키는 것을 구비한다.
본 명세서에서 사용되는 바와 같이, 제어기는 하나 이상의 프로세서와 같은 하나 이상의 제어 장치를 구비할 수 있다. 상기 하나 이상의 프로세서들은 본원에 설명된 기능들, 동작들, 또는 방법들 중 임의의 것에 따라 다양한 구성요소들의 동작을 제어하기 위해 제어 신호들을 송신하기 위해 하나 이상의 메모리 디바이스들에 저장된 컴퓨터-판독가능 명령들을 실행하도록 구성될 수 있다.
일부 실시예들에서, 상기 하나 이상의 프로세스 챔버들은 반송 챔버의 대향 측면 상에 배치된 제1 프로세스 챔버 및 제2 프로세스 챔버를 구비한다. 일부 실시예들에서, 상기 하나 이상의 프로세스 챔버들은 반송 챔버의 대향 측면 상에 배치된 제1 프로세스 챔버 및 제2 프로세스 챔버를 구비한다. 상기 하나 이상의 프로세스 챔버는 제1 프로세스 챔버와 선형 배열로 배치된 제3 프로세스 챔버 및 제2 프로세스 챔버와 선형 배열로 배치된 제4 프로세스 챔버를 더 포함하여, 제3 프로세스 챔버 및 제4 프로세스 챔버가 반송 챔버의 대향 측면 상에 배치된다. 상기 제1 프로세스 챔버, 제2 프로세스 챔버, 제3 프로세스 챔버 및 제4 프로세스 챔버 각각은 적어도 2개의 처리 스테이션을 구비할 수 있다. 상기 반송 챔버는 워크피스 및 교체가능한 부품을 적층 배열로 지지하도록 구성된 반송 위치를 구비할 수 있다. 일부 실시예들에서, 상기 적층 배열은 워크피스들 및 교체가능한 부품들 모두를 수용하도록 구성된 복수의 선반들을 구비한다. 상기 교체가능한 부품은 워크피스보다 더 큰 직경을 가질 수 있다.
일부 실시예들에서, 상기 하나 이상의 워크피스 핸들링 로봇들은 제1 워크피스 핸들링 로봇 및 제2 핸들링 로봇을 구비할 수 있다. 상기 제1 워크피스 핸들링 로봇은 상기 워크피스 칼럼, 상기 제1 프로세스 챔버, 상기 제2 프로세스 챔버 및 상기 반송 위치로부터 워크피스 및 교체가능한 부품을 반송하도록 구성될 수 있고, 상기 제2 워크피스 핸들링 로봇은 상기 저장 챔버, 상기 제3 프로세스 챔버, 상기 제4 프로세스 챔버, 및 진공을 파괴하지 않고서 워크피스의 자동화된 처리 및 교체가능한 부품의 자동화된 교체를 위한 상기 반송 위치로부터 워크피스 및 교체가능한 부품을 반송하도록 구성될 수 있다.
일부 실시예들에서, 상기 프로세스 챔버들은 다이렉트 플라즈마를 사용하여 플라즈마 에칭 프로세스들을 수행하도록 구성된다. 상기 2개 이상의 처리 스테이션은 나란히 배열된다. 상기 2개 이상의 처리 스테이션들은 프로세스 챔버 내의 처리 동안 워크피스를 지지하기 위한 워크피스 지지부와 연관될 수 있다. 상기 워크피스 지지부는 베이스플레이트, 워크피스를 지지하도록 구성된 정전 척 및 상기 정전 척에 대해 배치된 포커스 링을 구비하는 교체가능한 부품을 포함하는 페디스털 조립체를 구비하여 상기 워크피스가 상기 정전 척 상에 위치될 때 상기 포커스 링의 적어도 일부가 상기 워크피스의 주변부를 적어도 부분적으로 둘러싼다.
일부 실시예에서, 상기 교체가능한 부품은 상기 워크피스보다 큰 직경을 갖는 포커스 링을 구비한다.
일부 실시예에서, 상기 저장 챔버는 사용된 교체가능한 부품 및 새로운 교체가능한 부품 모두를 수용하도록 구성된 복수의 선반을 구비한다.
일부 실시예에서, 상기 복수의 선반은 엘리베이터에 결합되어 상기 엘리베이터가 상기 저장 챔버 내에서 교체가능한 부품을 상하로 이동시키도록 구성된다.
일부 실시예에서, 상기 저장 챔버는 상기 워크피스 로봇이 상기 저장 챔버 내의 교체가능한 부품에 액세스할 수 있게 하도록 구성된 하나 이상의 액세스 도어 및 대기 주변 환경으로부터 새로운 또는 사용된 교체가능한 부품의 교체를 허용하도록 구성된 하나 이상의 액세스 도어를 구비하는 진공가능한 저장 챔버이다.
일부 실시예에서, 상기 워크피스 핸들링 로봇은 씨저 모션(scissor motion)을 이용하여 상기 저장 챔버로부터 상기 프로세스 챔버 내의 적어도 2개의 처리 스테이션으로 하나 이상의 교체가능한 부품을 반송하도록 구성된다.
본 개시내용의 다른 예시적인 실시예는 워크피스를 처리하기 위한 시스템에 관한 것이다. 상기 시스템은 대기압에서 유지되도록 구성된 전방 단부; 상기 전방 단부와 진공 부분 사이에 배치되며, 워크피스를 저장하기 위한 워크피스 칼럼을 구비하는 로드록 챔버; 상기 진공 부분 내에 배치되고, 제1 워크피스 핸들링 로봇, 제2 워크피스 핸들링 로봇, 및 워크피스들 및 포커스 링들을 적층 배열로 지지하도록 구성된 반송 위치를 갖는 반송 챔버; 제1 프로세스 챔버, 제2 프로세스 챔버, 제3 프로세스 챔버 및 제4 프로세스 챔버를 구비하며, 상기 제1 프로세스 챔버 및 상기 제2 프로세스 챔버는 상기 진공 부분 내의 반송 챔버의 대향 측면 상에 배치되고, 상기 제3 프로세스 챔버 및 또 다른 프로세스 챔버는 상기 반송 챔버의 대향 측면 상에 배치되고, 상기 제3 프로세스 챔버는 상기 제1 프로세스 챔버와 선형 배열에 있고, 상기 제4 프로세스 챔버는 상기 제2 프로세스 챔버와 선형 배열에 있고, 상기 제1 프로세스 챔버, 제2 프로세스 챔버, 제3 프로세스 챔버 및 제4 프로세스 챔버 각각은 나란한 배열로 2개 이상의 처리 스테이션들을 포함한다. 상기 시스템은 상기 반송 챔버에 결합된 하나 이상의 포커스 링들을 저장하도록 구성된 저장 챔버를 구비할 수 있다. 상기 제1 워크피스 핸들링 로봇 및 상기 제2 워크피스 핸들링 로봇은 각각 포커스 링을 지지하도록 구성된 엔드 이펙터를 구비한다. 상기 시스템은 처리 스테이션에 액세스하기 위해 로봇 아암 모션 패턴에 따라 엔드 이펙터의 모션을 제어하도록 구성된 제어기를 구비한다. 상기 로봇 아암 모션 패턴은 제1 시간 주기 동안 제1 방향으로 연장되고, 제2 시간 주기 동안 제1 방향에 측방향인 제2 방향으로 연장되고, 제3 시간 주기 동안 제1 방향 및 제2 방향과 상이한 제3 방향으로 연장되고, 동일한 로봇 아암 모션 패턴에 따라 다시 후퇴하는 것을 구비한다. 상기 제1 워크피스 핸들링 로봇은 워크피스 칼럼, 제1 프로세스 챔버, 제2 프로세스 챔버, 및 반송 위치 사이에서 워크피스들 및 하나 이상의 포커스 링들을 반송하도록 구성될 수 있고, 상기 제2 워크피스 핸들링 로봇은 저장 챔버, 제3 프로세스 챔버, 제4 프로세스 챔버, 및 진공을 파괴하지 않고서 워크피스들의 자동화된 처리 및 포커스 링의 자동화된 교체를 위한 반송 위치 사이에서 워크피스들 및 하나 이상의 포커스 링을 반송하도록 구성될 수 있다.
본 개시내용의 다른 예시적인 실시예는 워크피스들을 처리하기 위한 시스템에서 교체가능한 부품들을 교체하기 위한 방법에 관한 것이며, 상기 시스템은 하나 이상의 프로세스 챔버들을 갖는 반송 챔버를 구비하며, 상기 하나 이상의 프로세스 챔버들 각각은 나란한(side-by-side) 배열로 2개 이상의 처리 스테이션, 상기 반송 챔버 상에 배치된 저장 챔버, 및 엔드 이펙터가 구성된 아암을 갖는 상기 반송 챔버 내에 배치된 하나 이상의 워크피스 핸들링 로봇을 구비한다. 상기 방법은, 워크피스 핸들링 로봇으로 프로세스 챔버 내의 처리 스테이션으로부터 사용된 교체가능한 부품을 제거하는 단계로서, 제1 시간 주기 동안 제1 방향으로 엔드 이펙터를 연장되고, 제2 시간 주기 동안 제1 방향에 측방향인 제2 방향으로 연장되고, 제3 시간 주기 동안 제1 방향 및 제2 방향과 상이한 제3 방향으로 연장되고, 사용된 교체가능한 부품을 픽업하여 동일한 패턴에 따라 다시 후퇴시키는 것을 구비하는, 상기 제거하는 단계; 상기 교체가능한 부품을 저장 챔버로 반송하는 단계; 상기 워크피스 핸들링 로봇으로 상기 저장 챔버로부터 새로운 교체가능한 부품을 제거하는 단계; 및 새로운 교체가능한 부품을 상기 처리 스테이션으로 반송하는 단계를 구비할 수 있다.
일부 실시예에서, 새로운 교체가능한 부품을 처리 스테이션에 반송하는 단계는 처리 스테이션 내에 교체가능한 부품을 배치하기 위해 로봇 아암 모션 패턴을 이용하는 단계를 구비한다.
일부 실시예에서, 교체가능한 부품을 저장 챔버로 반송하는 단계는, 사용된 교체가능한 부품을 반송 챔버 내의 반송 위치에서 적층 배열로 반송하도록 제1 워크피스 핸들링 로봇을 이용하는 단계와, 사용된 교체가능한 부품을 반송 위치 내의 적층 배열로부터 저장 챔버로 반송하도록 제2 워크피스 핸들링 로봇을 이용하는 단계를 구비한다.
일부 실시예에서, 워크피스 핸들링 로봇으로 저장 챔버로부터 새로운 교체가능한 부품을 제거하는 단계는, 새로운 교체가능한 부품을 저장 챔버로부터 반송 챔버 내의 적층 배열로 반송하도록 제2 워크피스 핸들링 로봇을 이용하는 단계와, 새로운 교체가능한 부품을 반송 위치 내의 적층 배열로부터 처리 스테이션으로 반송하도록 제1 워크피스 핸들링 로봇을 이용하는 단계를 구비한다.
일부 실시예에서, 상기 적층 배열은 워크피스보다 더 큰 직경을 갖는 하나 이상의 교체가능한 부품을 지지하도록 구성된 복수의 선반을 구비한다.
다른 예시적인 실시예는 워크피스들을 처리하기 위한 시스템 내에서 워크피스들 및 교체가능한 부품들을 이동시키기 위한 엔드 이펙터에 관한 것으로서, 상기 엔드 이펙터는 축 방향을 따라 근위 단부와 원위 단부 사이에서 연장된다. 상기 엔드 이펙터는 상기 축 방향을 따라 제1 아암 단부와 제2 아암 단부 사이에서 연장되는 아암 부분을 가지며, 상기 제1 아암 단부는 상기 엔드 이펙터의 근위 단부에 있다. 상기 엔드 이펙터는 또한 상기 축 방향을 따라 제1 스패튤러 단부와 제2 스패튤러 단부 사이에서 연장되는 스패튤러 부분(spatula portion)을 가지며, 상기 제1 스패튤러 단부는 상기 제2 아암 단부에 인접하고, 상기 제2 스패튤러 단부는 상기 엔드 이펙터의 원위 단부에 있다. 추가적으로, 상기 엔드 이펙터는 상기 스패튤러 부분의 상부면으로부터 외측으로 연장되는 제1 지지 부재, 상기 스패튤러 부분의 상부면으로부터 외측으로 연장되는 제2 지지 부재, 및 상기 아암 부분의 상부면으로부터 외측으로 연장되는 공유 지지 부재(shared support member)를 갖는다. 상기 공유 지지 부재 및 상기 제1 지지 부재는 함께 제1 직경의 워크피스를 지지하도록 함께 구성되고, 상기 공유 지지 부재 및 상기 제2 지지 부재는 제2 직경의 교체가능한 부품을 지지하도록 함께 구성된다.
일부 실시예에서, 상기 제1 직경은 상기 제2 직경보다 작을 수 있다. 또한, 하나 이상의 실시예에서, 상기 제2 지지 부재는 상기 제1 지지 부재보다 근위 단부에 더 가까울 수 있다.
또한, 일부 실시예에서, 상기 제1 및 제2 지지 부재는, 상기 제1 지지 부재 상에 지지된 워크피스에 대한 상기 공유 지지 부재 상의 제1 접촉 영역이 상기 제2 지지 부재 상에 지지된 교체가능한 부품에 대한 상기 공유 지지 부재 상의 제2 접촉 영역과 분리되도록 종방향을 따라 이격된다. 일부 실시예에서, 상기 제2 접촉 영역은 상기 제1 접촉 영역보다 근위 단부에 더 가까울 수 있다.
일부 실시예에서, 상기 제1 및 제2 지지 부재는, 상기 제1 지지 부재 상에 지지된 워크피스에 대한 상기 공유 지지 부재 상의 제1 접촉 영역 및 상기 제2 지지 부재 상에 지지된 교체가능한 부품에 대한 상기 공유 지지 부재 상의 제2 접촉 영역이 적어도 부분적으로 중첩하도록 종방향을 따라 이격될 수 있다.
본 개시내용의 다른 예시적인 실시예는 워크피스들을 처리하기 위한 시스템 내에서 워크피스들 및 교체가능한 부품들을 이동시키기 위한 엔드 이펙터에 관한 것이며, 상기 엔드 이펙터는 축 방향을 따라 근위 단부와 원위 단부 사이에서 연장된다. 상기 엔드 이펙터는 축 방향을 따라 제1 아암 단부와 제2 아암 단부 사이에서 연장되는 아암 부분을 구비하며, 상기 제1 아암 단부는 엔드 이펙터의 근위 단부에 있다. 상기 엔드 이펙터는 축 방향을 따라 제1 스패튤러 단부와 제2 스패튤러 단부 사이에서 연장되는 스패튤러 부분(spatula portion)을 더 구비하며, 상기 제1 스패튤러 단부는 상기 제2 아암 단부에 인접하고, 상기 제2 스패튤러 단부는 상기 엔드 이펙터의 원위 단부에 있다. 또한, 상기 엔드 이펙터는 상기 스패튤러 부분의 상부면으로부터 외측으로 연장되는 제1 지지 부재를 구비하며, 상기 제1 지지 부재는 제1 방향을 따라 엔드 이펙터의 종축으로부터 제1 거리로 위치된다. 추가적으로, 상기 엔드 이펙터는 상기 스패튤러 부분의 상부면으로부터 외측으로 연장되는 제2 지지 부재를 구비하며, 상기 제2 지지 부재는 제1 방향을 따라 엔드 이펙터의 종축으로부터 제2 거리로 위치된다. 상기 제1 거리는 상기 제2 거리보다 크다. 일부 실시예에서, 상기 제2 지지 부재는 상기 제1 지지 부재보다 상기 근위 단부에 더 가까울 수 있다.
일부 실시예들은 상기 아암 부분의 상부면으로부터 외측으로 연장되는 추가적인 제1 지지 부재 및 추가적인 제2 지지 부재를 구비할 수 있고, 상기 제1 지지 부재 및 상기 추가적인 제1 지지 부재는 제1 직경의 워크피스들을 지지하도록 구성되고, 상기 제2 지지 부재 및 상기 추가적인 제2 지지 부재는 제2 직경의 교체가능한 부품들을 지지하도록 구성된다.
일부 실시예에서, 상기 추가적인 제2 지지 부재는 상기 제1 지지 부재보다 원위 단부에 더 가까울 수 있다.
일부 실시예에서, 공유 지지 부재는 아암 부분의 상부면으로부터 외측으로 연장되며, 상기 공유 지지 부재 및 상기 제1 지지 부재는 제1 직경의 워크피스들을 지지하도록 함께 구성될 수 있고, 상기 공유 지지 부재 및 상기 제2 지지 부재는 제2 직경의 교체가능한 부품들을 지지하도록 함께 구성될 수 있다.
또한, 일부 실시예에서, 상기 제1 및 제2 지지 부재는, 상기 제1 지지 부재 상에 지지된 워크피스에 대한 상기 공유 지지 부재 상의 제1 접촉 영역 및 상기 제2 지지 부재 상에 지지된 교체가능한 부품에 대한 상기 공유 지지 부재 상의 제2 접촉 영역이 적어도 부분적으로 중첩하도록 길이 방향을 따라 이격될 수 있다.
또한, 일부 실시예에서, 상기 제2 접촉 영역은 상기 제1 접촉 영역보다 근위 단부에 더 가까울 수 있다.
또한, 일부 실시예에서, 상기 제1 및 제2 지지 부재는, 상기 제1 지지 부재 상에 지지된 워크피스에 대한 공유 지지 부재 상의 제1 접촉 영역 및 상기 제2 지지 부재 상에 지지된 교체가능한 부품에 대한 공유 지지 부재 상의 제2 접촉 영역이 적어도 부분적으로 중첩되도록 종방향을 따라 이격될 수 있다.
본 개시내용의 다른 예시적인 실시예는 진공 하에서 워크피스들을 처리하기 위한 시스템의 포커스 링 조정 조립체에 관한 것이며, 상기 포커스 링은 상측부와 하측부 사이에서 수직방향을 따라 연장되고, 상기 하측부는 제1 표면 부분 및 제2 표면 부분을 가지며, 상기 제1 표면 부분은 상기 제2 표면 부분 위에 수직으로 있다. 상기 포커스 링 조정 조립체는 근위 단부와 원위 단부 사이에서 연장되는 핀d을 구비하며, 상기 원위 단부는 상기 포커스 링의 제1 표면 부분과 선택적으로 접촉하도록 구성된다. 상기 포커스 링 조정 조립체는 연장 위치와 후퇴 위치 사이에서 상기 수직방향을 따라 상기 핀을 이동시키도록 작동가능한 액추에이터를 더 구비한다. 상기 핀의 연장 위치는 상기 포커스 링의 제1 표면과 접촉하는 상기 핀의 원위 단부와 연관되고, 상기 포커스 링은 진공 프로세스 챔버로부터 워크피스 핸들링 로봇에 의한 제거를 위해 액세스가능하다.
일부 실시예에서, 상기 핀이 후퇴 위치에 있을 때에 대해 상기 핀이 상기 연장 위치에 있을 때 상기 수직방향을 따라 수직으로 더 높게 위치될 수 있다.
일부 실시예들은 핀을 선택적으로 회전시키도록 구성된 회전 액추에이터를 구비할 수 있고, 사전 정의된 로킹 각도를 통한 상기 핀의 회전은 상기 포커스 링을 상기 핀에 고정시킨다.
일부 실시예에서, 상기 핀은 본체부 및 플랜지부를 가지며, 상기 본체부는 상기 근위 단부와 상기 원위 단부 사이에서 연장되고, 상기 플랜지부는 상기 핀의 원위 단부로부터 이격되고 상기 본체부로부터 외측으로 연장된다. 상기 플랜지부는, 상기 핀의 원위 단부가 상기 포커스 링의 제1 표면 부분과 접촉할 때, 상기 포커스 링의 제1 표면 부분과 제2 표면 부분 사이에 수직으로 위치된 전이 표면 부분과 접촉하도록 구성될 수 있다.
일부 실시예들은 상기 진공 프로세스 챔버 내에 위치된 지지 플레이트 및 상기 핀의 근위 단부에 고정된 플로팅 커플링(floating coupling)을 더 구비한다. 상기 플로팅 커플링은 상기 플로팅 커플링이 수평 방향으로 상기 지지 플레이트에 대해 이동 가능하도록 상기 지지 플레이트에 의해 슬라이딩 가능하게 지지될 수 있다. 상기 액추에이터는 상기 지지 플레이트를 상승 위치와 하강 위치 사이에서 상기 수직방향을 따라 이동시켜서 상기 핀을 상기 연장 위치와 상기 후퇴 위치 사이에서 이동시키도록 구성될 수 있고, 상기 지지 플레이트의 상승 위치는 상기 핀의 연장된 위치와 연관될 수 있고, 상기 지지 플레이트의 하강 위치는 상기 핀의 후퇴 위치와 연관될 수 있다.
일부 실시예에서, 상기 액추에이터는 진공 밀봉될 수 있고, 상기 액추에이터는 상기 진공 프로세스 챔버의 외부에 위치되고, 상기 액추에이터는 상기 진공 프로세스 챔버의 외부 벽을 통해 연장되는 연결 샤프트를 통해 상기 지지 플레이트에 결합된다.
본 개시내용의 다른 예시적인 실시예는 진공 하에서 워크피스들을 처리하기 위한 시스템의 포커스 링 조정 조립체에 관한 것이며, 포커스 링은 상측부와 하측부 사이에서 수직방향을 따라 연장되고, 상기 포커스 링은 하측부로부터 상측부를 향해 내측으로 오목한 홈을 갖는다. 상기 포커스 링 조정 조립체는 근위 단부와 원위 단부 사이에서 연장되는 핀을 구비할 수 있으며, 상기 원위 단부는 상기 홈과 선택적으로 접촉하도록 구성될 수 있다. 추가적으로, 상기 포커스 링 조정 조립체는 연장 위치와 후퇴 위치 사이에서 상기 수직방향을 따라 상기 핀을 이동시키도록 작동가능한 액추에이터를 구비할 수 있다. 상기 핀의 연장 위치는 상기 홈과 접촉하는 상기 핀의 원위 단부와 연관될 수 있고, 상기 포커스 링은 진공 프로세스 챔버로부터 워크피스 핸들링 로봇에 의한 제거를 위해 액세스가능하다.
일부 실시예에서, 상기 포커스 링은 반경 방향을 따라 내부면과 외부면 사이에서 연장될 수 있고, 상기 홈은 포커스 링에 대해 환형이며, 내부면 및 외부면으로부터 이격된다.
적어도 하나의 실시예에서, 상기 홈은 제1 홈 부분 및 제2 홈 부분을 가지며, 상기 제1 홈 부분은 상기 포커스 링의 하측부로부터 제1 거리만큼 상기 하측부로부터 연장되고, 상기 제2 홈부는 상기 제1 거리로부터 제2 거리로 상기 하측부로부터 연장되며, 상기 제2 거리는 상기 수직방향을 따라 상측부와 하측부 사이의 상기 포커스 링의 두께보다 작을 수 있다.
실시예들에서, 상기 핀은 본체부 및 플랜지부를 가질 수 있고, 상기 본체부는 근위 단부와 원위 단부 사이에서 연장되고, 상기 플랜지부는 상기 핀의 원위 단부로부터 이격되고 상기 본체부의 외측으로 연장된다. 상기 플랜지부는 제1 홈 부분 내에 적어도 부분적으로 수용되도록 구성될 수 있고, 상기 플랜지부와 상기 원위 단부 사이에서 연장되는 상기 핀의 일부는 상기 제2 홈 부분 내에 적어도 부분적으로 수용될 수 있다.
일부 실시예에서, 상기 포커스 링은 상기 핀이 후퇴 위치에 있을 때에 대해 상기 핀이 상기 연장 위치에 있을 때 상기 수직방향을 따라 수직으로 더 높게 위치될 수 있다.
또한, 일부 실시예들은 진공 프로세스 챔버 내에 위치되고 진공 프로세스 챔버의 외부 벽에 인접한 지지 플레이트, 및 핀의 근위 단부에 고정된 플로팅 커플링을 구비할 수 있다. 상기 플로팅 커플링은, 플로팅 커플링이 지지 플레이트에 대해 수평 방향으로 이동 가능하도록 지지 플레이트에 의해 슬라이딩 가능하게 지지될 수 있다. 상기 액추에이터는 연장 위치와 후퇴 위치 사이에서 핀을 이동시키기 위해 상승 위치와 하강 위치 사이에서 수직방향을 따라 지지 플레이트를 이동시키도록 구성될 수 있고, 상기 지지 플레이트의 상승 위치는 핀의 연장된 위치와 연관되고 지지 플레이트의 하강 위치는 핀의 후퇴 위치와 연관된다.
또한, 일부 실시예에서, 상기 액추에이터는 진공 밀봉될 수 있고, 상기 액추에이터는 진공 처리 챔버의 외부에 위치되고, 상기 액추에이터는 진공 처리 챔버의 외부 벽을 통해 연장되는 연결 샤프트를 통해 지지 플레이트에 결합된다.
본 개시내용의 다른 예시적인 실시예는 플라즈마 처리 장치에 관한 것이다. 상기 장치는 수직방향 및 측방향을 형성하는 처리 챔버를 구비할 수 있다. 상기 플라즈마 처리 장치는 처리 챔버 내에 배치된 페디스털을 구비할 수 있다. 상기 페디스털은 기판을 지지하도록 구성될 수 있다. 상기 플라즈마 처리 장치는 페디스털 내에 배치된 무선 주파수(RF) 바이어스 전극을 구비할 수 있다. 상기 RF 바이어스 전극은 RF 바이어스 전극의 제1 단부와 측방향을 따라 RF 바이어스 전극의 제2 단부 사이에서 연장될 수 있다. 상기 RF 바이어스 전극은 RF 바이어스 전극의 제1 단부와 RF 바이어스 전극의 제2 단부 사이에서 측방향으로 연장되는 RF 존을 형성할 수 있다. 일부 구현예에서, 상기 RF 존은 상기 RF 바이어스 전극의 제1 단부로부터 상기 RF 바이어스 전극의 상기 제2 단부로 상기 측방향을 따라 연장될 수 있다. 대안적으로 또는 추가적으로, 상기 RF 존은 수직방향을 따라 플라즈마 처리 장치의 RF 바이어스 전극과 유전체 윈도우 사이에서 연장될 수 있다.
상기 플라즈마 처리 장치는 처리 챔버 내에 배치된 포커스 링을 구비할 수 있다. 상기 플라즈마 처리 장치는 RF 존의 외부에 위치된 리프트 핀을 구비하는 포커스 링 조정 조립체를 구비할 수 있다. 상기 리프트 핀은, 수직방향을 따라 페디스털과 포커스 링 사이의 거리를 조정하기 위해 적어도 제1 위치와 제2 위치 사이에서 포커스 링을 이동시키도록 수직방향을 따라 이동 가능할 수 있다. 일부 구현예에서, 상기 포커스 링은 포커스 링이 제1 위치에 있을 때 페디스털 상에 위치된다. 또한, 상기 포커스 링은 포커스 링이 제2 위치에 있을 때의 거리만큼 페디스털로부터 이격된다.
일부 구현예에서, 상기 플라즈마 처리 장치는 수직방향을 따라 RF 바이어스 전극으로부터 이격된 접지면을 구비할 수 있다. 상기 접지면은 상기 접지면의 제1 단부와 상기 접지면의 제2 단부 사이에서 상기 측방향을 따라 연장될 수 있다. 일부 구현예에서, 상기 측방향을 따른 접지면의 길이는 상기 측방향을 따라 RF 바이어스 전극의 길이보다 클 수 있다. 일부 구현예에서, 상기 리프트 핀은 접지면을 관통한다. 일부 구현예에서, 상기 RF 바이어스 전극 및 상기 접지면은 페디스털 내에 배치된다.
일부 구현예에서, 상기 포커스 링 조정 조립체는 적어도 제1 위치와 제2 위치 사이에서 포커스 링을 이동시키도록 수직방향을 따라 리프트 핀을 이동시키도록 구성된 액추에이터를 구비할 수 있다. 일부 구현예에서, 상기 액추에이터는 처리 챔버의 외부에 위치된다. 일부 구현예에서, 상기 포커스 링 조정 조립체는 수직방향에 대해 리프트 핀을 회전시키도록 구성된 제2 액추에이터를 구비할 수 있다. 일부 구현예에서, 상기 제2 액추에이터는 처리 챔버의 외부에 위치된다.
본 개시내용의 다른 예시적인 실시예는 플라즈마 처리 장치에 관한 것이다. 상기 장치는 수직방향 및 측방향을 형성는 처리 챔버를 구비할 수 있다. 상기 플라즈마 처리 장치는 처리 챔버 내에 배치된 페디스털을 구비할 수 있다. 상기 페디스털은 기판을 지지하도록 구성될 수 있다. 상기 플라즈마 처리 장치는 페디스털 내에 배치된 무선 주파수(RF) 바이어스 전극을 구비할 수 있다. 상기 RF 바이어스 전극은 RF 바이어스 전극의 제1 단부와 RF 바이어스 전극의 제2 단부 사이에서 측방향을 따라 연장될 수 있다. 상기 RF 바이어스 전극은 RF 바이어스 전극의 제1 단부와 RF 바이어스 전극의 제2 단부 사이에서 측방향으로 연장하는 RF 존을 형성할 수 있다. 상기 플라즈마 처리 장치는 수직방향을 따라 RF 바이어스 전극과 이격된 접지면을 구비할 수 있다. 상기 플라즈마 처리 장치는 처리 챔버 내에 배치된 포커스 링을 구비할 수 있다. 상기 플라즈마 처리 장치는 접지면을 관통하는 리프트 핀을 구비하는 포커스 링 조정 조립체를 구비할 수 있다. 상기 리프트 핀은 수직방향을 따라 페디스털과 포커스 링 사이의 거리를 조정하기 위해 적어도 제1 위치와 제2 위치 사이에서 포커스 링을 이동시키도록 수직방향을 따라 이동 가능할 수 있다. 일부 구현예에서, 상기 리프트 핀은 RF 구역의 외부에 위치될 수 있다.
이제, 도 1을 참조하여, 본 개시내용의 예시적인 실시예가 설명될 것이다.
도 1은 본 개시내용의 예시적인 실시예에 따른 예시적인 워크피스 처리 시스템(100)을 도시한다. 처리 시스템(100)은 전방 단부(112), 하나 이상의 로드록 챔버(114), 반송 챔버(115), 및 제1 프로세스 챔버(120)와 제2 프로세스 챔버(130)를 구비하는 복수의 프로세스 챔버를 구비할 수 있다. 상기 시스템은 로드록 챔버(114) 내의 워크피스 칼럼(110) 및 제1 프로세스 챔버(120) 및 제2 프로세스 챔버(130)로/로부터 그리고/또는 제1 프로세스 챔버(120)와 제2 프로세스 챔버(130) 사이에서 워크피스들을 반송하기 위한 제1 워크피스 핸들링 로봇(150)을 구비할 수 있다.
전방 단부(112)는 대기압에서 유지되도록 구성될 수 있고, 워크피스 입력 장치(1180)와 결합하도록 구성될 수 있다. 워크피스 입력 장치(118)는, 예를 들어 카세트, 전방 개방 통합 포드, 또는 복수의 워크피스를 지지하기 위한 다른 장치를 구비할 수 있다. 워크피스 입력 장치(118)는 처리 시스템(100)에 전처리 워크피스를 제공하거나 처리 시스템(100)으로부터 후처리 워크피스를 수용하는데 사용될 수 있다.
전방 단부(112)는 워크피스 입력 장치(118)로부터, 예를 들어 로드록 챔버(114)로, 예컨대 로드록 챔버(114) 내에 위치된 워크피스 칼럼(110)으로/으로부터 워크피스를 반송하기 위한 하나 이상의 로봇(미도시)을 구비할 수 있다. 일 예에서, 전방 단부(112) 내의 로봇은 로드록 챔버(114)에 워크피스를 반송할 수 있고, 로드록 챔버(114)로부터 하나 이상의 워크피스 입력 장치(1180)로 후처리 워크피스를 반송할 수 있다. 워크피스를 반송하기 위한 임의의 적합한 로봇은 본 개시내용의 범위를 벗어나지 않고서 전방 단부(112)에서 사용될 수 있다. 워크피스들은 적절한 슬릿, 개구 또는 어퍼쳐를 통해 로드록 챔버(114)로 그리고/또는 로드록 챔버(114)로부터 반송될 수 있다.
로드록 챔버(114)는 복수의 워크피스들을 적층 배열로 지지하도록 구성된 워크피스 칼럼(110)을 구비할 수 있다. 워크피스 칼럼(110)은, 예를 들어 복수의 선반을 구비할 수 있다. 각각의 선반은 하나 이상의 워크피스를 지지하도록 구성될 수 있다. 하나의 예시적인 구현예에서, 워크피스 칼럼(110)은 전처리 워크피스들을 지지하기 위한 하나 이상의 선반 및 후처리 워크피스들을 지지하기 위한 하나 이상의 선반을 구비할 수 있다.
일부 실시예에서, 적절한 밸브들이 로드록 챔버(114) 및 다른 챔버들과 함께 제공되어 워크피스들을 처리하기 위한 프로세스 압력을 적절하게 조정할 수 있다. 일부 실시예에서, 로드록 챔버(114) 및 반송 챔버(115)는 동일한 압력으로 유지될 수 있다. 이러한 실시예에서, 반송 챔버(115)로부터 로드록 챔버(114)를 밀봉할 필요가 없다. 실제로, 일부 실시예에서, 로드록 챔버(114) 및 반송 챔버(115)는 동일한 챔버의 일부일 수 있다.
단일 로드록 챔버(114)가 도 1에 도시되어 있다. 본 명세서에 제공된 개시내용을 이용하는 당업자는 다수의 로드록 챔버(114)가 본 개시내용의 범위를 벗어나지 않고서 본 명세서에 기술된 임의의 처리 시스템 내에서 사용될 수 있다는 것을 이해할 것이다. 예를 들어, 시스템(100)은 워크피스들을 시스템(100)의 진공 부분으로 반송하기 위한 제1 로드록 챔버 및 시스템(100)의 진공 부분 외부로 워크피스들을 반송하기 위한 제2 로드록 챔버를 구비할 수 있다.
제1 프로세스 챔버(120) 및 제2 프로세스 챔버(130)는 진공 어닐링 프로세스, 표면 처리 프로세스, 건식 스트립 프로세스, 건식 에칭 프로세스, 증착 프로세스, 및 다른 프로세스와 같은 워크피스 상에서 다양한 워크피스 처리 중 임의의 것을 수행하는데 사용될 수 있다. 일부 실시예에서, 제1 프로세스 챔버(120) 및 제2 프로세스 챔버(130) 중 하나 이상은, 예를 들어 유도 결합 플라즈마(ICP) 소스, 마이크로파 소스, 표면파 플라즈마 소스, ECR 플라즈마 소스, 및 용량성 결합(평행 플레이트) 플라즈마 소스와 같은 플라즈마 기반 프로세스 소스를 구비할 수 있다.
도시된 바와 같이, 제1 프로세스 챔버(120) 및 제2 프로세스 챔버(130) 각각은 한 쌍의 워크피스들이 동일한 프로세스에 동시에 노출될 수 있도록 나란한 배열의 한 쌍의 처리 스테이션들을 구비한다. 보다 구체적으로, 제1 프로세스 챔버(120)는 제1 처리 스테이션(122) 및 제2 처리 스테이션(124)을 나란한 배열로 구비할 수 있다. 제2 프로세스 챔버(130)는 제1 처리 스테이션(132) 및 제2 처리 스테이션(134)을 나란한 배열로 구비할 수 있다. 각각의 처리 스테이션은 처리 동안 워크피스를 지지하기 위한 워크피스 지지부(예를 들어, 페디스털)를 구비할 수 있다. 일부 실시예에서, 각각의 처리 스테이션은 워크피스를 지지하기 위한 2개의 부분들을 갖는 공통 페디스털을 공유할 수 있다. 일부 실시예에서, 워크피스 지지부는 베이스플레이트, 워크피스를 지지하도록 구성된 정전 척 및 교체가능한 부품을 구비하는 페디스털 조립체를 구비할 수 있다. 교체가능한 부품은 워크피스가 정전 척 상에 위치될 때 포커스 링의 적어도 일부가 워크피스의 주변부를 적어도 부분적으로 둘러싸도록 정전 척에 대해 배치된 포커스 링을 규비할 수 있다. 제1 프로세스 챔버(120) 및/또는 제2 프로세스 챔버(130)는 처리를 위해 반송 챔버(115)로부터 선택적으로 밀봉될 수 있다.
반송 챔버(115)는 워크피스 핸들링 로봇(150)을 구비할 수 있다. 워크피스 핸들링 로봇(150)은 로드록 챔버(114) 내의 워크피스 칼럼(110)으로부터 제1 프로세스 챔버(120) 및/또는 제2 프로세스 챔버(130) 내의 처리 스테이션으로 워크피스를 반송하도록 구성될 수 있다. 워크피스 핸들링 로봇(150)은 또한 제1 프로세스 챔버(120)와 제2 프로세스 챔버(130) 사이에서 워크피스들을 반송할 수 있다.
도 1에 도시된 바와 같이, 워크피스 처리 시스템(100)은 반송 챔버(115)에 결합된 새로운 그리고/또는 사용된 교체가능한 부품(예를 들어, 포커스 링)을 저장하기 위한 저장 챔버(250)를 구비할 수 있다. 일부 실시예에서, 저장 챔버는 반송 챔버(115)의 후방측에 장착된다. 저장 챔버(250)는 교체가능한 부품을 지지하도록 구성된 복수의 선반을 구비할 수 있다. 선반은 복수의 교체가능한 부품이 수직/적층 배열로 지지될 수 있도록 구성될 수 있다. 특정 실시예에서, 선반은 엘리베이터에 결합되어 엘리베이터가 저장 챔버(250) 내에서 교체가능한 부품을 상하로 이동하도록 구성될 수 있다. 일부 실시예에서, 저장 챔버(250)는 하나 이상의 테스트 워크피스를 구비할 수 있다. 예를 들어, 하나 이상의 선반은 테스트 워크피스를 지지하도록 구성될 수 있다.
일부 실시예에서, 저장 챔버(250)는 반송 챔버(115)와 동일한 진공으로 유지될 수 있는 진공가능한 저장 챔버이다. 특정 다른 실시예에서, 저장 챔버(250)는 반송 챔버(115)로부터 밀봉될 수 있도록 구성된다. 진공가능한 저장 챔버는 워크피스 핸들링 로봇이 저장 챔버 내의 교체가능한 부품에 액세스할 수 있도록 구성된 하나 이상의 액세스 도어를 구비할 수 있다. 예를 들어, 액세스 도어는 워크피스 핸들링 로봇이 저장 챔버(250) 내의 선반 상에 사용된 교체가능한 부품을 배치할 수 있고 선반들 중 하나로부터 새로운 교체가능한 부품을 제거할 수 있도록 충분히 크다. 따라서, 교체가능한 부품은 전체 시스템의 진공을 파괴하지 않고서 저장 챔버(250) 내에 배치되거나 또는 그로부터 제거될 수 있다.
일부 실시예에서, 저장 챔버(250)는 대기 주변 환경으로부터 새로운 또는 사용된 교체가능한 부품의 교체를 허용하도록 구성된 하나 이상의 액세스 도어를 구비할 수 있다. 예를 들어, 특정 실시예에서, 반송 챔버(115)와 연통하는 저장 챔버(250)는 반송 챔버(115)가 원하는 프로세스 압력으로 유지되도록 밀봉될 수 있다. 그 다음, 저장 챔버(250)는 사용된 교체가능한 부품이 저장 챔버(250)로부터 제거될 수 있고 새로운 교체가능한 부품이 저장 챔버(250) 내에 배치될 수 있도록 대기 환경으로부터 액세스되어 서비스될 수 있다. 저장 챔버(250)의 서비스가 완료된 후, 저장 챔버(250)는 저장 챔버(250) 내에서 프로세스 압력을 설정하기 위한 임의의 공지된 시스템을 이용하여 원하는 프로세스 압력으로 되돌려질 수 있다. 반송 챔버(115)와 동일한 프로세스 압력 또는 진공과 같은 원하는 프로세스 압력이 달성되면, 저장 챔버(250)는 반송 챔버(115)로부터 밀봉되지 않을 수 있어서, 하나 이상의 워크피스 핸들링 로봇이 저장 챔버(250)에 다시 액세스할 수 있다.
워크피스 핸들링 로봇(150)은 진공을 파괴하지 않고서 저장 챔버(250) 및 교체가능한 부품의 자동화된 교체를 위한 다양한 처리 스테이션 사이에서 교체가능한 부품을 반송하도록 구성될 수 있다. 예를 들어, 워크피스 핸들링 로봇(150)은 제1 프로세스 챔버(120) 또는 제2 프로세스 챔버(130)로부터 저장 챔버(250)로 교체가능한 부품을 반송하는데 사용될 수 있다. 워크피스 핸들링 로봇(150)은 또한 교체가능한 부품을 저장 챔버(250)로부터 제1 프로세스 챔버(120) 또는 제2 프로세스 챔버(130)로 반송하는데 사용될 수 있다. 특정 실시예에서, 워크피스 핸들링 로봇(150)은 제1 프로세스 챔버(120) 및/또는 제2 프로세스 챔버 내의 처리 스테이션들 중 하나로부터 사용된 교체가능한 부품을 검색할 수 있고, 사용된 부품을 저장 챔버(250)에 반송할 수 있다. 워크피스 핸들링 로봇(150)은 또한 저장 챔버(250)로부터 새로운 교체가능한 부품을 검색하고, 새로운 교체가능한 부품을 제1 프로세스 챔버(120) 또는 제2 프로세스 챔버(130) 중 하나의 처리 스테이션 중 하나에 반송할 수 있다.
워크피스 핸들링 로봇은 제어기에 결합될 수 있어서, 제어기는 새로운 또는 사용된 교체가능한 부품을 저장 챔버 및 처리 챔버(120, 130)로 반송하기 위해 워크피스 핸들링 로봇을 제어하는데 사용될 수 있다. 제어기는 제1 프로세스 챔버(120) 또는 제2 프로세스 챔버(130)의 하나 이상의 처리 스테이션에 액세스하기 위해 로봇 아암 모션 패턴(280)(도 6에 도시)에 따라 워크피스 핸들링 로봇(150)의 모션을 제어하도록 구성될 수 있다.
도 2를 참조하면, 처리 시스템(200)은 제3 프로세스 챔버(170) 및 제4 프로세스 챔버(180)를 구비하는 추가적인 프로세스 챔버를 구비할 수 있다. 제3 프로세스 챔버(170)는 제1 프로세스 챔버(120)와 선형 배열로 배치되고 제4 프로세스 챔버(180)는 제2 프로세스 챔버(130)와 선형 배열로 배치되어, 제3 프로세스 챔버(170) 및 제4 프로세스 챔버(180)가 반송 챔버(195)의 대향 측면들 상에 배치된다.
제3 프로세스 챔버(170) 및 제4 프로세스 챔버(180)는 진공 어닐링 프로세스, 열처리 프로세스, 표면 처리 프로세스, 건식 스트립 프로세스, 건식 에칭 프로세스, 증착 프로세스, 및 다른 프로세스와 같은 워크피스들 상에서 다양한 워크피스 처리 중 임의의 것을 수행하는데 사용될 수 있다. 일부 실시예에서, 제3 프로세스 챔버(170) 및 제4 프로세스 챔버(180) 중 하나 이상은, 예를 들어 유도 결합 플라즈마(ICP) 소스, 마이크로파 소스, 표면파 플라즈마 소스, ECR 플라즈마 소스, 및 용량성 결합(평행 플레이트) 플라즈마 소스와 같은 플라즈마 기반 프로세스 소스를 구비할 수 있다. 특정 실시예에서, 포커스 링은 다이렉트 이온 플라즈마 에칭 프로세스를 제공하는데 사용되는 플라즈마 프로세싱 소스에서 사용될 수 있다.
도시된 바와 같이, 제3 프로세스 챔버(170) 및 제4 프로세스 챔버(180) 각각은 한 쌍의 워크피스들이 동일한 프로세스에 동시에 노출될 수 있도록 나란히 배치된 한 쌍의 처리 스테이션들을 구비한다. 보다 구체적으로, 제3 프로세스 챔버(170)는 나란히 배치된 제1 처리 스테이션(172) 및 제2 처리 스테이션(174)을 구비할 수 있다. 제4 프로세스 챔버(180)는 나란히 배치된 제1 처리 스테이션(182) 및 제2 처리 스테이션(184)을 구비할 수 있다. 각각의 처리 스테이션은 프로세싱 동안 워크피스를 지지하기 위한 워크피스 지지부(예를 들어, 페디스털)를 구비할 수 있다. 일부 실시예에서, 각각의 처리 스테이션은 워크피스를 지지하기 위한 2개의 부분을 갖는 공통 페디스털을 공유할 수 있다. 일부 실시예에서, 워크피스 지지부는 베이스플레이트, 워크피스를 지지하도록 구성된 정전 척 및 교체가능한 부품을 구비하는 페디스털 조립체를 구비할 수 있다. 교체가능한 부품은 워크피스가 정전 척 상에 위치될 때 포커스 링의 적어도 일부가 워크피스의 주변부를 적어도 부분적으로 둘러싸도록 정전 척에 대해 배치된 포커스 링을 구비할 수 있다. 일부 실시예에서, 제3 프로세스 챔버(170) 및/또는 제4 프로세스 챔버(180)는 프로세싱을 위해 반송 챔버(115)로부터 선택적으로 밀봉될 수 있다.
워크피스들을 제3 프로세스 챔버(170) 및 제2 프로세스 챔버(180)로 반송하기 위해, 시스템(200)은 반송 위치(162) 및 제2 워크피스 핸들링 로봇(190)을 더 구비할 수 있다. 반송 위치(162)는 반송 챔버(162)의 일부일 수 있거나 또는 별개의 챔버일 수 있다. 반송 위치(162)는 적층 배열 및/또는 나란한 배열로 복수의 워크피스를 지지하기 위한 지지 칼럼(160)을 구비할 수 있다. 예를 들어, 지지 칼럼(160)은 적층된 수직 배열로 워크피스를 지지하도록 구성된 복수의 선반을 구비할 수 있다. 제1 워크피스 핸들링 로봇(150)은 워크피스 칼럼(110), 제1 프로세스 챔버(120), 또는 제2 프로세스 챔버(130)로부터 반송 위치(162) 내의 워크피스 칼럼(160)으로 워크피스들을 반송하도록 구성될 수 있다. 제2 워크피스 핸들링 로봇(190)은 반송 위치(162) 내의 지지 칼럼(160)으로부터 제3 프로세스 챔버(170) 및/또는 제4 프로세스 챔버(180) 내의 처리 스테이션들으로 워크피스들을 반송하도록 구성될 수 있다. 워크피스 핸들링 로봇(190)은 또한 제3 프로세스 챔버(170)로부터 제4 프로세스 챔버(180)로 워크피스들을 반송할 수 있다.
도 2에 도시된 바와 같이, 워크피스 처리 시스템(200)은 반송 챔버에 결합된 새로운 그리고/또는 사용된 교체가능한 부품들(예를 들어, 포커스 링들)을 저장하기 위한 저장 챔버(250)를 구비할 수 있다. 저장 챔버는 반송 챔버의 후방측에 장착된다. 워크피스 핸들링 로봇(150, 190)은 진공을 파괴하지 않고서 교체가능한 부품의 자동화된 교체를 위해 다양한 반송 위치 및 처리 스테이션 사이에서 교체가능한 부품을 반송하도록 구성될 수 있다. 일부 실시예에서, 저장 챔버(250)는 테스트 워크피스를 저장할 수 있다.
제1 프로세스 챔버(120), 제2 프로세스 챔버(130) 및 저장 챔버(250) 사이에서 교체가능한 부품들을 반송하기 위해, 시스템(200)은 제2 워크피스 핸들링 로봇(190)을 이용하여 저장 챔버(250)로부터 반송 위치(162) 내의 저장 챔버(250)로 새로운 또는 사용된 교체가능한 부품들을 반송할 수 있다. 반송 위치(162)는 반송 챔버(162)의 일부일 수 있거나 또는 별개의 챔버일 수 있다. 반송 위치(162)는 적층 배열로 복수의 교체가능한 부품을 지지하기 위한 지지 칼럼(160)을 구비할 수 있다. 예를 들어, 지지 칼럼(160)은 적층된 수직 배열로 교체가능한 부품들을 지지하도록 구성된 복수의 선반들을 구비할 수 있다. 따라서, 일부 실시예에서, 지지 칼럼(160)은 적층된 배열로 워크피스들 및 교체가능한 부품들을 지지할 수 있도록 구성된다. 제1 워크피스 핸들링 로봇(150)은 지지 칼럼(160)으로부터 제1 프로세스 챔버의 나란한 처리 스테이션(122, 124) 또는 제2 프로세스 챔버(130)의 나란한 처리 스테이션(132, 134)으로 교체가능한 부품을 반송하도록 구성될 수 있다. 제2 워크피스 핸들링 로봇(190)은 반송 위치(162) 내의 지지 칼럼(160)으로부터 제3 프로세스 챔버 내의 나란한 처리 스테이션들(172, 174), 제4 프로세스 챔버(180)내의 나란한 처리 스테이션들(182, 184), 및/또는 저장 챔버(250)로 교체가능한 부분들을 반송하도록 구성될 수 있다.
사용된 교체가능한 부품을 제거하거나 또는 하나 이상의 처리 스테이션에 새로운 교체가능한 부품을 제공하는데 있어서, 워크피스 핸들링 로봇(150, 190)은 로봇 아암 모션 패턴을 이용할 수 있다. 예를 들어, 제어기는 교체가능한 부품을 반송하기 위해 처리 스테이션에 액세스할 때 엔드 이펙터의 모션을 제어하기 위해 워크피스 핸들링 로봇(150, 190)의 아암 상의 엔드 이펙터의 모션을 제어하는데 이용될 수 있다. 워크피스 핸들링 로봇(150)은 처리 스테이션(122, 124, 132, 134)에 액세스하기 위해 로봇 아암 모션 패턴을 이용할 수 있다. 워크피스 핸들링 로봇(190)은 처리 스테이션(172, 174, 182, 184)에 액세스하기 위해 로봇 아암 모션 패턴을 이용할 수 있다.
처리 시스템(200)은 4개의 프로세스 챔버들(120, 130, 170, 180)을 구비하고, 한번에 8개의 워크피스들을 동시에 처리하도록 구성될 수 있다. 부가적인 처리 스테이션들은 추가적인 처리 능력을 제공하기 위해 선형 방식으로 추가될 수 있다. 예를 들어, 제5 프로세스 챔버는 제3 프로세스 챔버(170)와 선형 배열로 추가될 수 있다. 제6 프로세스 챔버는 제4 프로세스 챔버(180)와 선형 배열로 추가될 수 있다. 추가적인 반송 위치 및 워크피스 핸들링 로봇은 워크피스들을 제5 및 제6 프로세스 챔버들로 그리고 제5 및 제6 프로세스 챔버들로부터 반송하는데 사용될 수 있다. 이러한 방식으로 처리 시스템을 선형 방식으로 연장시킴으로써 추가적인 처리 챔버가 구비될 수 있다.
특정 실시예에서, 워크피스 저장 챔버는 본 개시내용의 범위를 벗어나지 않고서 처리 시스템 내의 다른 위치에 위치될 수 있다. 예를 들어, 일부 실시예에서, 워크피스 저장 챔버는 반송 위치(예를 들어, 처리 시스템(200)의 반송 위치(162)) 위 또는 아래에 위치될 수 있다. 또한, 워크피스 처리 시스템의 처리 챔버들 중 하나 이상(예를 들어, 처리 시스템(200)의 처리 스테이션들(120, 130, 170 또는 180)은 본 개시내용의 예시적 실시예들에 따른 새로운 그리고/또는 사용된 교체가능한 부품들을 위한 저장 챔버로 대체될 수 있다.
다른 실시예에서, 저장 챔버(250)는 본 개시내용의 범위를 벗어나지 않고서 처리 시스템 내의 다른 위치에 위치될 수 있다. 예를 들어, 저장 챔버는 하나 이상의 프로세스 챔버(120, 130, 170 및/또는 180) 상에 배치될 수 있다. 저장 챔버는 또한 반송 위치(예를 들어, 처리 시스템(200)의 반송 위치(162)) 위 또는 아래에 위치될 수 있다. 또한, 워크피스 처리 시스템의 처리 챔버들 중 하나 이상(예를 들어, 처리 시스템(200)의 처리 스테이션(120, 130, 170 또는 180))은 본 개시내용의 예시적인 실시예들에 따른 새로운 그리고/또는 사용된 교체가능한 부품들을 위한 저장 챔버로 대체될 수 있다.
도 3은 본 개시내용의 예시적인 실시예들에 따른 워크피스 처리 시스템(200)의 처리 챔버에 장착된 예시적인 반송 기구(260)를 도시한다. 반송 기구(260)는 처리 챔버(130)에 직접 결합될 수 있다. 다른 실시예에서, 반송 기구(260)는 120, 130, 170 및/또는 180을 포함하는 임의의 처리 챔버에 결합될 수 있다. 도시된 바와 같이, 반송 기구(260)는 사용된 및 새로운 교체가능한 부품(예를 들어, 포커스 링)을 저장할 수 있는 교체가능한 부품 저장 위치(262)(예를 들어, 선반)을 구비할 수 있다. 반송 기구(260)는 교체가능한 부분들을 처리 스테이션 내의 그 적절한 위치로 반송하도록 구성된 로보틱스(270)를 구비할 수 있다.
도 4는 본 개시내용의 예시적인 실시예들에 따른 반송 위치(162) 내의 예시적인 지지 칼럼(160)의 측면도를 도시한다. 도시된 바와 같이, 지지 칼럼(160)은 복수의 선반(16)을 구비할 수 있다. 각각의 선반(161)은 복수의 워크피스(163)이 수직/적층 배열로 지지 칼럼 상에 배치될 수 있기 위해 워크피스(163)를 지지하도록 구성될 수 있다. 각각의 선반(161)은 또한 복수의 교체가능한 부품(165)이 수직/적층 배열로 지지 칼럼(160) 상에 배치될 수 있기 위해 교체가능한 부분(165)을 지지하도록 구성될 수 있다. 따라서, 지지 칼럼(160)의 선반(161)은 워크피스(163) 및 교체가능한 부품(165) 모두를 지지할 수 있도록 구성된다. 특정 실시예에서, 교체가능한 부품(165)은 워크피스(163)과 비교하여 더 큰 직경을 가질 수 있다. 따라서, 선반(161)은 워크피스(163)보다 큰 직경을 갖는 교체가능한 부품(165)을 지지할 수 있도록 구성된다. 특정 실시예에서, 교체가능한 부품은 포커스 링을 구비할 수 있다. 본 명세서에 제공된 시스템에서 이용되는 포커스 링들은 워크피스들과 비교하여 더 큰 직경을 가질 수 있다. 따라서, 지지 칼럼(160)은 더 큰 직경을 갖는 워크피스 및 포커스 링을 모두 지지할 수 있도록 구성된다.
일부 실시예에서, 반송 위치는 워크피스 핸들링 로봇들이 로봇들 사이의 직접 반송을 이용하여 워크피스들 및/또는 교체가능한 부품들을 반송할 수 있도록 반송 위치를 통해 완전히 통과하는 개구 또는 어퍼쳐를 가질 수 있다.
도 5는 본 개시내용의 예시적인 실시예들에 따른 예시적인 워크피스 칼럼(110)의 측면도를 도시한다. 도시된 바와 같이, 워크피스 칼럼(110)은 복수의 선반(11)을 구비할 수 있다. 각각의 선반(111)은 복수의 워크피스(113)가 수직 적층 배열로 워크피스 칼럼(110) 상에 배치될 수 있기 위해 워크피스(113)를 지지하도록 구성될 수 있다.
일부 실시예에서, 워크피스 처리 시스템에서 교체가능한 부품들의 반송에 대한 대안적인 접근법들이 본 개시의 범위를 벗어나지 않고 사용될 수 있다. 예를 들어, 추가적인 반송 기구(예를 들어, 로봇, 셔틀 기구, 다축 로보틱스)가 프로세스 챔버에 장착되어 교체가능한 부품을 프로세스 챔버 내외로 반송할 수 있다.
도 6은 본 개시내용의 예시적인 실시예들에 따른 예시적인 로봇 아암 모션 패턴을 도시한다. 도시된 바와 같이, 시스템(100)은 엔드 이펙터(500)를 갖는 아암을 갖는 워크피스 핸들링 로봇(150)을 구비한다. 도 6에 도시된 바와 같이, 엔드 이펙터(500)는 다중 방향 이동에 따라 시스템(100) 내에서 이동될 수 있다. 예를 들어, 엔드 이펙터(500)는 반송 챔버(115) 내부에 위치될 수 있다. 도시된 바와 같이, 나란한 처리 스테이션(122 또는 124, 도시된 바와 같음) 중 하나로부터 사용된 교체가능한 부품(165)을 검색할 수 있을 때, 엔드 이펙터(500)는 로봇 아암 모션 패턴(280)에 따라 처리 스테이션 중 하나로 이동할 수 있다.
로봇 아암 모션 패턴(280)은 제1 시간 주기 동안 제1 방향으로 연장되고, 제2 시간 주기 동안 제1 방향에 대체로 측방향인 제2 방향으로 연장되고, 제3 시간 주기 동안 제1 방향 및 제2 방향과 상이한 제3 방향으로 연장되는 것을 구비할 수 있다. 도시된 바와 같이, 로봇 아암 모션 패턴(280)은 엔드 이펙터(500)를 처리 스테이션(122 또는 124) 중 하나에 배치하는데 이용될 수 있다.
일부 실시예에서, 로봇 아암 모션 패턴은 엔드 이펙터가 처리 챔버(120)로 진입하도록 제1 시간 주기 동안 엔드 이펙터(500)를 제1 방향으로 연장하는 것을 구비할 수 있다. 따라서, 일부 실시예에서, 엔드 이펙터(500)를 제1 방향으로 연장하는 것은 엔드 이펙터를 반송 챔버(115)로부터 프로세스 챔버(120)로 이동시키지만, 나란한 스테이션들(122, 124) 중 하나 내에 엔드 이펙터(500)를 배치하지 않는다. 그 후, 엔드 이펙터(500)는 나란한 처리 챔버(122, 124) 중 하나 내에 엔드 이펙터(500)를 배치하기 위해 제1 방향에 대체로 측방향인 제2 방향에 따라 이동될 수 있다. 본 명세서에 사용된 바와 같이, "대체로 측방향' 또는 "에 측방향"은 제1 방향에 수직의 약 45°내를 지칭한다. 일부 실시예에서, 제2 방향은 제1 방향에 수직의 약 10° 내지 약 70°, 예컨대 20° 내지 약 60°, 예컨대 30° 내지 약 50° 범위일 수 있다. 그 후, 엔드 이펙터(500)는 사용된 교체가능한 부품의 검색이 성취될 수 있도록 처리 스테이션(122) 내의 엔드 이펙터(500)의 적절한 배치를 보장하기 위해 제3 방향으로 이동될 수 있다. 일부 실시예에서, 제3 방향은 제1 방향에 수직의 30° 이하일 수 있다. 일부 실시예에서, 엔드 이펙터(500)는 또한 동일한 로봇 아암 모션 패턴에 따라 처리 스테이션(122)으로부터 제거될 수 있다. 예를 들어, 엔드 이펙터(500)는 동일한 로봇 아암 모션 패턴(280)에 따라 반송 챔버(115) 내로 후퇴될 수 있다.
특정 실시예에서, 엔드 이펙터(500)는 그 위에 새로운 교체가능한 부품(165)을 가질 수 있다. 예를 들어, 엔드 이펙터(500)는 지지 칼럼(160) 또는 저장 챔버(250)로부터 새로운 교체가능한 부품(165)을 검색할 수 있다. 그 후, 그 위에 새로운 교체가능한 부품(165)을 갖는 엔드 이펙터(500)는 본 명세서에 제공된 예시적인 로봇 아암 모션 패턴에 따라 처리 스테이션(122) 내에 새로운 교체가능한 부품(165)을 배치할 수 있다. 예를 들어, 엔드 이펙터는 프로세스 챔버(120)에 액세스하기 위해 제1 시간 주기 동안 제1 방향으로 이동되고, 나란한 처리 스테이션(122) 중 하나에 액세스하기 위해 제2 시간 주기 동안 제1 방향으로 제1 방향에 측방향인 제2 방향으로 이동되고, 나란한 처리 스테이션(122, 124) 중 하나 내에 새로운 교체가능한 부품(165)의 적절한 배치를 보장하기 위해 제3 시간 주기 동안 제1 방향 및 제2 방향과 상이한 제3 방향으로 이동될 수 있다.
본 명세서에 개시된 로봇 아암 모션 패턴(280)은 시스템의 하나 이상의 워크피스 핸들링 로봇에 의해 이용될 수 있다. 예를 들어, 워크피스 핸들링 로봇(150, 190)은 모두 본 명세서에 설명된 로봇 아암 모션 패턴(280)을 실행할 수 있는 제어기에 결합될 수 있다. 로봇 아암 모션 패턴(280)은 본 명세서에 개시된 각각의 프로세스 챔버들(120, 130, 170, 180)의 나란한 처리 스테이션들(122, 124, 132, 134, 172, 174, 182, 184) 중 어느 것에 액세스하기 위해 워크피스 핸들링 로봇(150, 190)에 의해 이용될 수 있다.
일부 실시예에서, 워크피스 핸들링 로봇은 씨저 모션을 이용하여 워크피스 및 교체가능한 부품을 반송하도록 구성될 수 있다. 예를 들어, 워크피스 핸들링 로봇(150)은, 예를 들어 씨저 모션을 이용하여 로드록 챔버(114) 내의 워크피스 칼럼으로부터 제1 프로세스 챔버(120) 내의 2개의 나란한 처리 스테이션(122, 124)으로 워크피스를 동시에 반송할 수 있다. 유사하게, 워크피스 핸들링 로봇(150)은, 예를 들어 씨저 모션을 이용하여 로드록 챔버(114) 내의 워크피스 칼럼(110)으로부터 제2 프로세스 챔버(130) 내의 2개의 나란한 처리 스테이션(132, 134)으로 워크피스를 동시에 반송할 수 있다. 워크피스 핸들링 로봇(190)은, 예를 들어 씨저 모션을 이용하여 반송 위치(162) 내의 지지 칼럼(160)으로부터 제3 프로세스 챔버(170) 내의 2개의 나란한 처리 스테이션(172, 174)으로 워크피스를 동시에 반송할 수 있다. 워크피스 핸들링 로봇(190)은, 예를 들어 씨저 모션을 이용하여 반송 위치(162) 내의 지지 칼럼(160)으로부터 제4 처리 챔버(180) 내의 2개의 나란한 처리 스테이션(182, 184)으로 워크피스를 동시에 반송할 수 있다.
일부 실시예에서, 제어기는 하나 이상의 센서들(예를 들어, 자동화된 웨이퍼 센터링 시스템과 연관된 센서들)로부터 수신된 데이터에 적어도 부분적으로 기초하여 교체가능한 부품들(예를 들어, 포커스 링들)을 반송하기 위해 엔드 이펙터의 모션을 조정하도록 구성될 수 있다. 예를 들어, 광학 센서(들)는 모션 패턴 동안 교체가능한 부품의 모션을 모니터링하는데 사용될 수 있다. 교체가능한 부품의 적절한 배치를 보장하기 위해, 제어기는 워크피스 핸들링 로봇이 교체가능한 부품을 반송할 때 실시간으로 모션 패턴을 조정할 수 있어, 교체가능한 부품의 적절한 배치를 감소된 오차로 제공한다.
일부 실시예에서, 하나 이상의 센서들은 워크피스 핸들링 로봇에 의해 프로세스 챔버로 전달된 후 교체가능한 부품의 위치를 결정하는데 사용될 수 있다. 센서들은, 예를 들어 하나 이상의 광학 센서들을 구비할 수 있다. 제어기는, 센서 측정값들이 교체가능한 부품이 부정확하게(예를 들어, 워크피스 지지부와 동심원적으로) 위치되었다는 것을 나타낼 때, 교체가능한 부품의 위치를 조정하기 위해 워크피스 핸들링 로봇을 제어하도록 구성될 수 있다.
도 7은 본 개시내용의 예시적인 관점들에 따른 일 예시적인 방법(300)의 흐름도를 도시한다. 방법(300)은 워크피스를 처리하기 위한 시스템에서 교체가능한 부품을 교체하기 위한 방법을 구비한다. 방법(300)은 예를 들어 도 2의 시스템을 참조하여 논의될 것이다. 방법(300)은 임의의 적절한 처리 장치에서 구현될 수 있다. 도 7은 예시 및 논의를 위해 특정 순서로 수행되는 단계들을 도시한다. 본 명세서에 제공된 개시내용을 사용하여 당해 기술분야에서 통상의 지식을 가진 자는 본 개시내용의 범위를 벗어나지 않고서 다양한 방식으로 생략, 확장, 동시에 수행, 재배열 및/또는 수정될 수 있다는 것을 이해할 것이다. 또한, 본 개시내용의 범위를 벗어나지 않고서 다양한 단계들(도시되지 않음)이 수행될 수 있다.
단계(302)에서, 상기 방법은 처리 스테이션(122, 124, 132, 134, 172, 174, 182 또는 184)으로부터 사용된 교체가능한 부품(165)을 제거하는 단계를 구비할 수 있다. 워크피스 핸들링 로봇(150)은 로봇 아암 모션 패턴에 따라 반송 챔버(115)로부터 프로세스 챔버(120)로 그리고 처리 스테이션(122) 내로 엔드 이펙터(500)를 이동시킬 수 있다. 로봇 아암 모션 패턴은 제1 시간 주기 동안 엔드 이펙터(500)를 제1 방향으로 연장하고, 제2 시간 주기 동안 제1 방향에 대해 측방향인 제2 방향으로 엔드 이펙터(500)를 연장하고, 제3 시간 주기 동안 엔드 이펙터(500)를 제1 방향 및 제2 방향과 상이한 제3 방향으로 연장하는 것을 구비할 수 있다. 일단 엔드 이펙터(500)가 처리 챔버(122) 내에 정확한 위치에 있게 되면, 교체가능한 부품은 엔드 이펙터(500) 상에 배치될 수 있다. 일부 실시예에서, 엔드 이펙터(500)는 교체가능한 부품(165)을 처리 스테이션(122) 내의 상승된 위치로부터 리프팅할 수 있다. 예를 들어, 리프팅 기구에 연결된 복수의 핀들은 교체가능한 부품(165)을 그 처리 위치로부터 상승 위치로 상승시키는데 사용될 수 있다. 일단 상승된 위치에서, 엔드 이펙터(500)는 교체가능한 부품(165)을 하나 이상의 핀으로부터 들어올리기 위해 교체가능한 부품(165) 아래에 용이하게 배치될 수 있다.
일단 교체가능한 부품(165)이 엔드 이펙터(500) 상에 배치되면, 엔드 이펙터(500)는 로봇 아암 모션 패턴을 통해 반송 챔버(115) 내로 후퇴될 수 있다. 예를 들어, 사용된 교체가능한 부품(165)을 갖는 엔드 이펙터(500)는 제3 시간 주기 동안 제1 방향 및 제2 방향과 상이한 제3 방향에 따라 후퇴되고, 제2 시간 주기 동안 제1 방향에 측방향인 제2 방향에 따라 후퇴되고, 그 상에 교체가능한 부품(165)을 갖는 엔드 이펙터(500)가 반송 챔버(115) 내에 다시 위치될 때까지 제1 시간 동안 제1 방향에 따라 후퇴될 수 있다.
단계(304)에서, 상기 방법은 교체가능한 부품을 저장 챔버로 반송하는 단계를 구비한다. 교체가능한 부품(165)을 저장 챔버(250)로 반송하는 것은, 사용된 교체가능한 부품(165)을 반송 위치(162) 내의 지지 칼럼(160) 상에 위치시키기 위해 워크피스 핸들링 로봇(150)을 이용하는 것을 구비할 수 있다. 예를 들어, 사용된 교체가능한 부품(165)은 적층 배열로 지지 칼럼(160)에 위치된 선반(161)들 중 하나에 배치될 수 있다. 그 후, 워크피스 핸들링 로봇(190)은 지지 칼럼(160)으로부터 선반(161)으로부터 사용된 교체가능한 부품(165)을 제거할 수 있고 교체가능한 부품(165)을 저장 챔버(250)에 반송할 수 있다. 워크피스 핸들링 로봇(190)은 저장 챔버(250) 내에 위치된 선반들 중 하나에 사용된 교체가능한 부품(165)을 배치할 수 있다.
단계(306)에서, 상기 방법은 저장 챔버로부터 새로운 교체가능한 부품을 제거하는 단계를 구비한다. 워크피스 핸들링 로봇(190)은 저장 챔버(250) 내의 선반들 중 하나로부터 새로운 교체가능한 부품(165)을 제거하고, 적층 배열로 반송 위치(162)에서 지지 칼럼(160) 내의 선반(161)들 중 하나에 새로운 교체가능한 부품을 배치할 수 있다.
단계(308)에서, 상기 방법은 새로운 교체가능한 부품을 처리 스테이션으로 반송하는 단계를 구비한다. 일단 새로운 교체가능한 부품(165)이 지지 칼럼(160) 내의 선반(161) 중 하나에 위치되면, 워크피스 핸들링 로봇(150)은 새로운 교체가능한 부품(165)을 제거하기 위해 지지 칼럼(160)에 액세스할 수 있다. 그 후, 워크피스 핸들링 로봇(150)은 로봇 아암 모션 패턴에 따라 나란한 처리 스테이션 중 하나의 처리 스테이션 내에 새로운 교체가능한 부품을 배치하는데 이용될 수 있다. 예를 들어, 워크피스 핸들링 로봇(150)은 로봇 아암 모션 패턴에 따라 반송 챔버(115)로부터 프로세스 챔버(120)로 그리고 처리 스테이션(122) 내로 새로운 교체가능한 부품(165)을 갖는 엔드 이펙터(500)를 이동시킬 수 있다. 로봇 아암 모션 패턴은 제1 시간 주기 동안 엔드 이펙터(500)를 제1 방향으로 연장하고, 제2 시간 주기 동안 제1 방향에 측방향인 제2 방향으로 엔드 이펙터(500)를 연장하고, 제3 시간 주기 동안 엔드 이펙터(500)를 제1 방향 및 제2 방향과 상이한 제3 방향으로 연장하는 것을 구비할 수 있다. 일단 엔드 이펙터(500)가 처리 챔버(122) 내에 정확한 위치에 있게 되면, 새로운 교체가능한 부품(165)은 임의의 적절한 방식으로 처리 스테이션 내에 증착될 수 있다. 예를 들어, 일 실시예에서, 교체가능한 부품(165)(예를 들어, 포커스 링)은 상승된 위치에서 복수의 핀 상에 배치될 수 있다. 일단 핀들에 안전하게 배치되면, 핀들은 처리 스테이션(122) 내의 원하는 위치에 교체가능한 부품을 배치하도록 하강될 수 있어서, 추가적인 워크피스 처리가 달성될 수 있다.
일단 교체가능한 부품(165)이 처리 스테이션(122) 내에 배치되면, 엔드 이펙터는 로봇 아암 모션 패턴(280)을 통해 반송 챔버(115) 내로 다시 후퇴될 수 있다. 예를 들어, 엔드 이펙터(500)는 제3 시간 주기 동안 제1 방향 및 제2 방향과 상이한 제3 방향에 따라 후퇴되고, 제2 시간 주기 동안 제1 방향에 측방향인 제2 방향에 따라 후퇴되고, 엔드 이펙터(500)가 반송 챔버(115) 내에 위치될 때까지 제1 시간 주기 동안 제1 방향에 따라 후퇴될 수 있다.
일부 실시예에서, 워크피스 핸들링 로봇은 저장 위치로부터 테스트 워크피스를 제거할 수 있다. 테스트 워크피스는 처리 스테이션으로 반송될 수 있다. 테스트 워크피스를 이용하여 테스트 프로세스가 수행될 수 있다. 테스트 프로세스 동안 수집된 데이터 및/또는 테스트 워크피스의 특성은 교체가능한 부품의 적절한 배치를 결정하기 위해 모니터링될 수 있다.
유리하게, 방법(300)은 처리 시스템의 진공을 파괴하지 않고서 교체가능한 부품의 자동화된 교체를 허용하도록 수행될 수 있다. 또한, 방법(300)은 워크피스보다 더 큰 교체가능한 부품 및 워크피스를 반송할 수 있는 워크피스 핸들링 로봇을 이용하여 교체가능한 부품의 교체를 가능하게 한다. 또한, 로봇 아암 모션 패턴은 워크피스 핸들링 로봇의 엔드 이펙터가 나란한 처리 스테이션 중 하나에 진입하여 교체가능한 부품이 교체될 수 있게 한다.
도 8은 본 개시내용의 예시적인 관점들에 따른 일 예시적인 방법(400)의 흐름도를 도시한다. 방법(400)은 워크피스를 처리하기 위한 방법을 구비한다. 방법(400)은 예를 들어 도 2의 시스템을 참조하여 논의될 것이다. 방법(400)은 임의의 적절한 처리 장치에서 구현될 수 있다. 도 8은 예시 및 논의를 위해 특정 순서로 수행되는 단계들을 도시한다. 본 명세서에 제공된 개시내용을 사용하여 당해 기술분야에서 통상의 지식을 가진 자는 본 개시내용의 범위를 벗어나지 않고 다양한 방식으로 생략, 확장, 동시에 수행, 재배열, 및/또는 수정될 수 있다는 것을 이해할 것이다. 또한, 본 개시내용의 범위를 벗어나지 않고서 다양한 단계들(도시되지 않음)이 수행될 수 있다.
단계(402)에서, 상기 방법은 로드록 챔버 내의 워크피스 칼럼으로 복수의 워크피스를 반송하는 단계를 구비한다. 예를 들어, 복수의 워크피스들은 처리 시스템의 전방 단부로부터 로드록 챔버(114) 내의 워크피스 칼럼(110)으로 반송될 수 있다. 워크피스들은, 예를 들어 처리 시스템의 전방 단부와 연관된 하나 이상의 로봇들을 사용하여 워크피스 칼럼(110)으로 반송될 수 있다.
단계(404)에서, 상기 방법은 워크피스 칼럼으로부터 제1 프로세스 챔버 및/또는 제2 프로세스 챔버 내의 처리 스테이션들로 워크피스들을 반송하기 위해 워크피스 핸들링 로봇을 이용하는 단계를 구비한다. 예를 들어, 워크피스 핸들링 로봇(150)은 프로세스 챔버(120) 내의 처리 스테이션(122) 및 처리 스테이션(124) 각각에 2개의 워크피스를 반송할 수 있다.
단계(406)에서, 상기 방법은 제1 프로세스 챔버 및/또는 제2 프로세스 챔버 내의 복수의 워크피스들에 대해 제1 처리 프로세스를 수행하는 단계를 구비한다. 제1 처리 프로세스는, 예를 들어 어닐링 프로세스, 열처리 프로세스, 표면 처리 프로세스, 건식 스트립 프로세스, 건식 에칭 프로세스, 증착 프로세스 또는 다른 프로세스를 구비할 수 있다.
단계(408)에서, 상기 방법은 워크피스 핸들링 로봇으로 복수의 워크피스들을 반송 위치로 반송하는 단계를 구비할 수 있다. 워크피스 핸들링 로봇(150)은 프로세스 챔버(120) 내의 처리 스테이션(122) 및 처리 스테이션(124) 각각으로 2개의 워크피스를 반송할 수 있다. 일부 실시예에서, 워크피스 핸들링 로봇(150)은 워크피스들을 반송 위치(162)에 위치된 워크피스 칼럼(160)으로 반송할 수 있다.
단계(410)에서, 상기 방법은 반송 챔버 내에 배치된 제2 워크피스 핸들링 로봇(190)을 이용하여, 반송 위치로부터 제3 프로세스 챔버 및/또는 제4 프로세스 챔버 내의 적어도 2개의 처리 스테이션으로 복수의 워크피스를 전달하는 단계를 구비할 수 있다. 제3 프로세스 챔버는 제1 프로세스 챔버와 선형 배열로 배치될 수 있고, 제4 프로세스 챔버는 제2 프로세스 챔버와 선형 배열로 배치될 수 있다. 예를 들어, 워크피스 핸들링 로봇(190)은 반송 위치(162) 내의 워크피스 칼럼(160)으로부터 처리 챔버(170) 내의 처리 스테이션(172) 및 처리 스테이션(174) 각각으로 2개의 워크피스를 반송할 수 있다.
단계(412)에서, 상기 방법은 제3 프로세스 챔버 및/또는 제4 프로세스 챔버에서 복수의 워크피스들에 대해 제2 처리 프로세스를 수행하는 단계를 구비할 수 있다. 제3 처리 프로세스는, 예를 들어 어닐링 프로세스, 열처리 프로세스, 표면 처리 프로세스, 건식 스트립 프로세스, 건식 에칭 프로세스, 증착 프로세스 또는 다른 프로세스를 구비할 수 있다.
단계(414)에서, 상기 방법은 워크피스 핸들링 로봇(190)에 의해 복수의 워크피스를 반송 위치로 다시 반송하는 단계를 구비할 수 있다. 예를 들어, 워크피스 핸들링 로봇(190)은 프로세스 챔버(170) 및/또는 프로세스 챔버(180)로부터 반송 위치(162)에 위치된 워크피스 칼럼(160)으로 워크피스들을 반송할 수 있다.
단계(416)에서, 상기 방법은 처리된 워크피스들을 로드록 챔버 내의 워크피스 칼럼으로 다시 반송하는 단계를 구비할 수 있다. 예를 들어, 워크피스 핸들링 로봇(150)은 제1 프로세스 챔버(120) 및/또는 제2 프로세스 챔버(130)로부터 2개의 워크피스들을 반송할 수 있다. 일부 실시예에서, 워크피스 핸들링 로봇(150)은 반송 위치(162)로부터 로드록 챔버 내의 워크피스 칼럼으로 2개의 워크피스들을 반송할 수 있다. 그 후, 처리 시스템의 전방 단부에 위치된 하나 이상의 로봇은, 예를 들어 카세트로 처리된 워크피스로 반송될 수 있다.
도시된 바와 같이, 단계(404)-(416)은 처리를 위해 요구되는 워크피스의 수에 따라 반복될 수 있다. 원하는 개수의 워크피스들이 처리되거나 또는 다른 트리거 조건이 발생한 후에, 상기 방법은 처리 스테이션들에서 교체가능한 부품들(418)을 교체하는 단계를 구비할 수 있다. 예를 들어, 포커스 링과 같은 교체가능한 부품은 특정 수의 프로세싱 처리에 노출된 후에 교체될 필요가 있을 수 있다. 교체가능한 부품(418)을 대체하는 것은 본 명세서에 제공된 방법(300)에 의해 달성될 수 있다. 따라서, 본 시스템 및 방법은 진공 또는 시스템의 프로세스 압력을 변경하지 않고서 워크피스의 자동화된 공정 및 교체 부품의 자동화된 교체를 가능하게 한다.
이제 도 9-12b를 참조하면, 엔드 이펙터의 예시적인 실시예들이 본 개시내용의 예시적인 실시예들에 따라 도시된다. 보다 구체적으로, 도 9는 상술된 시스템 내에서 사용하기 위한 예시적인 엔드 이펙터의 사시도를 도시한다. 도 10a-10b는 예시적인 워크피스 및 포커스 링을 지지하기 위한 도 9의 엔드 이펙터 상의 지지 요소들의 제1 구성을 도시한다. 또한, 도 11a-11b는 예시적인 워크피스 및 포커스 링을 지지하기 위한 도 9의 엔드 이펙터 상의 지지 요소들의 제2 구성을 도시한다. 또한, 도 12a-12b는 예시적인 워크피스 및 포커스 링을 지지하기 위한 도 9의 엔드 이펙터 상의 지지 요소들의 제3 구성의 부분 사시도를 도시한다.
도 9에 도시된 바와 같이, 시스템(100, 200)을 참조하여 상술된 엔드 이펙터(500)는 근위 단부(504)와 원위 단부(506) 사이에서, 그리고 수직방향(V1)을 따라 상부면(500US)과 하부면(500LS) 사이에서 종축(502)을 따라 연장될 수 있다. 엔드 이펙터(500)는 종축(50)에 대해 대체로 대칭적이다. 엔드 이펙터(500)는 아암 부분(508)과 스패튤러 부분(510)을 구비한다. 아암 부분(508)은 종축(502)을 따라 제1 아암 단부(512)와 제2 아암 단부(514) 사이에서 대체로 연장되고, 제1 아암 단부(512)는 근위 단부(504)에 있거나 인접한다. 유사하게, 스패튤러 부분(510)은 제1 스패튤러 단부(516)와 제2 스패튤러 단부(518) 사이에서 연장된다. 제1 스패튤러 단부(516)는 제2 스패튤러 단부(518)에 있거나 인접하고, 제2 스패튤러 단부(518)는 원위 단부(506)에 있거나 인접한다. 엔드 이펙터(500)는 그 아암 부분(508)에 의해 로봇(예를 들어, 워크피스 핸들링 로봇(150, 190))에 부착되거나 또는 달리 작동가능하게 구성되어, 스패튤러 부분(510)이 상승된 워크피스 또는 교체가능한 부품(예를 들어, 포커스 링) 아래로 안내될 수 있다.
일반적으로, 엔드 이펙터(500)는 워크피스들 및 교체가능한 부품들을 개별적으로 지지하도록 구성될 수 있으며, 여기서 워크피스들은 교체가능한 부품들보다 상이한 직경을 갖는다. 예를 들어, 도 10a, 11a 및 12a에 도시된 바와 같이, 엔드 이펙터(500)는 직경(163D)을 갖는 워크피스(163)와, 내경(165ID) 및 외경(1650D)을 갖는 포커스 링(165)을 지지하도록 구성될 수 있다. 일부 실시예에서, 워크피스(163)의 직경(163D)은 포커스 링(165)의 외경(1650D)보다 작다. 워크피스의 직경(163D)은 포커스 링(165)의 내경(165ID)보다 더 클 수 있다. 엔드 이펙터(500)에 의해 개별적으로 이동될 때 워크피스(163) 및 교체가능한 부품을 안전하게 유지하기 위해, 하나 이상의 지지 패드 또는 요소들이 엔드 이펙터(500)의 상부면 상에 제공될 수 있다.
도 10a 및 10b에 도시된 실시예와 같은 일 실시예에서, 사용되는 포커스 링으로부터 교차 오염을 방지하기 위해 워크피스 및 포커스 링을 위한 별도의 지지 요소를 갖는 것이 바람직하다. 예를 들어, 제1 지지 요소(SE1)는 워크피스(163)를 지지하도록 제공되고, 제2 지지 요소(SE2)는 포커스 링(165)을 지지하도록 제공된다. 제1 지지 요소(SE1) 중 적어도 하나는 아암 부분(508) 상에 위치되고, 제1 지지 요소(SE1) 중 적어도 다른 하나는 스패튤러 부분(510) 상에 위치된다. 유사하게, 제2 지지 요소(SE2) 중 적어도 하나는 아암 부분(508) 상에 위치되고, 제2 지지 요소(SE2) 중 적어도 다른 하나는 스패튤러 부분(510) 상에 위치된다. 일 실시예에서, 2개의 분리된 제1 지지 요소(SE1)가 아암 부분(508) 상에 그리고 스패튤러 부분(510) 상에 제공되고, 여기서 지지 요소(SE1)는 유사하거나 또는 동일한 형상이다. 또한, 2개의 분리된 제2 지지 요소(SE2)가 스패튤러 부분(510) 상에 제공되고, 하나의 긴 제2 지지 요소(SE2)가 아암 부분(508) 상에 제공된다. 그러나, 지지 요소(SE1, SE2)의 임의의 적절한 수 및 형상은 아암 부분(508) 상에 대신에 제공될 수 있다. 예를 들어, 1개, 3개 이상의 제1 지지 요소(SE1) 또는 2개 이상의 제2 지지 요소(SE2)가 아암 부분(508) 상에 제공될 수 있다. 또한, 아암 부분(508) 상의 제1 지지 요소(들)(SE1)는 도 10a에 도시된 제2 지지 요소(SE2)와 같은 긴 형상을 대신에 가질 수 있다. 부가적으로, 아암 부분(508) 상의 제2 지지 요소(들)(SE2)는 스패튤러 부분(510) 상의 제2 지지 요소(들)(SE2)와 동일한 형상을 대신에 가질 수 있다.
지지 요소(SE1, SE2)는, 제1 지지 요소(SE1)가 단지 워크피스를 지지할 수 있고 제2 지지 요소(SE2)가 단지 포커스 링을 지지할 수 있도록 이격된다. 예를 들어, 도 10b에서, 제1 지지 요소(SE1)는 종축(502)을 따라 거리(D1)만큼 이격되고, 제2 지지 요소(SE2)는 종축(502)을 따라 거리(D2)만큼 이격되고, 아암 부분(508) 상의 지지 요소(SE1, SE2) 및 스패튤러 부분(510) 상의 지지 요소(SE1, SE2)는 각각 제3 거리(D3)만큼 이격된다. 그러나, 일부 실시예에서, 아암 부분(508) 상의 지지 요소(SE1, SE2)는 스패튤러 부분(510) 상의 지지 요소(SE1, SE2)보다 상이한 거리만큼 대신에 이격될 수 있다. 거리(Dl, D2, D3)는, 워크피스가 제1 지지 요소(SE1) 상에 지지될 때, 워크피스가 제2 지지 요소(SE2)와 접촉하지 않도록 선택된다. 유사하게, 포커스 링이 제2 지지 요소(SE2) 상에 지지될 때, 포커스 링은 제1 지지 요소(SE1)와 접촉하지 않는다.
일부 실시예에서, 스패튤러 부분(510) 상의 제2 지지 요소(SE2)는 스패튤러 부분(510) 상의 제1 지지 요소(SE1)보다 엔드 이펙터(500)의 원위 단부(506)에 더 가깝게 위치된다. 유사하게, 일 실시예에서, 아암 부분(508)상의 제2 지지 요소(SE2)는 아암 부분(508) 상의 제1 지지 요소(SE1)보다 엔드 이펙터(500)의 근위 단부(504)에 더 가깝게 위치된다.
또한, 일부 실시예에서, 스패튤러 부분(510) 상의 제1 지지 요소(SE1)는 스패튤러 부분(510) 상의 제2 지지 요소(SE2)보다 종축(502)으로부터 더 멀리 위치된다. 예를 들어, 스패튤러 부분(510) 상의 제1 지지 요소(SE1)는 축(502)에 대체로 수직인 방향으로 제1 거리(LI)만큼 축(502)으로부터 이격되고, 스패튤러 부분(510) 상의 제2 지지 요소(SE2)는 축(502)에 대체로 수직인 방향으로 제2 거리(L2)만큼 축(502)으로부터 이격되고, 여기서 제1 거리(LI)는 제2 거리(L2)보다 크다.
도 11a 및 11b에 도시된 실시예와 같은 다른 실시예에서, 사용된 포커스 링들과 워크피스들 사이의 교차-오염의 위험은 상대적으로 낮아서, 하나 이상의 지지 요소들은 워크피스들 및 포커스 링들 모두를 지지하도록 구성될 수 있다. 예를 들어, 스패튤러 부분(510) 상의 제1 지지 요소(SE1) 및 제2 지지 요소(SE2)에 추가하여, 공통 또는 공유 지지 요소(CSE1)가 아암 부분(508) 상에 제공된다. 공유 지지 요소(CSE1)는 제1 지지 요소(SE1)와 함께 워크피스(163)을 지지하고, 제2 지지 요소(SE2)와 함께 포커스 링(165)을 지지하도록 구성된다.
도 10b와 유사하게, 도 11b에서, 제1 및 제2 지지 요소(SE1, SE2)는 제1 지지 요소(SE1)와 공유 지지 요소(CSE1)의 제1 접촉 영역(CA1)이 워크피스만을 지지할 수 있고, 제2 지지 요소(SE2) 및 공유 지지 요소(CSE1)의 제2 접촉 영역(CA2)이 포커스 링만을 지지할 수 있도록 이격된다. 예를 들어, 제1 지지 요소(SE1) 및 제1 접촉 영역(CA1)은 종축(502)을 따라 거리(D1)만큼 이격되고, 제2 지지 요소(SE2)및 제2 접촉 영역(CA2)은 종축(502)을 따라 거리(D2)만큼 이격되고, 아암 부분(508) 상의 지지 요소(SE1, SE2) 및 스패튤러 부분(510) 상의 접촉 영역(CA1, CA2)은 각각 제3 거리(D3)만큼 이격된다. 그러나, 일부 실시예에서, 아암 부분(508) 상의 접촉 영역들(CA1, CA2)은 스패튤러 부분(510) 상의 지지 요소들(SE1,SE2)와는 상이한 거리에 의해 대신에 이격될 수 있다. 거리(Dl, D2, D3)는, 워크피스가 제1 지지 요소(SE1) 및 제1 접촉 영역(CA1)상에 지지될 때, 워크피스가 제2 지지 요소(SE2) 또는 제2 접촉 영역(CA2)에 접촉하지 않도록 선택된다. 유사하게, 포커스 링이 제2 지지 요소(SE2) 및 제2 접촉 영역(CA2) 상에 지지될 때, 포커스 링은 제1 지지 요소(SE1) 또는 제1 접촉 영역(CA1)과 접촉하지 않는다. 이와 같이, 워크피스(163)를 지지하는 제1 접촉 영역(CA1)은 포커스 링(165)을 지지하는 제2 접촉 영역(CA2)과 분리되거나 중첩되지 않는다.
위에서 설명된 바와 같이, 일부 실시예에서, 스패튤러 부분(510) 상의 제2 지지 요소(SE2)는 스패튤러 부분(510) 상의 제1 지지 요소(SE1)보다 엔드 이펙터(500)의 원위 단부(506)에 더 가깝게 위치된다. 유사하게, 일 실시예에서, 제2 접촉 영역(CA2)은 아암 부분(508) 상의 공유 지지 요소(CSE1)의 제1 접촉 영역(CA1)보다 엔드 이펙터(500)의 근위 단부(504)에 더 가깝게 위치된다.
또한, 일부 실시예에서, 스패튤러 부분(510) 상의 제1 지지 요소(SE1)는 스패튤러 부분(510) 상의 제2 지지 요소(SE2)보다 종축(502)으로부터 더 멀리 위치된다. 예를 들어, 스패튤러 부분(510) 상의 제1 지지 요소(SE1)는 축(502)에 대체로 수직인 방향으로 제1 거리(LI)만큼 축(502)으로부터 이격되고, 스패튤러 부분(510) 상의 제2 지지 요소(SE2)는 축(502)에 대체로 수직인 방향으로 제2 거리(L2)만큼 축(502)으로부터 이격되고, 여기서 제1 거리(LI)는 제2 거리(L2)보다 크다.
대안적으로, 도 12a 및 12b에 도시된 실시예와 같은 다른 실시예와 같은 일부 실시예에서, 제1 및 제2 접촉 영역(CA1, CA2)은 적어도 부분적으로 중첩한다. 예를 들어, 도 12a에 도시된 바와 같이, 엔드 이펙터(500) 상에 개별적으로 지지되는 워크피스 및 포커스 링은 공유 지지 요소(CSE1) 상의 공통 접촉 영역(CCA)을 가로질러 지지되도록 구성된다. 예를 들어, 도 12b에 도시된 바와 같이, 제1 지지 요소(SE1) 및 공통 접촉 영역(CCA)은 종축(502)을 따라 거리(D1)만큼 이격되고, 제2 지지 요소(SE2) 및 공통 접촉 영역(CCA)은 종축(502)을 따라 거리(D2)만큼 이격되고, 아암 부분(508)상의 지지 요소(SE1, SE2)는 제3 거리(D3')만큼 이격된다. 거리(Dl, D2, D3')는, 워크피스가 제1 지지 요소(SE1) 상에 지지될 때 또는 포커스 링이 제2 지지 요소(SE2) 상에 지지될 때, 워크피스 및 포커스 링이 공통 접촉 영역(CCA)에 접촉하도록 선택된다. 이와 같이, 공유 지지 요소(CSE1)는 공통 접촉 영역(CCA)이 별개의 접촉 영역(예를 들어, 접촉 영역(CA1, CA2))이 사용되는 경우보다 허용가능할 때 더 작아질 수 있다.
도 12a 및 12b에 도시된 엔드 이펙터(500)의 실시예는 달리 도 11a 및 11b에 도시된 엔드 이펙터(500)의 실시예와 동일하게 구성될 수 있다. 예를 들어, 위에서 설명된 바와 같이, 일부 실시예에서, 스패튤러 부분(510) 상의 제2 지지 요소(SE2)는 스패튤러 부분(510) 상의 제1 지지 요소(SE1)보다 엔드 이펙터(500)의 원위 단부(506)에 더 근접하게 위치된다. 또한, 일부 실시예에서, 스패튤러 부분(510) 상의 제1 지지 요소(SE1)는 스패튤러 부분(510) 상의 제2 지지 요소(SE2)보다 종축(502)으로부터 더 멀리 위치된다. 예를 들어, 스패튤러 부분(510) 상의 제1 지지 요소(SE1)는 축(502)에 대해 대체로 수직인 방향으로 제1 거리만큼 축(502)으로부터 이격될 수 있고, 스패튤러 부분(510) 상의 제2 지지 요소(SE2)는 축(502)에 대체로 수직인 방향으로 제2 거리만큼 축(502)으로부터 이격될 수 있으며, 여기서 제1 거리는 제2 거리보다 크다.
이제 도 13-17을 참조하면, 상술된 워크피스 처리 스테이션을 위한 조정 조립체의 예시적인 실시예가 도시된다. 특히, 도 13은 예시적인 처리 시스템의 포커스 링 조정 조립체를 도시한다. 도 14a는 하강 위치에서 포커스 링을 갖는 도 13에 도시된 조정 조립체의 측단면도를 도시한다. 유사하게, 도 14b는 상승된 위치에서 포커스 링을 갖는 도 13에 도시된 조정 조립체의 측단면도를 도시한다. 추가적으로, 도 15a는 도 13에 도시된 조정 조립체와 함께 사용하기 위한 포커스 링의 제1 실시예의 단면도를 도시하고, 도 15b는 도 13에 도시된 조정 조립체와 함께 사용하기 위한 포커스 링의 제2 실시예의 단면도를 도시한다. 또한, 도 16은 도 14a-14b에 도시된 조정 조립체의 핀 지지 플레이트의 상면도를 도시한다. 또한, 도 17은 본 개시내용의 예시적인 실시예들에 따른 도 14a-14b에 도시된 조정 조립체를 위한 작동 시스템의 개략도를 도시한다.
상술한 바와 같이, 워크피스 처리 시스템(예를 들어, 시스템(100, 200))은 프로세스 처리 단계(들) 동안 워크피스(예를 들어, 워크피스(113, 163))를 지지하도록 구성된 프로세스 챔버(예를 들어, 120, 130, 170, 180) 내에서 워크피스 지지체(들)(예를 들어, 스테이션(122, 124, 132, 134))를 구비한다. 도 13에 도시된 바와 같이, 포커스 링(165)은 워크피스 지지부(163) 상에 지지된 워크피스의 외주 또는 외경 주위에 위치된다. 예를 들어, 포커스 링(165)은 워크피스의 근방에서 플라즈마를 형성하도록 사용될 수 있다. 플라즈마 처리 챔버에서 플라즈마 프로세싱 동안, 포커스 링(165)은 플라즈마에 노출될 수 있고, 이로써 증착 및 침식에 노출된다. 그 결과, 포커스 링(165)은 예방적인 유지보수의 일부로서 플라즈마 처리 챔버에서 주기적으로 교체될 필요가 있을 수 있다. 포커스 링(165)이 프로세스 챔버로부터 제거를 위해 쉽게 액세스가능하지 않은 작업 또는 처리 위치와, 하나 이상의 상승된 위치 사이에서 이동될 수 있게 하는 포커스 링 조정 조립체(600)가 제공된다. 상승된 위치 중 적어도 하나에서, 포커스 링은 프로세스 챔버로부터 제거하기 위해 보다 용이하게 액세스할 수 있다.
포커스 링 조정 조립체(600)는 포커스 링을 지지하기 위한 복수의 핀을 구비한다. 예를 들어, 도 14a 및 14b에 도시된 바와 같이, 포커스 링(165)은 핀(602)(그 중 하나만이 도시됨)에 의해 지지된다. 각각의 핀(602)은 근위 단부(602P)와 원위 단부(602D) 사이에서 연장되며, 원위 단부(602D)는 포커스 링(165)과 접촉하도록 구성된다. 아래에서 더 상세히 설명되는 바와 같이, 핀(602)은, 핀(602) 상의 링(165)의 측방향 이동이 적어도 부분적으로 방지되거나 감소될 수 있도록 포커스 링(165)의 일부(예를 들어, 홈)를 선택적으로 접촉하도록 구성될 수 있다. 조립체(600)는 포커스 링(165)을 처리 위치로부터 상승 위치로 상승시키거나 또는 포커스 링(165)을 처리 위치로 하강시키기 위해 핀(602)을 상승 또는 하강시키는데 사용될 수 있는 리프팅 기구를 더 구비한다. 프로세싱 위치에서, 핀(602)은 포커스 링과 더 이상 접촉하지 않을 수 있고, 포커스 링(165)은 페디스털(예를 들어, 페디스털 내의 스텝 구조체)에 의해 지지될 수 있다. 아래에서 더 상세히 설명되는 바와 같이, 조립체(600)는 핀 지지 플레이트(606) 내에 슬라이딩가능하게 수용되는 플로팅 커플링(604)을 더 구비하며, 여기서 각각의 핀(602)의 근위 단부(602P)는 플로팅 커플링(604) 중 각각의 하나에 결합되고, 핀 지지 플레이트(606)는 핀(602)을 상승 또는 하강시키도록 이동가능하다.
일 실시예에서, 도 15a에 도시된 바와 같이, 포커스 링(165A)은 단차형 단면 프로파일을 갖는다. 보다 구체적으로, 포커스 링(165A)은 수직방향(V1)을 따라 상측부(165US)와 하측부(165LS) 사이에서 연장되고, 하측부(165LS)은 제1 표면 부분(P1), 제2 표면 부분(P2), 및 제1 표면 부분(PI)과 제2 표면 부분(P2) 사이의 전이 부분(T1)을 갖는다. 제1 표면 부분(P1)은 제2 표면 부분(P2) 위에 수직으로 있다. 일부 실시예에서, 제1 표면 부분(P1)은 제2 표면 부분(P2)의 반경방향 외측에 있다. 핀(602)의 원위 단부(602D)는 제1 표면 부분(P1)(예를 들어, 제1 표면 부분(P1) 내의 하나 이상의 홈)에 선택적으로 접촉하도록 구성되어, 포커스 링(165A)이 측방향으로 미끄러지고 핀(602)으로부터 적어도 부분적으로 안착되지 않는 것이 방지된다. 또한, 제1 표면 부분(P1)은 핀(602)의 원위 단부(602D)가 제1 표면 부분(P1)(예를 들어, 제1 표면 부분(P1) 내의 하나 이상의 홈 또는 슬롯)과 완전히 접촉하도록 대체로 평면이다. 일부 실시예에서, 포커스 링은 핀(들)(60)을 수용하기 위해 3개의 후면 방사 슬롯들을 갖는다. 이러한 구성은 포커스 링(165A)의 위치를 고정할 수 있어, 페디스털에 대한 포커스 링의 정확한 센터링을 허용하며, 또한 측방향 이동을 방지할 수 있다. 후면 방사 슬롯은 또한 핀(602)에 의해 지지되는 동안 포커스 링의 열팽창을 허용할 수 있다. 일부 실시예에서, 포커스 링은 후면 환형 홈을 구비할 수 있다. 후면 환형 홈은 포커스 링의 후면 표면 주위에서 환형으로 연장된다. 후면 환형 홈은 외경 및 내경을 포함할 수 있다. 핀(들)(602)은 외경과 접촉하도록 구성될 수 있다. 포커스 링의 열 팽창 동안, 핀(들)(602)은 외경에 더 이상 접촉하지 않을 수 있지만, 포커스 링의 열 팽창을 수용하기 위해 내부 직경을 향하는 방향으로 홈 내에서 반경방향으로 미끄러질 수 있다.
일부 실시예에서, 도 15b에 도시된 바와 같이, 포커스 링(165B)은 홈형 단면 프로파일을 갖는다. 보다 구체적으로, 포커스 링(165B)은 수직방향(V1)을 따라 상측부(165US')과 하측부(165LS') 사이에서 그리고 반경방향을 따라 내부면(165IS')과 외부면(1650S') 사이에서 연장되며, 홈(G1)은 내부면(165IS')과 외부면(1650S')으로부터 이격되도록 하측부(165LS') 내로 함몰된다. 홈(G1)은 포커스 링(165B) 주위에 환형으로 연장되는 환형 홈일 수 있다. 핀(602)의 원위 단부(602D)는 홈(Gl)의 적어도 일부와 선택적으로 접촉하도록 구성된다. 홈(G1)은 하측부(165LS')로부터 제1 거리(VD1)를 연장하는 제1 홈 부분 및 하측부(165LS')으로부터 제2 거리(VD2)를 연장하는 제2 홈 부분을 형성한다. 제2 거리(VD2)는 수직방향(V1)을 따라 상측부(165US')과 하측부(165LS') 사이에 형성된 포커스 링(165B)의 두께보다 작다. 제1 표면 부분(P1')은 하측부(165LS')로부터 제1 거리(VD1)에 위치되고, 제2 표면 부분(P2')은 하측부(165LS')로부터 제2 거리(VD2)에 위치되고, 제1 전이 부분(T1')은 제1 표면 부분(P1')과 하측부(165LS') 사이에서 연장되고, 제2 전이 부분(T2')는 제1 및 제2 표면 부분(P1', P2') 사이에서 연장된다. 제2 표면 부분(P2')은 제1 표면부(P1') 위에 수직이다. 핀(602)의 원위 단부(602D)는 제2 표면 부분(P2') 또는 제2 전이 부분(T2') 중 적어도 하나와 선택적으로 접촉하도록 구성된다. 제2 표면 부분(P2')은 핀(602)의 원위 단부(602D)가 제2 표면 부분(P2)과 완전히 접촉할 수 있도록 대체로 평면이다. 일부 실시예에서, 핀(602)은 근위 단부(602P)와 원위 단부(602D) 사이에서 연장되는 본체부(MB) 및 원위 단부(602D)로부터 오프셋된 거리(OH1)에서 본체부(MB)로부터 외측으로 연장되는 플랜지부(FP)를 갖는다. 플랜지부(FP)는 핀(60)의 본체부(MB)의 직경(602D1)보다 큰 직경(602D2)을 갖는다. 플랜지부(FP)는 제1 표면 부분(P1') 또는 제1 전이 부분(T1') 중 적어도 하나와 접촉하도록 구성된다. 이로써, 포커스 링(165B)은 측방향으로 미끄러지고 핀(602)으로부터 적어도 부분적으로 안착되지 않는 것이 방지된다.
추가적으로 또는 대안적으로, 일부 실시예에서, 포커스 링(165B)의 홈(G1)의 형상, 핀(들)(602)의 형상, 또는 양자 모두는, 예를 들어 핀(들)(602)의 회전이 핀(들)(602)에 포커스 링(165B)을 보유 또는 고정하도록 구성된다. 예를 들어, 사전결정된 로킹 각도를 통한 핀(들)(602)의 회전은 포커스 링(165B)을 핀(들)(602)에 고정할 수 있다.
핀 지지 플레이트(606)의 상면도는 도 16에 도시되어 있다. 핀 지지 플레이트(606)는 핀 지지 플레이트(606)의 외주 둘레에 원주방향으로 이격된 복수의 플로팅 커플링 슬롯(608)을 갖는다. 슬롯(608)은 플레이트(606)의 외주부로부터 반경방향 외측으로 연장된다. 그러나, 일부 실시예에서, 슬롯(608)은 플레이트(606)의 내주부로부터 반경방향 내측으로 연장될 수 있다. 각각의 플로팅 커플링 슬롯(608)은 각각의 플로팅 커플링(604)을 수용하도록 구성된다. 예를 들어, 각각의 플로팅 커플링 슬롯(608)은 플로팅 커플링(604)의 외경(604D1)보다 큰 슬롯 폭(W1)을 갖지만, 플로팅 커플링(604)의 플랜지부의 외경(604D2)보다 작아서, 플로팅 커플링(604)의 외경(604D1)으로부터 외측으로 연장된다. 이로써, 플로팅 커플링(604)의 플랜지부는 플로팅 커플링 슬롯(608) 내에 설치될 때 플로팅 커플링 슬롯(608)의 상부면 상에 놓일 수 있다. 따라서, 이러한 플로팅 커플링 슬롯(608)은 핀(602)이 포커스 링(165) 또는 워크피스 지지부에 대해 x-축 및/또는 y-축을 따라 측방향으로 수평 평면에서 약간 이동할 수 있게 한다.
핀 지지 플레이트(606)는 하강 위치와 하나 이상의 상승 위치 사이에서 작동되도록 구성되어 포커스 링(165)이 처리 위치와 하나 이상의 상승 위치 사이에서 각각 이동된다. 예를 들어, 도 14a에 도시된 바와 같이, 핀 지지 플레이트(606)는 프로세스 챔버 내에 고정된 메인 지지 포스트(620) 및 메인 지지 포스트(620)에 고정된 지지 링(622)에 대해 그 하강 위치에 있다. 핀 지지 플레이트(606)의 이러한 하강 위치에서, 플로팅 커플링(604)에 의해 핀 지지 플레이트(606) 상에 지지되는 핀(602)은, 포커스 링(165)이 그 프로세싱 위치에 있고 워크피스 지지부에 의해 지지되도록 그 후퇴된 위치에 있다. 일부 실시예에서, 핀(602)은 핀 지지 플레이트(606)에 의해 이동가능하여, 핀(602)이 그 후퇴 위치에 있을 때 포커스 링(165)에 접촉하지 않는다. 그러나, 다른 실시예에서, 핀(602)은 그 후퇴 위치에 있을 때 포커스 링(165)과 접촉하여 유지될 수 있다.
핀 지지 플레이트(606)는 아래에서 더 상세하게 설명되는 바와 같이, 메인 지지 포스트(620) 및 지지 링(622)에 대해 도 14b에 도시된 상승 위치로 이동할 수 있다. 핀 지지 플레이트(606)는 그 상승 위치에서 수직방향을 따라 그 하강 위치보다 수직으로 더 높다. 핀 지지 플레이트(606)가 이러한 상승 위치로 이동될 때, 플로팅 커플링(604)에 의해 핀 지지 플레이트(606) 상에 지지된 핀(602)은 수직방향을 따라 그 연장된 위치로 이동되어, 포커스 링(165)이 워크피스 지지부 위의 상승 위치로 이동된다. 포커스 링(165)은 핀(602)이 그 후퇴 위치에 있을 때보다도 그 연장 위치에 있을 때 수직방향(V1)을 따라 수직으로 더 높게 위치된다. 일단 상승된 위치에서, 엔드 이펙터(예를 들어, 엔드 이펙터(500))는 하나 이상의 핀(602)으로부터 챔버 외부로 포커스 링(165)을 들어올리기 위해 포커스 링(165) 아래에 용이하게 배치될 수 있다.
도 17에 도시된 바와 같이, 조립체(600)는 핀 지지 플레이트(606)를 이동시키기 위한 플레이트 액추에이터(624)를 더 구비한다. 플레이트 액추에이터(624)는 프로세스 챔버의 외부에 위치되어 진공 밀봉된다. 보다 구체적으로, 플레이트 액추에이터(624)는 프로세스 챔버의 외부 벽(EXT1)에 결합되는 진공 밀봉 하우징(626)과, 프로세스 챔버의 외부 벽(EXT1)을 통해 진공 밀봉 하우징(626) 내에서 연장되는 연결 샤프트(628)를 갖는다. 연결 샤프트(628)는 핀 지지 플레이트(606)를 지지하고 액추에이터 기구(632)에 의해 외부 벽(EXT1)에 대해 이동가능하다. 액추에이터 기구(632)는 하강 위치에 있는 핀 지지 플레이트(606)와 연관된 수직방향을 따른 제1 위치와, 상승 위치에 있는 핀 지지 플레이트(606)와 연관된 수직방향을 따른 제2 위치와, 그리고/또는 하나 이상의 상이한 수직 위치 사이에서 연결 샤프트(628)를 이동시키도록 구성된다. 액추에이터 기구(632)는 제1 위치와 제2 위치 사이에서 연결 샤프트(628)를 이동시키기 위한 임의의 적절한 액추에이터로서 구성될 수 있다. 예를 들어, 일부 실시예에서, 액추에이터 기구(632)는 선형 액추에이터, 회전 액추에이터 등으로 구성된다. 액추에이터 기구(632)를 프로세스 챔버 외부에 위치시킴으로써, 기구(632)는 프로세스 챔버의 진공에 영향을 미칠 필요 없이 서비스 또는 대체될 수 있다.
포커스 링(165)은 워크피스 지지부에 대해 특정 방위각 배향을 갖는 챔버 내에 설치되도록 구성될 수 있다. 전형적으로, 포커스 링(165)은 프로세스 챔버 내의 설치를 위해 저장 챔버로부터 제거될 때 적절한 방위각 배향을 갖도록 저장 챔버(예를 들어, 저장 챔버(250)) 내에 위치된다. 그러나, 일부 실시예에서, 포커스 링(165)의 방위각 위치를 더 조정하는 것이 바람직하다. 이러한 실시예에서, 포커스 링(165)을 이동시키기 위한 저장 챔버 및/또는 엔드 이펙터는 포커스 링(165)의 방위각 위치를 조정하기 위한 하나 이상의 특징부를 구비할 수 있다.
이제 도 18을 참조하면, 본 개시내용의 예시적인 실시예들에 따라 플라즈마 처리 장치(700)가 제공된다. 플라즈마 처리 장치(700)는 수직방향(V) 및 횡방향(L)을 형성하는 처리 챔버(701)를 구비할 수 있다. 플라즈마 처리 장치(700)는 처리 챔버(701)의 내부 공간(702) 내에 배치된 페디스털(704)을 구비할 수 있다. 페디스털(704)은 내부 공간(702) 내에서 반도체 웨이퍼와 같은 기판(706)을 지지하도록 구성될 수 있다. 유전체 윈도우(710)는 페디스털(704) 위에 위치되고 내부 공간(702)의 천장으로서 작용한다. 유전체 윈도우(710)는 중앙부(712) 및 각진 주변부(714)를 구비한다. 유전체 윈도우(710)는 샤워 헤드(720)를 위한 중앙부(712) 내의 공간을 구비하여 프로세스 가스를 내부 공간(702)으로 공급한다.
일부 구현에서, 플라즈마 처리 장치(700)는 내부 공간(702)에서 유도 플라즈마를 생성하기 위해, 1차 유도성 요소(730) 및 2차 유도성 요소(740)와 같은 복수의 유도성 요소를 구비할 수 있다. 1차 유도성 요소(730) 및 2차 유도성 요소(740)는 각각 RF 전력을 공급할 때 처리 챔버(701)의 내부 공간(702) 내의 프로세스 가스에서 플라즈마를 유도하는 코일 또는 안테나 요소를 구비할 수 있다. 예를 들어, 제1 RF 발생기(760)는 매칭 네트워크(762)를 통해 1차 유도성 요소(730)에 전자기 에너지를 제공하도록 구성될 수 있다. 제2 RF 발생기(770)는 매칭 네트워크(772)를 통해 2차 유도성 요소(740)에 전자기 에너지를 제공하도록 구성될 수 있다.
본 개시내용이 1차 유도성 요소 및 2차 유도성 요소를 참조하여 설명하였지만, 당해 기술분야에서 통상의 지식을 가진 자는 편의상 1차 및 2차 유도성 요소를 사용한다는 것을 이해할 수 있을 것이다. 2차 코일은 1차 코일과 독립적으로 작동될 수 있다. 1차 코일은 2차 코일과 독립적으로 작동될 수 있다. 또한, 일부 실시예에서, 플라즈마 처리 장치는 단일의 유도 결합 요소만을 가질 수 있다.
일부 구현에서, 플라즈마 처리 장치(700)는 2차 유도성 요소(740) 주위에 배치된 금속 쉴드(752)를 구비할 수 있다. 이러한 방식으로, 금속 쉴드(752)는 1차 유도성 요소(730)와 2차 유도성 요소(740) 사이의 크로스-토크(cross-talk)를 감소시키기 위해 1차 유도성 요소(730) 및 2차 유도성 요소(740)를 분리한다.
일부 구현에서, 플라즈마 처리 장치(700)는 1차 유도성 요소(730)와 2차 유도성 요소(740) 사이에 배치된 제1 패러데이 쉴드(754)를 구비할 수 있다. 제1 패러데이 쉴드(754)는 1차 유도성 요소(730)와 프로세스 챔버(701) 사이의 용량성 결합을 감소시키는 슬롯형 금속 쉴드일 수 있다. 예시된 바와 같이, 제1 패러데이 쉴드(754)는 유전체 윈도우(7106)의 각진 부분 위에 끼워질 수 있다.
일부 구현에서, 금속 쉴드(752) 및 제1 패러데이 쉴드(754)는 제조 및 다른 목적들을 위해 단일 바디(750)를 형성할 수 있다. 1차 유도성 요소(730)의 멀티-턴 코일은 단일 바디(7500)의 제1 패러데이 쉴드(754)에 인접하게 위치될 수 있다. 2차 유도성 요소(740)는 금속 쉴드(752)와 유전체 윈도우(710) 사이와 같이, 단일 바디(750)의 금속 쉴드(752) 근방에 위치될 수 있다.
금속 쉴드(752)의 대향 측부 상의 1차 유도성 요소(130) 및 2차 유도성 요소(140)의 배열은 1차 유도성 요소(730) 및 2차 유도성 요소(740)가 별개의 구조적 구성들을 가지며 상이한 기능들을 수행하도록 한다. 예를 들어, 1차 유도성 요소(730)는 프로세스 챔버(701)의 주변부에 인접하여 위치된 멀티-턴 코일을 구비할 수 있다. 1차 유도성 요소(730)는 본래 과도 점화 단계 동안 기본적인 플라즈마 발생 및 신뢰성 있는 시작을 위해 사용될 수 있다. 1차 유도성 요소(730)는 강력한 RF 발생기 및 고가의 자동 튜닝 매칭 네트워크에 연결될 수 있고, 약 13.56MHz 에서와 같이 증가된 RF 주파수에서 동작될 수 있다. 본 명세서에서 사용되는 바와 같이, 용어"약" 은 언급된 수치 값의 20% 내의 값들의 범위를 지칭한다.
일부 구현에서, 2차 유도성 요소(740)는 교정 및 지지 기능들을 위해 그리고 정상 상태 동작 동안 플라즈마의 안정성을 개선하기 위해 사용될 수 있다. 또한, 2차 유도성 요소(740)가 주로 교정 및 지지 기능을 위해 사용될 수 있고, 정상 상태 동작 동안 플라즈마의 안정성을 향상시킬 수 있기 때문에, 2차 유도성 요소(740)는 1차 유도성 요소(730)로서 RF 발생기와 결합되어야 할 필요는 없으며, 따라서 이전의 설계와 관련된 어려움을 극복하기 위해 상이하게 그리고 비용을 효과적으로 설계할 수 있다. 아래에서 상세히 논의되는 바와 같이, 2차 유도성 요소(740)는 또한 약 2 MHz와 같은 더 낮은 주파수에서 동작될 수 있어, 2차 유도성 요소(740)가 매우 컴팩트하게 되고 유전체 윈도우의 상부 상의 제한된 공간에 맞춰질 수 있다.
일부 구현에서, 1차 유도성 요소(730) 및 2차 유도성 요소(740)는 상이한 주파수들에서 동작될 수 있다. 주파수는 1차 유도성 요소(730)와 2차 유도성 요소(740) 사이의 플라즈마에서 크로스-토크를 감소시키기 위해 충분히 상이할 수 있다. 예를 들어, 1차 유도성 요소(730)에 인가되는 주파수는 2차 유도성 요소(740)에 인가되는 주파수보다 적어도 약 1.5배 더 클 수 있다. 일부 실시예에서, 1차 유도성 요소(730)에 인가되는 주파수는 약 13.56MHz일 수 있고, 2차 유도성 요소(740)에 인가되는 주파수는 약 1.75MHz 내지 약 2.15MHz의 범위일 수 있다. 또한, 약 400kHz, 약 4MHz, 및 약 27MHz와 같은 다른 적절한 주파수들이 사용될 수 있다. 본 개시내용은 2차 유도성 요소(740)에 대해 더 높은 주파수에서 동작하는 1차 유도성 요소(730)를 참조하여 논의되지만, 본 명세서에 제공된 개시내용을 이용하는 당업자는 2차 유도성 요소(740)가 본 개시내용의 범위를 벗어나지 않고서 더 높은 주파수에서 동작될 수 있다는 것을 이해해야 한다.
일부 구현에서, 2차 유도성 요소(740)는 평면 코일(742) 및 자속 집중기(744)를 구비할 수 있다. 자속 집중기(744)는 페라이트 재료로 제조될 수 있다. 적절한 코일을 갖는 자속 집중기의 사용은 2차 유도성 요소(740)의 높은 플라즈마 결합 및 양호한 에너지 전달 효율을 제공할 수 있고, 금속 쉴드(752)로의 결합을 상당히 감소시킬 수 있다. 2차 유도성 요소(740) 상의 약 2MHz와 같은 더 낮은 주파수의 사용은 스킨층을 증가시킬 수 있으며, 이는 또한 플라즈마 가열 효율을 개선한다.
일부 구현에서, 1차 유도성 요소(730) 및 2차 유도성 요소(740)는 상이한 기능들을 수행할 수 있다. 예를 들어, 1차 유도성 요소(730)는 점화 동안 플라즈마 발생의 기본 기능을 수행하며 2차 유도성 요소(7400)에 충분한 프라이밍을 제공하는데 사용될 수 있다. 1차 유도성 요소(730)는 플라즈마 전위를 안정화시키기 위해 플라즈마 및 접지된 쉴드에 대한 결합을 가질 수 있다. 1차 유도성 요소(730)와 연관된 제1 패러데이 쉴드(754)는 윈도우 스퍼터링을 방지하며, 접지된 쉴드에 커플링을 공급하는데 사용될 수 있다.
부가적인 코일은 1차 유도성 요소(730)에 의해 제공된 양호한 플라즈마 프라이밍의 존재 하에서 작동될 수 있으며, 바람직하게 플라즈마 결합 및 플라즈마에 대한 양호한 에너지 전달 효율을 갖는다. 자속 집중기(744)를 구비하는 2차 유도성 요소(740)는 플라즈마 용적에 대한 자속의 양호한 전달을 제공하고, 동시에 주변 금속 쉴드(752)로부터 2차 유도성 요소(740)의 양호한 분리를 제공한다. 2차 유도성 요소(740)의 대칭 구동 및 자속 집중기(744)는 코일 단부들과 주변 접지 소자들 사이의 전압의 진폭을 더 감소시킨다. 이는 돔의 스퍼터링을 감소시킬 수 있지만, 동시에 점화를 보조하기 위해 사용될 수 있는 플라즈마에 일부 작은 용량성 결합을 제공한다. 일부 구현에서, 제2 패러데이 쉴드는 2차 유도성 요소(740)의 용량성 결합을 감소시키기 위해 이러한 2차 유도성 요소(740)와 조합하여 사용될 수 있다.
일부 구현에서, 플라즈마 처리 장치(700)는 처리 챔버(701) 내에 배치된 무선 주파수(RF) 바이어스 전극(760)을 구비할 수 있다. 플라즈마 처리 장치(700)는 접지면(770)이 수직방향(V)을 따라 RF 바이어스 전극(760)으로부터 이격되도록 처리 챔버(701) 내에 배치된 접지면(770)을 더 구비할 수 있다. 도시된 바와 같이, RF 바이어스 전극(760) 및 접지면(770)은 일부 구현에서 페디스털(704) 내에 배치될 수 있다.
일부 구현에서, RF 바이어스 전극(760)은 적절한 매칭 네트워크(782)를 통해 RF 전력 발생기(780)에 결합될 수 있다. RF 전력 발생기(780)가 RF 에너지를 RF 바이어스 전극(760)에 제공할 때, 플라즈마는 기판(706)에 대한 직접적인 노출을 위해 처리 챔버(701) 내의 혼합물로부터 생성될 수 있다. 일부 구현에서, RF 바이어스 전극(760)은 측방향(L)을 따라 RF 바이어스 전극(760)의 제1 단부(764)와 RF 바이어스 전극(760)의 제2 단부(766) 사이에서 연장되는 RF 존(762)을 형성할 수 있다. 예를 들어, 일부 구현에서, RF 존(762)은 측방향(L)을 따라 RF 바이어스 전극(760)의 제1 단부(764)로부터 RF 바이어스 전극(760)의 제2 단부(766)까지 이어질 수 있다. RF 존(762)은 수직방향(V)을 따라 RF 바이어스 전극(760)과 유전체 윈도우(710) 사이에서 추가로 연장될 수 있다.
측방향(L)을 따르는 접지면(770)의 길이는 측방향(L)을 따르는 RF 바이어스 전극(760)의 길이보다 길다는 것이 이해되어야 한다. 이러한 방식으로, 접지면(770)은 RF 바이어스 전극(760)에 의해 기판(706)을 향해 RF 에너지를 지향할 수 있다.
이제 도 19 및 20을 참조하면, 본 개시내용의 예시적인 실시예들에 따라 플라즈마 처리 장치(700)(도 18)의 포커스 링(790)을 위한 포커스 링 조정 조립체(800)가 제공된다. 도시된 바와 같이, 포커스 링 조정 조립체(800)는 적어도 제1 위치(도 19)와 제2 위치(도 20) 사이에서 포커스 링(790)을 이동시키기 위해 수직방향(V)을 따라 이동가능한 리프트 핀(810)을 구비하여 수직방향(V)을 따라 포커스 링(790)과 페디스털(704) 사이의 거리를 조정할 수 있다. 예를 들어, 포커스 링(790)은 포커스 링(790)이 제1 위치(도 19)에 있을 때 포커스 링이 제1 거리(D1)(예를 들어, 포커스 링이 페디스털(704) 상에 지지되도록 0 또는 0에 매우 근접한)만큼 페디스털(704)로부터 이격될 수 있다. 또한, 포커스 링(790)이 제2 위치(도 20)에 있을 때, 포커스 링(790)은 제2 거리(D2)만큼 페디스털(704)로부터 이격될 수 있다. 도시된 바와 같이, 제2 거리(D2)는 제1 거리(Dl)와 상이할 수 있다. 특히, 제2 거리(D2)는 제1 거리(Dl)보다 클 수 있다. 이러한 방식으로, 포커스 링 조정 조립체(800), 특히 그 핀(810)은 포커스 링(790)을 제1 위치(도 19)로부터 제2 위치(도 20)로 이동하여, 예를 들어 도 9 내지 12b를 참조하여 상술된 엔드 이펙터를 사용하여 처리 챔버(701)로부터 포커스 링(790)의 제거를 용이하게 할 수 있다.
도시된 바와 같이, 리프트 핀(810)은 RF 바이어스 전극(760c)에 의해 형성된 RF 존(762)의 외부에 위치될 수 있다. 또한, 리프트 핀(810)은 접지면(770)을 관통할 수 있다. 예를 들어, 일부 구현에서, 리프트 핀(810)은 접지면(770)에 의해 형성된 개구를 통해 연장될 수 있다. RF 존(762)의 외부에 리프트 핀(810)을 위치시키고, 추가적으로 리프트 핀(810)이 접지면(770)을 관통하게 하는 것은 플라즈마 프로세스 동안 RF 전력 발생기(780)로부터 RF 바이어스 전극(760)으로 RF 전력(예를 들어, 바이어스 전력)을 적용하는 것과 연관된 아크 위험을 감소시킬 수 있다는 것이 이해되어야 한다. 또한, 리프트 핀(810)과 포커스 링(790) 사이의 간섭(예를 들어, 전기적 및 기계적)이 감소될 수 있다.
일부 구현에서, 포커스 링 조정 조립체(800)는 적어도 제1 위치(도 19)와 제2 위치(도 20) 사이의 포커스 링(790)의 이동을 용이하게 하기 위해 리프트 핀(810)을 수직방향(V)을 따라 이동시키도록 구성된 액추에이터(820)를 구비할 수 있다. 도시된 바와 같이, 액추에이터(820)는 처리 챔버(701)의 외부에 위치될 수 있다. 또한, 포커스 링 조정 조립체(800)는 수직방향(V)을 중심으로 리프트 핀(810)을 회전시키도록 구성된 제2 액추에이터(802)를 구비할 수 있다. 도시된 바와 같이, 제2 액추에이터(822)는 처리 챔버(701)의 외부에 위치될 수 있다.
본 요지가 그 특정 예시적인 실시예와 관련하여 상세하게 설명되었지만, 본 기술분야의 숙련자는 이러한 실시예들에 대한 변경들, 변형들 및 등가물의 변형들을 용이하게 생성할 수 있다는 것을 이해할 것이다. 따라서, 본 개시내용의 범위는 제한이 아닌 예일 뿐이며, 본 개시내용은 당해 기술분야에서 통상의 지식을 가진 자에게 명백한 바와 같이, 본 개시내용의 요지에 대한 그러한 수정, 변형 및/또는 추가를 포함하지 않는다는 것을 배제하지 않는다.

Claims (37)

  1. 워크피스를 처리하기 위한 플라즈마 처리 장치에 있어서,
    수직방향 및 측방향을 형성하는 처리 챔버;
    상기 처리 챔버 내에 배치되고, 상기 워크피스를 지지하도록 구성된 페디스털;
    상기 페디스털 내에 배치된 무선 주파수(RF) 바이어스 전극으로서, 상기 RF 바이어스 전극은 상기 RF 바이어스 전극의 제1 단부와 상기 RF 바이어스 전극의 제2 단부 사이에서 상기 측방향을 따라 연장되고, 상기 RF 바이어스 전극은 상기 RF 바이어스 전극의 제1 단부와 상기 RF 바이어스 전극의 제2 단부 사이에서 상기 측방향을 따라 연장되는 RF 존을 형성하는, 상기 RF 바이어스 전극; 및
    상기 RF 존의 외부에 위치된 리프트 핀을 포함하는 포커스 링 조정 조립체로서, 상기 리프트 핀은 상기 수직방향을 따라 상기 페디스털 및 상기 포커스 링 사이의 거리를 조정하기 위해 적어도 제1 위치와 제2 위치 사이에서 포커스 링을 이동시키도록 상기 수직방향을 따라 이동 가능한, 상기 포커스 링 조정 조립체
    를 포함하는,
    플라즈마 처리 장치.
  2. 제1항에 있어서,
    상기 RF 존은 상기 RF 바이어스 전극의 제1 단부로부터 상기 RF 바이어스 전극의 상기 제2 단부로 상기 측방향을 따라 연장되는,
    플라즈마 처리 장치.
  3. 제1항에 있어서,
    상기 수직방향을 따라 상기 RF 바이어스 전극과 이격되어 있는 접지면을 포함하고, 상기 접지면은 상기 접지면의 제1 단부와 상기 접지면의 제2 단부 사이에서 상기 측방향을 따라 연장되는,
    플라즈마 처리 장치.
  4. 제3항에 있어서,
    상기 측방향을 따른 상기 접지면의 길이는 상기 측방향을 따른 상기 RF 바이어스 전극의 길이보다 큰,
    플라즈마 처리 장치.
  5. 제3항에 있어서,
    상기 리프트 핀은 상기 접지면을 관통하는,
    플라즈마 처리 장치.
  6. 제3항에 있어서,
    상기 RF 바이어스 전극 및 상기 접지면은 상기 페디스털 내에 배치되는,
    플라즈마 처리 장치.
  7. 제1항에 있어서,
    상기 RF 존은 상기 RF 바이어스 전극과 상기 플라즈마 처리 장치의 유전체 윈도우 사이에서 상기 수직방향을 따라 연장되는,
    플라즈마 처리 장치.
  8. 제1항에 있어서,
    상기 포커스 링이 제1 위치에 있을 때, 상기 포커스 링은 제1 거리만큼 상기 수직방향을 따라 상기 페디스털로부터 이격되고,
    상기 포커스 링이 제2 위치에 있을 때, 상기 포커스 링은 상기 제1 거리보다 큰 제2 거리만큼 상기 수직방향을 따라 상기 페디스털로부터 이격되는,
    플라즈마 처리 장치.
  9. 제8항에 있어서,
    상기 포커스 링 조정 조립체는 적어도 상기 제1 위치와 상기 제2 위치 사이에서 상기 포커스 링을 이동시키도록 상기 수직방향을 따라 상기 리프트 핀을 이동시키도록 구성된 액추에이터를 더 포함하는,
    플라즈마 처리 장치.
  10. 제9항에 있어서,
    상기 포커스 링 조정 조립체는 상기 리프트 핀을 상기 수직방향으로 회전시키도록 구성된 제2 액추에이터를 포함하는,
    플라즈마 처리 장치.
  11. 워크피스를 처리하기 위한 시스템 내의 워크피스 및 교체가능한 부품을 이동시키기 위한 엔드 이펙터로서, 상기 엔드 이펙터는 축 방향을 따라 근위 단부와 원위 단부 사이에서 연장되는, 상기 엔드 이펙터에 있어서,
    상기 축 방향을 따라 제1 아암 단부와 제2 아암 단부 사이에서 연장되는 아암 부분으로서, 상기 제1 아암 단부는 상기 엔드 이펙터의 근위 단부에 있는, 상기 아암 부분;
    상기 축 방향을 따라 제1 스패튤러 단부와 제2 스패튤러 단부 사이에서 연장되는 스패튤러 부분(spatula portion)으로서, 상기 제1 스패튤러 단부는 상기 제2 아암 단부에 인접하고, 상기 제2 스패튤러 단부는 상기 엔드 이펙터의 원위 단부에 있는, 상기 스패튤러 부분;
    상기 스패튤러 부분의 상부면으로부터 외측으로 연장되는 제1 지지 부재;
    상기 스패튤러 부분의 상부면으로부터 외측으로 연장되는 제2 지지 부재; 및
    상기 아암 부분의 상부면으로부터 외측으로 연장되는 공유 지지 부재(shared support member)
    를 포함하고,
    상기 공유 지지 부재 및 상기 제1 지지 부재는 함께 제1 직경의 워크피스를 지지하도록 함께 구성되고, 상기 공유 지지 부재 및 상기 제2 지지 부재는 제2 직경의 교체가능한 부품을 지지하도록 함께 구성되는,
    엔드 이펙터.
  12. 제11항에 있어서,
    상기 제1 직경은 상기 제2 직경보다 작은,
    엔드 이펙터.
  13. 제11항에 있어서,
    상기 제2 지지 부재는 상기 제1 지지 부재보다 상기 근위 단부에 더 가까운,
    엔드 이펙터.
  14. 제11항에 있어서,
    상기 제1 및 제2 지지 부재는 상기 제1 지지 부재 상에 지지된 워크피스에 대한 상기 공유 지지 부재 상의 제1 접촉 영역이 상기 제2 지지 부재 상에 지지된 교체가능한 부품에 대한 상기 공유 지지 부재 상의 제2 접촉 영역과 분리되도록 종방향을 따라 이격되는,
    엔드 이펙터.
  15. 제14항에 있어서,
    상기 제2 접촉 영역은 상기 제1 접촉 영역보다 상기 근위 단부에 더 가까운,
    엔드 이펙터.
  16. 제11항에 있어서,
    상기 제1 및 제2 지지 부재는, 상기 제1 지지 부재 상에 지지된 워크피스에 대한 상기 공유 지지 부재 상의 제1 접촉 영역 및 상기 제2 지지 부재 상에 지지된 교체가능한 부품에 대한 상기 공유 지지 부재 상의 제2 접촉 영역이 적어도 부분적으로 중첩하도록 종방향을 따라 이격되는,
    엔드 이펙터.
  17. 워크피스를 처리하기 위한 시스템에 있어서,
    대기압에서 유지되도록 구성된 전방 단부;
    상기 전방 단부와 진공 부분 사이에 배치된 로드록 챔버;
    상기 진공 부분 내에 배치되고, 2개 이상의 처리 스테이션을 포함하는 하나 이상의 프로세스 챔버;
    상기 진공 부분 내에 배치된 적어도 하나의 반송 챔버; 및
    상기 적어도 하나의 반송 챔버에 결합된 하나 이상의 교체가능한 부품을 저장하도록 구성된 저장 챔버;
    상기 적어도 하나의 반송 챔버 내에 배치된 하나 이상의 워크피스 핸들링 로봇으로서, 상기 하나 이상의 워크피스 핸들링 로봇은 상기 저장 챔버와 상기 하나 이상의 프로세스 챔버 사이에서 상기 하나 이상의 교체가능한 부품을 이동시키도록 구성되고, 상기 하나 이상의 워크피스 핸들링 로봇은 교체가능한 부품을 지지하도록 구성된 엔드 이펙터를 포함하는, 상기 하나 이상의 워크피스 핸들링 로봇; 및
    상기 처리 스테이션에 액세스하기 위해 로봇 아암 모션 패턴에 따라 상기 엔드 이펙터의 모션을 제어하도록 구성된 제어기로서, 상기 로봇 아암 모션 패턴은 제1 시간 주기 동안 제1 방향으로 연장되고, 제2 시간 주기 동안 상기 제1 방향에 측방향인 제2 방향으로 연장되고, 제3 시간 주기 동안 상기 제1 방향 및 상기 제2 방향과 상이한 제3 방향으로 연장되는 것을 구비하는, 상기 제어기
    를 포함하는,
    시스템.
  18. 제17항에 있어서,
    상기 로봇 아암 모션 패턴은 동일한 패턴에 따라 상기 엔드 이펙터를 다시 후퇴시키는 것을 구비하는,
    시스템.
  19. 제17항에 있어서,
    상기 하나 이상의 프로세스 챔버는 상기 반송 챔버의 대향 측면 상에 배치된 제1 프로세스 챔버 및 제2 프로세스 챔버를 구비하는,
    시스템.
  20. 제17항에 있어서,
    상기 하나 이상의 프로세스 챔버는 상기 반송 챔버의 대향 측면 상에 배치된 제1 프로세스 챔버 및 제2 프로세스 챔버를 포함하고, 상기 하나 이상의 프로세스 챔버는 상기 제1 프로세스 챔버와 선형 배열로 배치된 제3 프로세스 챔버 및 상기 제2 프로세스 챔버와 선형 배열로 배치되는 제4 프로세스 챔버를 더 포함하여 상기 제3 프로세스 챔버 및 상기 제4 프로세스 챔버가 상기 반송 챔버의 대향 측면 상에 배치되고, 상기 제1 프로세스 챔버, 제2 프로세스 챔버, 제3 프로세스 챔버 및 제4 프로세스 챔버 각각은 적어도 2개의 처리 스테이션을 포함하고, 상기 반송 챔버는 워크피스 및 교체가능한 부품을 적층 배열로 지지하도록 구성된 반송 위치를 포함하는,
    시스템.
  21. 제20항에 있어서,
    상기 하나 이상의 워크피스 핸들링 로봇은 제1 워크피스 핸들링 로봇 및 제2 핸들링 로봇을 포함하고, 상기 제1 워크피스 핸들링 로봇은 워크피스 칼럼, 상기 제1 프로세스 챔버, 상기 제2 프로세스 챔버 및 상기 반송 위치로부터 워크피스 및 교체가능한 부품을 반송하도록 구성되고, 상기 제2 워크피스 핸들링 로봇은 상기 저장 챔버, 상기 제3 프로세스 챔버, 상기 제4 프로세스 챔버, 및 진공을 파괴하지 않고서 워크피스의 자동화된 처리 및 교체가능한 부품의 자동화된 교체를 위한 상기 반송 위치로부터 워크피스 및 교체가능한 부품을 반송하도록 구성되는,
    시스템.
  22. 제20항에 있어서,
    상기 적층 배열은 워크피스 및 교체가능한 부품 모두를 수용하도록 구성된 복수의 선반을 포함하고, 상기 교체가능한 부품은 상기 워크피스보다 더 큰 직경을 갖는,
    시스템.
  23. 제17항에 있어서,
    상기 프로세스 챔버는 다이렉트 플라즈마를 사용하여 플라즈마 에칭 프로세스를 수행하도록 구성되는,
    시스템.
  24. 제17항에 있어서,
    상기 2개 이상의 처리 스테이션은 나란한 배열(side-by-side arrangement)인,
    시스템.
  25. 제24항에 있어서,
    상기 2개 이상의 처리 스테이션은 상기 프로세스 챔버 내의 처리 동안 워크피스를 지지하기 위한 워크피스 지지부와 연관되고, 상기 워크피스 지지부는 베이스플레이트, 상기 워크피스를 지지하도록 구성된 정전 척 및 상기 정전 척에 대해 배치된 포커스 링을 구비하는 교체가능한 부품을 포함하는 페디스털 조립체를 구비하여 상기 워크피스가 상기 정전 척 상에 위치될 때 상기 포커스 링의 적어도 일부가 상기 워크피스의 주변부를 적어도 부분적으로 둘러싸는,
    시스템.
  26. 제17항에 있어서,
    상기 교체가능한 부품은 상기 워크피스보다 큰 직경을 갖는 포커스 링을 구비하는,
    시스템.
  27. 제17항에 있어서,
    상기 저장 챔버는 사용된 교체가능한 부품 및 새로운 교체가능한 부품 모두를 수용하도록 구성된 복수의 선반을 구비하는,
    시스템.
  28. 제27항에 있어서,
    상기 복수의 선반은 엘리베이터에 결합되어 상기 엘리베이터가 상기 저장 챔버 내에서 교체가능한 부품을 상하로 이동시키도록 구성되는,
    시스템.
  29. 제17항에 있어서,
    상기 저장 챔버는 상기 워크피스 로봇이 상기 저장 챔버 내의 교체가능한 부품에 액세스할 수 있게 하도록 구성된 하나 이상의 액세스 도어 및 대기 주변 환경으로부터 새로운 또는 사용된 교체가능한 부품의 교체를 허용하도록 구성된 하나 이상의 액세스 도어를 구비하는 진공가능한 저장 챔버인,
    시스템.
  30. 제17항에 있어서,
    상기 워크피스 핸들링 로봇은 씨저 모션(scissor motion)을 이용하여 상기 저장 챔버로부터 상기 프로세스 챔버 내의 적어도 2개의 처리 스테이션으로 하나 이상의 교체가능한 부품을 반송하도록 구성되는,
    시스템.
  31. 진공 하에서 워크피스를 처리하기 위한 시스템의 포커스 링 조정 조립체로서, 포커스 링은 상측부와 하측부 사이에서 수직방향을 따라 연장되고, 상기 하측부는 제1 표면 부분 및 제2 표면 부분을 가지며, 상기 제1 표면 부분은 상기 제2 표면 부분 위에 수직으로 있는, 상기 포커스 링 조정 조립체에 있어서,
    근위 단부와 원위 단부 사이에서 연장되는 핀으로서, 상기 원위 단부는 상기 포커스 링의 제1 표면 부분과 선택적으로 접촉하도록 구성되는, 상기 핀; 및
    연장 위치와 후퇴 위치 사이에서 상기 수직방향을 따라 상기 핀을 이동시키도록 작동가능한 액추에이터
    를 포함하고,
    상기 핀의 연장 위치는 상기 포커스 링의 제1 표면과 접촉하는 상기 핀의 원위 단부와 연관되고, 상기 포커스 링은 진공 프로세스 챔버로부터 워크피스 핸들링 로봇에 의한 제거를 위해 액세스가능한,
    포커스 링 조정 조립체.
  32. 제31항에 있어서,
    상기 포커스 링은 상기 핀이 후퇴 위치에 있을 때에 대해 상기 핀이 상기 연장 위치에 있을 때 상기 수직방향을 따라 수직으로 더 높게 위치되는,
    포커스 링 조정 조립체.
  33. 제31항에 있어서,
    상기 포커스 링은 후방 환형 홈을 포함하는,
    포커스 링 조정 조립체.
  34. 제31항에 있어서,
    상기 핀을 선택적으로 회전시키도록 구성된 회전 액추에이터를 더 포함하고, 사전 정의된 로킹 각도를 통한 상기 핀의 회전은 상기 포커스 링을 상기 핀에 고정시키는,
    포커스 링 조정 조립체.
  35. 제31항에 있어서,
    상기 핀은 본체부 및 플랜지부를 가지며, 상기 본체부는 상기 근위 단부와 상기 원위 단부 사이에서 연장되고, 상기 플랜지부는 상기 핀의 원위 단부로부터 이격되고 상기 본체부로부터 외측으로 연장되고,
    상기 플랜지부는, 상기 핀의 원위 단부가 상기 포커스 링의 제1 표면 부분과 접촉할 때, 상기 포커스 링의 제1 표면 부분과 제2 표면 부분 사이에 수직으로 위치된 전이 표면 부분과 접촉하도록 구성되는,
    포커스 링 조정 조립체.
  36. 제31항에 있어서,
    상기 진공 프로세스 챔버 내에 위치된 지지 플레이트;
    상기 핀의 근위 단부에 고정된 플로팅 커플링(floating coupling)으로서, 상기 플로팅 커플링은 상기 플로팅 커플링이 수평 방향으로 상기 지지 플레이트에 대해 이동 가능하도록 상기 지지 플레이트에 의해 슬라이딩 가능하게 지지되고,
    상기 액추에이터는 상기 지지 플레이트를 상승 위치와 하강 위치 사이에서 상기 수직방향을 따라 이동시켜서 상기 핀을 상기 연장 위치와 상기 후퇴 위치 사이에서 이동시키도록 구성되고, 상기 지지 플레이트의 상승 위치는 상기 핀의 연장된 위치와 연관되고, 상기 지지 플레이트의 하강 위치는 상기 핀의 후퇴 위치와 연관되는,
    포커스 링 조정 조립체.
  37. 제36항에 있어서,
    상기 액추에이터는 진공 밀봉되고, 상기 액추에이터는 상기 진공 프로세스 챔버의 외부에 위치되고, 상기 액추에이터는 상기 진공 프로세스 챔버의 외부 벽을 통해 연장되는 연결 샤프트를 통해 상기 지지 플레이트에 결합되는,
    포커스 링 조정 조립체.
KR1020217040749A 2019-05-14 2020-05-13 포커스 링 조정 조립체를 갖는 플라즈마 처리 장치 KR20210155813A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962847595P 2019-05-14 2019-05-14
US62/847,595 2019-05-14
PCT/US2020/032601 WO2020232074A1 (en) 2019-05-14 2020-05-13 Plasma processing apparatus having a focus ring adjustment assembly

Publications (1)

Publication Number Publication Date
KR20210155813A true KR20210155813A (ko) 2021-12-23

Family

ID=73228365

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217040749A KR20210155813A (ko) 2019-05-14 2020-05-13 포커스 링 조정 조립체를 갖는 플라즈마 처리 장치

Country Status (5)

Country Link
US (5) US11348767B2 (ko)
KR (1) KR20210155813A (ko)
CN (2) CN114743854A (ko)
TW (1) TW202109610A (ko)
WO (1) WO2020232074A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5690596B2 (ja) * 2011-01-07 2015-03-25 東京エレクトロン株式会社 フォーカスリング及び該フォーカスリングを備える基板処理装置
US11380564B2 (en) 2018-09-19 2022-07-05 Applied Materials, Inc. Processing system having a front opening unified pod (FOUP) load lock
US11189464B2 (en) * 2019-07-17 2021-11-30 Beijing E-town Semiconductor Technology Co., Ltd. Variable mode plasma chamber utilizing tunable plasma potential
JP2022034431A (ja) * 2020-08-18 2022-03-03 株式会社安川電機 アライメント装置、基板搬送システム、アライメント方法、及び基板搬送方法

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5275521A (en) * 1991-07-03 1994-01-04 Tokyo Electron Sagami Limited Wafer transfer device
US5376862A (en) 1993-01-28 1994-12-27 Applied Materials, Inc. Dual coaxial magnetic couplers for vacuum chamber robot assembly
US5909994A (en) 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US6224312B1 (en) 1996-11-18 2001-05-01 Applied Materials, Inc. Optimal trajectory robot motion
US6034000A (en) * 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
JP4025069B2 (ja) 2001-12-28 2007-12-19 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
JP2003203963A (ja) 2002-01-08 2003-07-18 Tokyo Electron Ltd 搬送機構、処理システム及び搬送方法
US20040027781A1 (en) 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US7641247B2 (en) 2002-12-17 2010-01-05 Applied Materials, Inc. End effector assembly for supporting a substrate
JP4283559B2 (ja) 2003-02-24 2009-06-24 東京エレクトロン株式会社 搬送装置及び真空処理装置並びに常圧搬送装置
JP2004288727A (ja) 2003-03-19 2004-10-14 Seiko Epson Corp Cmp装置、cmp研磨方法、半導体装置及びその製造方法
US7654596B2 (en) 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
EP1684951B1 (en) 2003-11-10 2014-05-07 Brooks Automation, Inc. System for handling workpieces in a vacuum-based semiconductor handling system
US20050205209A1 (en) 2004-03-18 2005-09-22 Aelan Mosden Replacing chamber components in a vacuum environment
US8668422B2 (en) 2004-08-17 2014-03-11 Mattson Technology, Inc. Low cost high throughput processing platform
KR20080004118A (ko) 2006-07-04 2008-01-09 피에스케이 주식회사 기판 처리 설비
US8419341B2 (en) 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
US9524896B2 (en) 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US20080105201A1 (en) 2006-11-03 2008-05-08 Applied Materials, Inc. Substrate support components having quartz contact tips
US20080175694A1 (en) 2007-01-19 2008-07-24 Dong-Seok Park Unit and method for transferring substrates and apparatus and method for treating substrates with the unit
JP5309157B2 (ja) * 2007-12-27 2013-10-09 ラム リサーチ コーポレーション プラズマ処理システムにおいてエンドエフェクタのアラインメントを校正するためのシステムおよび方法
CN101939834B (zh) * 2008-02-06 2012-08-08 爱发科股份有限公司 基板传送用机械手
US7754518B2 (en) * 2008-02-15 2010-07-13 Applied Materials, Inc. Millisecond annealing (DSA) edge protection
US8430620B1 (en) 2008-03-24 2013-04-30 Novellus Systems, Inc. Dedicated hot and cold end effectors for improved throughput
KR20110080811A (ko) 2010-01-07 2011-07-13 세메스 주식회사 정전척 유닛 및 이를 갖는 기판 처리 장치
JP5948026B2 (ja) * 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
US9202733B2 (en) 2011-11-07 2015-12-01 Persimmon Technologies Corporation Robot system with independent arms
US8953298B2 (en) 2011-11-30 2015-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Electrostatic chuck robotic system
WO2014143846A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc Multi-position batch load lock apparatus and systems and methods including same
JP2015076433A (ja) 2013-10-07 2015-04-20 東京エレクトロン株式会社 基板搬送方法
WO2015057959A1 (en) * 2013-10-18 2015-04-23 Brooks Automation, Inc. Processing apparatus
CN105575863B (zh) 2014-11-10 2019-02-22 中微半导体设备(上海)有限公司 等离子体处理装置、基片卸载装置及方法
KR20170014384A (ko) 2015-07-30 2017-02-08 삼성전자주식회사 건식 식각장치
US10854492B2 (en) 2015-08-18 2020-12-01 Lam Research Corporation Edge ring assembly for improving feature profile tilting at extreme edge of wafer
US10822698B2 (en) 2015-08-31 2020-11-03 Asm Ip Holding B.V. Substrate processing apparatus, recording medium, and method of processing substrates
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10062599B2 (en) * 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US9881821B2 (en) 2015-12-30 2018-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Control wafer making device and method for measuring and monitoring control wafer
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
JP6276317B2 (ja) 2016-03-31 2018-02-07 平田機工株式会社 ハンドユニットおよび移載方法
JP6635888B2 (ja) * 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
JP6670713B2 (ja) 2016-09-20 2020-03-25 東京エレクトロン株式会社 基板処理装置及び基板搬送方法
JP2019537253A (ja) * 2016-10-18 2019-12-19 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 被加工物を処理するためのシステムおよび方法
JP6726610B2 (ja) 2016-12-13 2020-07-22 東京エレクトロン株式会社 エッチング方法及び基板処理システム
US9757865B1 (en) 2017-03-03 2017-09-12 Well Thin Technology, Ltd. Carrier plate assembly for a wafer
US10623431B2 (en) 2017-05-15 2020-04-14 Forcepoint Llc Discerning psychological state from correlated user behavior and contextual information
JP7105666B2 (ja) 2018-09-26 2022-07-25 東京エレクトロン株式会社 プラズマ処理装置
US10964584B2 (en) * 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
US11211269B2 (en) * 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system

Also Published As

Publication number Publication date
CN112470249B (zh) 2022-05-27
US20200365381A1 (en) 2020-11-19
WO2020232074A1 (en) 2020-11-19
US20230091035A1 (en) 2023-03-23
US11508560B2 (en) 2022-11-22
US20200365377A1 (en) 2020-11-19
CN114743854A (zh) 2022-07-12
US11515127B2 (en) 2022-11-29
US11348767B2 (en) 2022-05-31
TW202109610A (zh) 2021-03-01
CN112470249A (zh) 2021-03-09
US20200361094A1 (en) 2020-11-19
US20200365405A1 (en) 2020-11-19

Similar Documents

Publication Publication Date Title
US11515127B2 (en) End effectors for moving workpieces and replaceable parts within a system for processing workpieces under vacuum
JP7383665B2 (ja) 正面開口式リングポッド
TWI784924B (zh) 在原位上自半導體處理模組移除並更換可消耗的零件之系統
KR102641354B1 (ko) 반도체 프로세스 모듈을 위한 인-시튜 장치
CN107068586B (zh) 使用连接的室自动更换消耗部件
CN107039307B (zh) 用连接等离子体处理系统的端部执行器自动更换消耗部件
KR102385717B1 (ko) 진공 처리 챔버 및 진공 처리된 플레이트형 기판의 제조방법
CN111653465A (zh) 具有晶片边缘等离子体壳层调谐能力的半导体等离子体处理设备
CN102117726A (zh) 等离子体处理装置的聚焦环及具有聚焦环的等离子体处理装置
KR20210154867A (ko) 자동화된 프로세스 모듈 링 포지셔닝 및 교체
KR20170080517A (ko) 쿼드 챔버 및 다수의 쿼드 챔버들을 갖는 플랫폼
JP2023509261A (ja) 開閉式ファラデーアセンブリを有するプラズマ処理システムおよび開閉式ファラデーアセンブリ
US20230142778A1 (en) Storage Cassette for Replaceable Parts for Plasma Processing Apparatus
CN102683258B (zh) 卡盘结构和使用卡盘结构处理半导体基板的装置
US20220238312A1 (en) Showerhead insert for uniformity tuning
WO2023146864A1 (en) Method and apparatus for radio frequency grid design in an esc to reduce film asymmetry
KR20240043799A (ko) 대칭적인 rf 리턴 경로를 제공하는 프로세스 모듈 챔버

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right