TWI784924B - 在原位上自半導體處理模組移除並更換可消耗的零件之系統 - Google Patents
在原位上自半導體處理模組移除並更換可消耗的零件之系統 Download PDFInfo
- Publication number
- TWI784924B TWI784924B TW105107511A TW105107511A TWI784924B TW I784924 B TWI784924 B TW I784924B TW 105107511 A TW105107511 A TW 105107511A TW 105107511 A TW105107511 A TW 105107511A TW I784924 B TWI784924 B TW I784924B
- Authority
- TW
- Taiwan
- Prior art keywords
- consumable
- robot
- cluster tool
- transfer module
- consumable parts
- Prior art date
Links
- 238000000034 method Methods 0.000 title abstract description 88
- 230000008569 process Effects 0.000 title abstract description 88
- 239000004065 semiconductor Substances 0.000 title description 92
- 238000011065 in-situ storage Methods 0.000 title 1
- 238000002955 isolation Methods 0.000 claims abstract description 71
- 238000012546 transfer Methods 0.000 claims abstract description 65
- 239000000872 buffer Substances 0.000 claims abstract description 45
- 238000012545 processing Methods 0.000 claims description 287
- 235000012431 wafers Nutrition 0.000 claims description 109
- 230000008859 change Effects 0.000 claims description 15
- 238000000926 separation method Methods 0.000 claims description 13
- 238000009434 installation Methods 0.000 claims description 7
- 230000005540 biological transmission Effects 0.000 claims description 3
- 230000007246 mechanism Effects 0.000 abstract description 58
- 238000010168 coupling process Methods 0.000 description 12
- 230000008878 coupling Effects 0.000 description 11
- 238000005859 coupling reaction Methods 0.000 description 11
- 238000000151 deposition Methods 0.000 description 10
- 230000008021 deposition Effects 0.000 description 10
- 238000005530 etching Methods 0.000 description 10
- 239000007789 gas Substances 0.000 description 9
- 238000010586 diagram Methods 0.000 description 8
- 150000002500 ions Chemical class 0.000 description 8
- 239000000758 substrate Substances 0.000 description 7
- 238000011109 contamination Methods 0.000 description 6
- 238000011112 process operation Methods 0.000 description 6
- 230000002411 adverse Effects 0.000 description 5
- 230000003750 conditioning effect Effects 0.000 description 5
- 239000002243 precursor Substances 0.000 description 5
- 230000004044 response Effects 0.000 description 5
- 239000000126 substance Substances 0.000 description 5
- 230000015572 biosynthetic process Effects 0.000 description 4
- 238000004140 cleaning Methods 0.000 description 4
- 238000013461 design Methods 0.000 description 4
- 238000005086 pumping Methods 0.000 description 4
- 239000000969 carrier Substances 0.000 description 3
- 238000012360 testing method Methods 0.000 description 3
- 238000000429 assembly Methods 0.000 description 2
- 230000000712 assembly Effects 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 238000004590 computer program Methods 0.000 description 2
- 238000001514 detection method Methods 0.000 description 2
- 238000010981 drying operation Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 238000010849 ion bombardment Methods 0.000 description 2
- 238000012423 maintenance Methods 0.000 description 2
- 239000000463 material Substances 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 238000007747 plating Methods 0.000 description 2
- 230000003213 activating effect Effects 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 230000001934 delay Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 230000003116 impacting effect Effects 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 238000003032 molecular docking Methods 0.000 description 1
- 230000037361 pathway Effects 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 238000012797 qualification Methods 0.000 description 1
- 231100000331 toxic Toxicity 0.000 description 1
- 230000002588 toxic effect Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67196—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B19/00—Programme-control systems
- G05B19/02—Programme-control systems electric
- G05B19/418—Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B19/00—Programme-control systems
- G05B19/02—Programme-control systems electric
- G05B19/418—Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
- G05B19/41875—Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67126—Apparatus for sealing, encapsulating, glassing, decapsulating or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67167—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67742—Mechanical parts of transfer devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/68—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68735—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68742—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B2219/00—Program-control systems
- G05B2219/30—Nc systems
- G05B2219/32—Operator till task planning
- G05B2219/32212—If parameter out of tolerance reject product
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B2219/00—Program-control systems
- G05B2219/30—Nc systems
- G05B2219/45—Nc applications
- G05B2219/45031—Manufacturing semiconductor wafers
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B2219/00—Program-control systems
- G05B2219/30—Nc systems
- G05B2219/50—Machine tool, machine tool null till machine tool work handling
- G05B2219/50291—Multi-tool, several tools
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02P—CLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
- Y02P90/00—Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
- Y02P90/02—Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
Landscapes
- Engineering & Computer Science (AREA)
- Manufacturing & Machinery (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- General Engineering & Computer Science (AREA)
- Quality & Reliability (AREA)
- Automation & Control Theory (AREA)
- Robotics (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Drying Of Semiconductors (AREA)
- Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
Abstract
叢集工具組件包含:真空傳送模組;處理模組,其具有連接到真空傳送模組的第一側。具有第一側及第二側之隔離閥,而隔離閥的第一側耦接到處理模組的第二側。更換站耦接到隔離閥的第二側。更換站包括交換處理器與零件緩衝器。零件緩衝器包括用以固持新的或舊的可消耗零件的複數個分離室。處理模組包括升降機制,其能夠將安裝在處理模組中的可消耗零件定位在抬升位置。抬升位置提供通路給該交換處理器,進而能夠將可消耗零件從處理模組中移除,並將之儲存在零件緩衝器的分離室中。更換站的交換處理器配置以將可消耗零件之更換品從零件緩衝器設置回處理模組中。升降機制經配置以接收由交換處理器所提供用以更換的可消耗零件並將可消耗零件降低至安裝位置。交換處理器與處理模組執行更換的同時,處理模組與更換站被維持在真空狀態下。
Description
本發明之實施例係關於用以製造半導體晶圓的叢集工具組件,而更具體而言,係關於能藉以將設置在叢集工具組件中處理模組內的可消耗零件移除並更換的叢集工具組件。
在製程中用以生產半導體晶圓的典型叢集工具組件包括一或多個處理模組,其中各處理模組被用來執行特定的製程操作,例如清潔操作、沉積、蝕刻操作、沖洗操作、乾燥操作等。為執行這些操作所使用的化學品及/或製程條件,對不斷在處理模組中暴露於嚴酷條件下的處理模組的若干硬體元件造成破壞。為確保這些受損的硬體元件不會使處理模組中其他的硬體元件暴露在嚴酷條件下,並且為確保半導體晶圓的品質,因而必須立即更換這些受損的硬體元件。舉例來說,在處理模組中設置在相鄰半導體晶圓之處的邊緣環,可能由於其位置且由於連續暴露到來自電漿(其產生在用於蝕刻操作的處理模組中)的離子轟擊而時常受損。為確保受損的邊緣環不會使下層的硬體元件(例如卡盤)暴露在嚴酷的製程條件下,因而必須立即更換該受損的邊緣環。可被更換的硬體元件,在本文中稱為可消耗零件。
目前更換受損可消耗零件的過程需要受過訓練的服務技術員去執行一連串的步驟。技術員需要將叢集工具組件離線;泵抽/排淨叢集工具組件,以避免暴露到有毒的殘餘物;開啟叢集工具組件;將受損的可消耗零件移除並
以新的可消耗零件來更換該受損的可消耗零件。一旦更換受損的零件後,技術員必須清潔叢集工具;將叢集工具組件泵抽至真空;並調節叢集工具組件以進行晶圓處理。在一些例子中,調節步驟可涉及透過下列動作來鑑定叢集工具組件:在半導體晶圓上進行測試過程;取得該半導體晶圓的截面;並分析該截面,以確保此製程操作之品質。更換受損的可消耗零件係非常複雜又耗時的過程,需要將叢集工具組件離線歷時一段相當長的時間,而因此對半導體製造商的利潤邊際產生影響。
此為本發明之實施例產生的背景。
本發明之實施例界定一叢集工具組件,其經設計以在毋須打破真空(亦即,將該叢集工具組件暴露到大氣狀態)之情況下,移除並更換該叢集工具組件中處理模組之受損硬體元件。可被更換的受損硬體元件,在本文中亦稱為可消耗零件。該叢集工具組件包括一或多個處理模組,其中各處理模組配置以執行半導體晶圓製程操作。因為處理模組中的可消耗零件暴露到化學品及製程條件,所以該可消耗零件受到破壞而必須被適時地更換。藉由將一更換站架設在該叢集工具組件上,可毋須開啟該叢集工具組件而更換受損的可消耗零件。該更換站與該處理模組耦接到一控制器,以在將該處理模組維持於真空狀態下的同時協調該更換站與該處理模組之間的通路,進而允許更換該可消耗零件。
為提供到達受損可消耗零件之便利通路,該處理模組可經設計成包括一升降機制。當銜接(engaged)時,該升降機制經配置以允許可消耗零件移動到抬升位置,而可在該叢集工具組件內使用的機器人,可用以接取被抬升的可消耗零件並將之從該處理模組中收回。提供更換的可消耗零件到該處理模組中,而該升降機制用來接收該可消耗零件並將之下降到該處理模組的位置上。
藉由設置該更換站來接取可消耗零件,使得為接取受損的可消耗零件而開啟該叢集工具組件至大氣狀態的需求被消除。在一些實施中,將該更換站維持在真空狀態下,藉此消除可消耗零件更換期間的汙染風險。如此一來,在更換受損可消耗零件之後調節該處理模組以使之回到主動操作狀態所需要的時間實質上縮短了。此外,在收回與更換可消耗零件期間,該機器人與該升降機制允許更換可消耗零件,而無不利地破壞該處理模組中任何硬體之風險。
本發明之實施例提供一叢集工具組件,其可用以在毋須將該叢集工具組件開啟到大氣狀態之情況下,從處理模組中移除可消耗零件並將之更換。該更換站減少下列風險:在可消耗零件之安裝與移除期間汙染該叢集工具組件並破壞該處理模組的硬體元件。因為未開啟該叢集工具組件,所以不需要排淨或泵抽該叢集工具組件。因此,大量地縮短調節並鑑定該叢集工具組件所需要的時間。
該更換站可設置在三個不同的位置上。在一位置上,可架設式(roll-up)更換站暫時地架設在叢集工具組件中的處理模組上,而能夠泵抽至真空並且直接從該處理模組收回可消耗零件。將新的可消耗零件直接放進該處理模組中。在此位置上,該更換站可包括一機器人以及用以固持舊的與新的可消耗零件的零件緩衝器。一隔離閥可存在該處理模組上。此形構受期望係因為僅有處理模組(而非整個叢集工具組件)必須被離線來進行此維修活動。
在第二位置上,將更換站永久地架設在真空傳送模組(VTM)上,並利用該VTM中的機器人從處理模組中移除可消耗零件並將之更換。在此位置上,該更換站不需要專用的機器人,而VTM機器人的端點作動器可操控以移動半導體晶圓與可消耗零件兩者。
在第三位置上,將更換站永久地架設在大氣傳送模組(ATM)上,並利用該ATM的機器人與真空傳送模組(VTM)的機器人從處理模組中移除可消
耗零件並將之更換。在此位置上,該更換站不需要專用的機器手臂,而VTM與ATM機器人端點作動器,以及設置在ATM與VTM之間的負載鎖室可操控半導體晶圓與可消耗零件兩者。
該處理模組包括可消耗零件的升降機制。該可消耗零件通常為環體,例如邊緣環。必須升降可消耗零件,使得機器人可接取該可消耗零件。在一實施例中,升降機制包括配備有升降銷的真空密封致動器。在另一實施例中,將致動器維持在真空狀態下。在正常操作中,升降銷保持收起,且不接觸可消耗零件。當可消耗零件需要被更換時,致動器升降銷伸出,並將可消耗零件抬起。機器人將端點作動器伸進處理模組中使端點作動器(例如附接到機器人的鏟狀零件)滑動到可消耗零件之下方。將可消耗零件定位於端點作動器上,然後致動器收回升降銷。可消耗零件被牽曳回更換站中。使用顛倒的次序將新的可消耗零件放進該處理模組中。
在一實施例中,揭露一叢集工具組件。該叢集工具組件包括真空傳送模組、處理膜組、及更換站。該處理模組包括第一側與第二側,其中該第一側連接到該真空傳送模組。隔離閥的第一側耦接到該處理模組的第二側。該更換站耦接到該隔離閥的第二側。該更換站包括交換處理器與零件緩衝器。該零件緩衝器包括用以固持新的或舊的可消耗零件的複數個分離室。該處理模組包括升降機制,其能夠將安裝在該處理模組中的可消耗零件定位在抬升位置。該抬升位置提供通路給該交換處理器,進而能夠將該可消耗零件從該處理模組中移除,並將之儲存在該零件緩衝器的分離室中。該交換處理器亦能夠將可消耗零件之更換品從該零件緩衝器安裝回該處理模組中。該升降機制經配置以接收由該交換處理器所提供用以更換的可消耗零件並將該可消耗零件降低至安裝位置。該交換處理器與該處理模組執行更換的同時,該處理模組與該更換站被維持在真空狀態下。
在另一實施例中,揭露一叢集工具組件。該叢集工具組件包括具有第一側與第二側的真空傳送模組。該真空傳送模組包括機器人。具有第一側及第二側的第一隔離閥包含於該叢集工具組件中。該第一隔離閥的第一側耦接至該真空傳送模組的第一側。處理模組耦接到該第一隔離閥的第二側。第二隔離閥耦接到該真空傳送模組的第二側。更換站耦接到該第二隔離閥的第二側。更換站包括零件緩衝器。該零件緩衝器包括用以固持新的或舊的可消耗零件的複數個分離室。該處理模組包括升降機制,其能夠將安裝在該處理模組中的可消耗零件移種到抬升位置。該抬升位置提供通路給該真空傳送模組的機器人,進而能夠將該可消耗零件從該處理模組中移除,並將之儲存在該零件緩衝器的分離室中。該真空傳送模組的機器人亦能夠將可消耗零件之更換品從該零件緩衝器移動回到該處理模組中。該升降機制經配置以接收由該機器人所提供用以更換的可消耗零件並將該可消耗零件降低至安裝位置。該機器人與該處理模組之升降機制執行更換的同時,該更換站、該真空傳送模組、及該處理模組被維持在真空狀態下。
從以下與隨附圖式一起考慮的詳細的實施方式,本發明之其他態樣將變得顯而易見,其中該等隨附圖式係針對本發明之原則而以舉例的方式描繪。
100:叢集工具組件
102:大氣傳送模組
104:真空傳送模組
108:更換站
110:負載鎖室
112:處理模組
114:處理模組
116:處理模組
118:處理模組
120:處理模組
150:半導體晶圓
202:升降銷
204:制動器
206:制動器驅動機
207:分離室
208:可消耗零件
210:真空空間
213:端點作動器
214:交換處理器
215:機器人
216:隔離閥
216’:隔離閥
217:門體
218:上電極
219:門體
220:控制器/控制模組
221:升降機制
223:真空狀態控制部
224:零件緩衝器
225:傳送邏輯
227:門體
229:門體
230:下電極
231:真空控制模組/真空控制
232:蓋環
233:泵浦
235:機器人
236:底部邊緣環
237:門體
238:軸套環
239:門體
240:基底環
602:閥
604:濾件加熱器
606:泵浦
608:其他裝置
610:壓力計
612:流量計
614:溫度感測器
616:其他感測器
618:顯示器
620:輸入裝置
透過參考與隨附圖式一起考慮之如下實施方式,可最佳地理解本發明。
圖1描繪本發明的一實施例中的叢集工具組件的簡化方塊圖,其包括架設在用以處理半導體晶圓的處理模組上的更換站。
圖2描繪本發明的替代實施例中的叢集工具組件的簡化方塊圖,其包括架設在叢集工具之真空傳送模組上的更換站。
圖3描繪本發明的替代實施例中的叢集工具組件的簡化方塊圖,其包括架設在叢集工具之大氣傳送模組上的更換站。
圖4描繪本發明的一實施例中的叢集工具組件之處理模組的一部份的簡化方塊圖,其包括用以提供到可消耗零件之通路的範例升降機制。
圖5A描繪本發明的一實施例中的處理模組的簡化方塊圖,其具有架設用以移動可消耗零件的更換站,其中升降機制處於分離(disengaged)模式中。
圖5B描繪本發明的一實施例中的處理模組的簡化方塊圖,其架設有更換站,其中升降機制處於銜接模式中。
圖5C描繪本發明的一實施例中的處理模組的簡化方塊圖,其中更換站架設在真空傳送模組上,而處理模組中的升降機制用以更換處理模組中的可消耗零件。
圖6根據一實施例描繪用以控制叢集工具之各種態樣的控制模組。
本發明之實施例界定用以處理半導體晶圓的叢集工具組件。該叢集工具組件包括用以處理半導體晶圓的處理模組。更換站架設在該叢集工具組件上。將該更換站維持在真空狀態下,藉以提供在無汙染處理模組或叢集工具組件之風險的情況下更換可消耗零件的必要製程條件。使用該更換站中專用的機器人、或可在該叢集工具組件內使用的機器人來從該處理模組中收回舊的可消耗零件,並用新的可消耗零件將之更換。
在一些實施例中,更換站可直接架設在該叢集工具組件的處理模組(其中有可消耗零件必須被更換)上。在此類實施例中,該更換站直接耦接至該處理模組。使用界定在該更換站中的機器人來收回可消耗零件並將之更換。
在一些其他的實施例中,更換站可直接架設在叢集工具組件中的真空傳送模組(VTM)上。該更換站經架設以維持該叢集工具組件的均勻性與對稱性。VTM用以將半導體晶圓移動進出處理模組的機器人,同樣用於將設置在處理模組中的可消耗零件收回並將之更換。
在一些其他的實施例中,更換站可直接架設在叢集工具組件中的大氣傳送模組上。在此類實施例中,大氣傳送模組的機器人與叢集工具組件之真空傳送模組的機器人一起運作,以從處理模組中接取可消耗零件並將之更換。該更換站經設計以架設在該叢集工具組件的不同模組上,並且能夠更換該消耗零件,但毋須為了接取可消耗零件而將該叢集工具組件開啟至大氣狀態下。
習知設計中的叢集工具組件,需要開啟叢集工具組件以接取處理模組中的可消耗零件並將之更換。要開啟叢集工具組件,需要將叢集工具組件離線,並將該叢集工具組件排淨至大氣狀態以允許進入處理模組中。一旦開啟叢集工具組件,受過訓練的技術員會手動地將可消耗零件從處理模組中移除並將之更換。一旦更換可消耗零件,叢集工具組件必須被加以調節,使得半導體晶圓可以被處理。因為半導體晶圓為有價值的產品,所以在調節該叢集工具組件時必須十分注意。此調節步驟需要清潔叢集工具組件;將叢集工具組件泵抽至真空;調節叢集工具組件;並透過測試運作來鑑定叢集工具組件。每一個步驟皆需要大量的時間與心力。除了每一步驟中為調節叢集工具組件所需之時間以外,當在叢集工具組件的調節期間之一或更多步驟中遇到問題時可能歷經額外的延時。一些在叢集工具組件的調節期間常遇到的問題,可包括在更換時未對準可消耗零件、當更換受損或舊的可消耗零件時對新的可消耗零件造成破壞、在收回或更換可消耗零件時對處理模組中的其他硬體元件造成破壞、叢集工具組件在泵抽後未達真空、叢集工具組件未達製程效能等。基於各個問題的
嚴重程度,而必須付出額外的時間與心力,這除了產生將叢集工具組件上線的延時之外,更直接對製造商的利潤邊際產生影響。
將更換站架設在叢集工具組件上並透過該更換站來接取可消耗零件,省下了大量的為維修叢集工具組件所需的時間與心力。透過使用可在叢集工具組件中使用的機器人來更換可消耗零件,對於可消耗零件、處理模組及/或叢集工具組件造成破壞的風險被降至最低;且透過將更換站維持在真空狀態下,藉此避免叢集工具組件之內部暴露到外部大氣,故汙染的風險被降至最低。因為使用機器人,吾人可達到將可消耗零件更精準地對準於處理模組中,同時將對該處理模組的其他硬體元件的破壞最小化。因此,調節叢集工具組件所需要的時間被大大地減少。及時地更換可消耗零件提高了界定在半導體晶圓中的半導體元件的品質與良率。
圖1描繪在一實施例中用以處理半導體晶圓的叢集工具組件100的簡化示意圖。叢集工具組件100包括複數個模組,以允許在半導體晶圓對環境之暴露最小的受控制的環境中處理半導體晶圓。在一實施例中,叢集工具組件100包括大氣傳送模組(ATM)102、共用的真空傳送模組(VTM)104、及一或多個處理模組112-120。ATM102係操作在周圍(即大氣)狀態下,並與一晶圓載具(未圖示)介面接合,該晶圓載具用以將半導體晶圓帶入整合的叢集工具組件100中以進行處理,並用以在處理之後歸返半導體晶圓。ATM102可包括用以將半導體晶圓從晶圓載具移動到VTM104的機器人。ATM102係在大氣狀態下,而該機器人可為部分的乾燥機器人。
VTM104係操作在真空狀態下,藉以在半導體晶圓從一處理模組移動到另一處理模組時將半導體晶圓之表面對大氣空氣的暴露最小化。由於VTM104係操作在真空狀態下而ATM102係操作在大氣狀態下,所以在ATM102與VTM104之間設置負載鎖室110。負載鎖室110提供一受控介面,以允許半導體
晶圓從ATM102傳送進入VTM104。在此實施例中,ATM102中的機器人可用以將半導體晶圓放進負載鎖室110中。在VTM104中可設置獨立的機器人,用以從負載鎖室110收回半導體晶圓,並將半導體晶圓傳送進出處理模組(112-120)。
一或多個的處理模組112-120與VTM104整合,以允許半導體晶圓可在由VTM104維持的受控環境中從一處理模組移動到另一處理模組。在一些實施例中,處理模組112-120可均勻地分布在VTM104的周圍,並用於執行相異的製程操作。可使用處理模組112-120實行的一些製程操作包括蝕刻操作、沖洗、清潔、乾燥操作、電漿操作、沉積操作、電鍍操作等。以範例說明,處理模組112可用以執行沉積操作;處理模組114可用以執行清潔操作;處理模組116可用以執行二次沉積操作;處理模組118可用以執行蝕刻或移除操作等。在受控環境下的VTM104允許半導體晶圓可在無汙染風險之情況下傳送進出處理模組112-120,且VTM104中的機器人輔助將半導體晶圓傳送進出與VTM104整合的各種處理模組112-120。
在一實施例中,將更換站108架設在叢集工具組件100內的處理模組(例如處理模組112-120之任一者)上。在繪於圖1中的範例實施例中,更換站108架設在處理模組118上。更換站可經配置以在當個別的處理模組112-120中的可消耗零件(或複數可消耗零件)必須被更換時,架設在任一其他的處理模組112-120上。例如,處理模組118可用以執行蝕刻操作。更換站108可用以將處理模組118中使用的可消耗零件收回並更換。更換站108包括一機制(未圖示),例如泵抽機制,其用以在當更換站108被架設在處理模組上時泵抽更換站108並將之維持於真空狀態下。
在一實施例中,更換站108可在當處理模組(即處理模組112-120之任一者)的可消耗零件必須被更換時,經由一隔離閥而耦接至處理模組(112-120);並在當可消耗零件已成功地被更換後,與處理模組(112-120)斷開聯
繫。在此實施例中,更換站108為可移動的模組式元件,其經設計以暫時地架設在處理模組上,以完成所需的操作(例如更換可消耗零件);在處理模組上的所需操作完成時卸下;並收回或移動到不同的處理模組上,而在其中執行更換可消耗零件的所需操作。例如,隔離閥允許更換站108被維持在真空狀態下。
更換站108包括用以接收並固持可消耗零件的零件緩衝器。在一些實施例中,零件緩衝器可包括複數個分隔室,其用以接收從處理模組中收回的舊的可消耗零件、及待運送到處理模組的新的可消耗零件。在一實施例中,更換站108的開口(該更換站架設在該隔離閥上之處)經調整尺寸以允許可消耗零件移動進出更換站108。
可消耗零件為處理模組內的硬體零件,其因為持續暴露到處理模組內的製程條件而需要被更換。由於可消耗零件持續暴露到半導體晶圓處理期間所使用的嚴酷的製程條件,其需要被密切地監測以判定破壞超出可接受程度的時間,俾使其可被及時地更換。例如,在蝕刻處理模組中,將邊緣環設置在相鄰半導體晶圓(其安置於卡盤組件上)之處以延伸半導體晶圓的處理區域。在蝕刻操作期間,邊緣環被暴露到來自電漿(其用以在半導體晶圓的表面上形成特徵部)的離子轟擊。經過一定的時間後,邊緣環由於連續的暴露而受損。當邊緣環受損超出可接受的程度時,該邊緣環必須被更換,使邊緣環的損壞不會使其他的下層元件暴露出來,或對半導體晶圓處理造成不利影響。
在典型的蝕刻操作中,來自電漿的離子以垂直於電漿鞘的角度衝擊半導體晶圓的表面,其中當半導體晶圓接收在處理模組中時,電漿鞘形成在界定於該半導體晶圓上方的處理區域中。當邊緣環的層級因為離子轟擊而磨損時,半導體晶圓的邊緣被暴露出來,而導致電漿鞘沿著半導體晶圓之邊緣的輪廓前進(roll)。因此,撞擊半導體晶圓表面的離子依循電漿鞘的輪廓,而導致形成朝向半導體晶圓表面的邊緣傾斜的特徵部。這些傾斜的特徵部會影響形成在
半導體晶圓上的半導體元件的整體良率。此外,因為邊緣環的層級被磨損,所以下層的元件(例如卡盤)會被暴露到離子,而破壞卡盤的表面。為提高良率並避免破壞任何下層的元件,必須週期性地更換邊緣環(即可消耗零件)。
架設在處理模組118上的更換站108允許可消耗零件(即邊緣環)在毋須打破處理模組之真空的情況下輕易地被更換。在一實施例中,更換站108包括專用的機器人,其配置以將端點作動器伸進處理模組(例如處理模組118)中以收回需要被更換的可消耗零件並運送新的可消耗零件。處理模組中的升降機制提供到可消耗零件的通路。更換站108的機器人可運作以將新的可消耗零件定位在該升降機制的升降銷上,而該升降機制可將該新的可消耗零件安裝於其在處理模組內的位置上。
在一實施例中,為幫助更換可消耗零件,透過第一隔離閥將更換站架設在處理模組(例如處理模組112-120之任一者或每一者)上。更換站的機器人用於接取可消耗零件並將之從處理模組中收回;將之移動到界定在更換站中的零件緩衝器中;並從該零件緩衝器提供更換的可消耗零件。在一實施例中,該第一隔離閥可操作性地連接到一控制器,以協調處理模組中可消耗零件的收回操作及更換操作。
除了使用第一隔離閥將更換站架設在處理模組上以外,可使用第二隔離閥將處理模組耦接至叢集工具組件100的真空傳送模組(VTM)。當接合時,該第二隔離閥配置以將處理模組(112-120)與叢集工具組件100的其他部分隔開,使得處理模組中可消耗零件的更換操作,可在不影響叢集工具組件100的其他處理模組的操作之情況下輕易地實行。設置該第二隔離閥允許將特定的處理模組(112-120之任一者)(而非整個叢集工具組件100)離線,同時允許叢集工具組件100中處理模組(112-120)的其他者可繼續處理半導體晶圓。此外,由於只有特定的處理模組(例如112-120之任一者)被離線以更換可消耗零件(或複數可消耗零
件),所以可用顯著較少的時間去將處理模組(112-120)與叢集工具組件100重新啟動至完全操作狀態。因此,為調節並鑑定叢集工具組件100之操作所用掉的時間更加地少。VTM104的機器人可用以在半導體晶圓處理期間將半導體晶圓移動進出處理模組(112-120)。
為允許更換站108的機器人從處理模組(112-120)收回可消耗零件,可消耗零件必須可被輕易地接取。在一實施例中,處理模組(112-120)包括升降機制,其提供到需要被更換的可消耗零件的通路。在一些實施例中,該升降機制可包括升降銷,其可延伸以將可消耗零件移動到抬升位置。更換站108中機器人的端點致動器延伸進處理模組(112-120)中並滑動到可消耗零件的下方。使可消耗零件擱置在該機器人的端點致動器上,然後該升降機制將升降銷收回。端點致動器帶著可消耗零件從處理模組(112-120)收進更換站108中。使用該機器人的端點致動器將新的可消耗零件移動到處理模組(112-120)中,而該升降機制的升降銷延伸以接收該新的可消耗零件。該升降機制的升降銷一起動作以將該新的可消耗零件對準在處理模組(112-120)的位置。使用升降機制收回並更換可消耗零件的過程將參考圖4更詳細地討論。
在一些實施例中,必須將整個叢集工具組件100離線以更換可消耗零件。例如,這可能在多於一個處理模組(112-120)中有多於一個可消耗零件需要被更換時進行。即使在此類實施例中,由於將更換站與處理模組(或複數處理模組)維持在真空狀態下,所以進行下列動作的時間亦可更加地少:將叢集工具組件100離線;將更換站架設在處理模組(或複數處理模組)(112-120)上;移除並更換可消耗零件;調節並鑑定叢集工具組件100。如此一來,叢集工具組件100的製程條件(即真空)不會在可消耗零件的更換期間受到不利影響。此外,因為使用機器人來完成更換,所以可策畫以更精準地收回並更換可消耗零件,藉此避免對可消耗零件及/或處理模組(112-120)造成破壞的風險。
在一些實施例中,位於處理模組之架設更換站的一側的開口可經調整尺寸,使得可消耗零件可輕易地適合通過該開口。此外,處理模組(112-120)中的開口可經設計以將可能在處理模組(112-120)中發生、以及整體而言可能在叢集工具組件100中發生的任何對稱性問題降至最低。
參考圖1討論的許多實施例與實施,允許更換站108在處理模組(112-120)中的可消耗零件需要被更換時暫時地架設在處理模組(112-120)上,並在完成更換可消耗零件時被收回。更換站108可包括單一個零件緩衝器,其具有用以接收並固持舊的與新的可消耗零件的兩個不同固持區域;或替代地具有分別用以固持舊的與新的可消耗零件的不同的零件緩衝器。設置在更換站108中的機器人及零件緩衝器(或複數零件緩衝器)允許直接運送可消耗零件到處理模組(112-120)並且直接從處理模組(112-120)收回可消耗零件。處理模組(112-120)中的隔離閥允許僅將處理模組(112-120)離線,而非將整個叢集工具組件100離線。
圖2描繪叢集工具組件100的替代實施例,其中更換站108係配置以架設在位於叢集工具組件100中的真空傳送模組(VTM)104上,而非架設在處理模組(112-118)上。VTM104包括一機器人,其用以在半導體晶圓處理期間將半導體晶圓從負載鎖室110移動到處理模組112-118,以及將之移動進出與VTM104介面接合的一或多個處理模組112-118。該機器人包括端點作動器,其用以接收、固持半導體晶圓,並使之在不同處理模組之間移動。在VTM104中界定個別的開口以允許架設更換站108,使得更換站108對準於界定在VTM104中的開口。因為對稱性很重要,所以VTM104中的開口經界定以維持VTM104以及叢集工具組件100的均勻性與對稱性。例如,可在VTM104中該開口的對面界定具有虛擬門體的虛擬開口,以維持VTM104的均勻性與對稱性。替代地,若虛擬開口已存在於VTM104中,且該開口足夠大以移動可消耗零件,則可將更換站108架設在該虛擬開口上,以便繼續維持叢集工具組件100的均勻性與對稱性。
一般而言,VTM104中的開口經調整尺寸以通過半導體晶圓以及用以移動半導體晶圓進出VTM104的托架及/或機器人。然而,比半導體晶圓更大的可消耗零件可能無法適合通過。例如,當半導體晶圓接收在處理模組(112-118)中時設置成圍繞該半導體晶圓的邊緣環比該半導體晶圓更寬。在此類例子中,若不再造(re-engineering)開口,則整個邊緣環可能無法通過經設計以移動半導體晶圓的開口。在一些例子中,再造VTM104的開口並非可行的選項,因為這可能影響叢集工具組件的對稱性。因此,可使用分段式可消耗元件使得各片段可適合通過該開口,來取代再造VTM104的開口而導致叢集工具組件不對稱。例如,可將在處理模組中圍繞半導體晶圓的邊緣環設計成由二或多個零件所組成的分段式邊緣環,其中各零件經設計以通過VTM104及更換站108的開口。在此範例中,可個別地取出分段式邊緣環並將之更換。
當更換可消耗零件(尤其係多零件的分段式可消耗零件)時,該可消耗零件的各個片段必須被適當地對準並設置在處理模組中,使得沒有間隙被界定在該等片段之間。應注意的係,在製程操作(例如高深寬比的蝕刻操作)中,存在於蝕刻處理模組的任何元件之間的間隙會導致離子流經其中而破壞任何下層的元件。例如,高深寬比蝕刻器模組中邊緣環中的間隙會使高能量離子流經其中而到達下層的卡盤(邊緣環可設置在其上方),因而破壞該卡盤的表面。為避免間隙形成,分段式可消耗零件可經設計以確保各個片段在安裝於處理模組中時與其他片段緊密地配適。因此,在一些實施中,分段式或多零件的可消耗零件可經設計以具有連鎖片段。替代地,可消耗零件可經設計以具有重疊片段,以避免離子或處理氣體/化學品找到通往下層元件的直接流動路徑。例如,在一些實施中,可消耗零件可由內側零件與外側零件所形成,其可整體地或片段地通過界定在VTM104及更換站之間的開口,且在一零件與其他零件重疊之情況下安裝在處理模組中,以避免間隙形成。可實施可消耗零件之設計中的其他變化,
以便能夠將可消耗零件移動進出VTM104,而毋須將經設計以維持叢集工具組件之對稱性的開口再造。
在一實施例中,在VTM104中用以將半導體晶圓移動進出處理模組的機器人,亦可替代使用在更換站108中專用的機器人而用以收回並更換可消耗零件。在一些實施中,用以在處理模組之間移動半導體晶圓的機器人的端點作動器亦用以接收、固持可消耗零件、並在處理模組112-118與更換站108之間移動可消耗零件。在其他實施中,將VTM104的機器人設計成具有用以移動可消耗零件及半導體晶圓的不同的端點作動器。端點作動器為通常界定在機器人中的零件,其用以收回、支撐、固持、挑選、升降、移動、或旋轉可移動零件,例如半導體晶圓或可消耗零件。可移動零件可被固持在任何方向平面中。可設置個別的端點作動器,以個別地移動可消耗零件及半導體晶圓,藉此避免汙染半導體晶圓。
在替代實施例中,更換站108中專用的機器人可與VTM104之機器人一起運作,以取出並更換處理模組中的可消耗零件。例如,VTM104之機器人可用以從處理模組中取出舊的可消耗零件並將之移動到界定在VTM104與更換站108之間的分級區域。更換站108專用的機器人可用以將舊的可消耗零件從該分級區移動到零件緩衝器。相似地,更換站108專用的機器人可用以將新的可消耗零件從更換站108的零件緩衝器移動到分級區;而VTM104之機器人可用以將新的可消耗零件從分級區移動到處理模組。在一實施例中,該分級區可具有用以接收舊的可消耗零件的第一區、以及用以接收新的可消耗零件的第二區。處理模組(112-118)中的升降機制用以將新的可消耗零件安裝在處理模組(112-118)中。
繪於圖2之實施例中的更換站108之設計與參考圖1討論的更換站108之設計相似。例如,圖2之更換站108包括一機制(例如泵浦),其用以在當更
換站108架設在VTM104上時將更換站108維持在真空狀態下。將更換站108的製程條件維持得與VTM104相同(即維持在真空狀態下)來確保VTM104中的製程條件不會在可消耗零件之更換期間受到不利影響。在更換站108中界定一或多個零件緩衝器以接收並固持舊的與新的可消耗零件。
繪於圖2之處理模組(118)的設計與圖1中界定的處理模組(118)稍微不同。即,繪於圖2之處理模組不包括第二開口。例如,因為更換站108直接架設在VTM104上,且從更換站108到處理模組(118)的通路係透過VTM104來提供,所以處理模組(118)不需要用以架設更換站108的第二開口。此外,單一個隔離閥用以在可消耗零件的更換期間提供透過VTM104到處理模組(118)的通路,並用以在半導體晶圓的處理期間隔離處理模組。應注意的係,將更換站108維持在真空狀態下,以便在不會對叢集工具組件100中的製程條件造成不利影響的情況下輕易地更換可消耗零件。因此,因為不需要排淨/泵抽處理,且可在更短的時間內執行其他的鑑定步驟,所以可在更短的時間內完成調節並鑑定叢集工具組件100以處理半導體晶圓。在一些實施中,更換站108可永久架設在VTM104上。
圖3描繪叢集工具組件100的另一實施例,其中更換站108係架設在大氣傳送模組(ATM)102上。叢集工具組件100的ATM102中用以將半導體晶圓從載具移動到例如負載鎖室110的機器人,亦用以將可消耗零件移動進出更換站108。在此實施例中,將架設在ATM102上的更換站108維持在與ATM102相同的大氣狀態下。因此,更換站108不需要泵浦或類似機制來將更換站108維持在真空狀態。在一些實施中,更換站108可永久架設在ATM102上。
除了ATM102以外,繪於圖3之叢集工具組件100包括真空傳送模組(VTM)104,以及與VTM104整合的複數個處理模組112-120。負載鎖室110被界定在ATM102與VTM104之間,並作為一介面,其在維持ATM102與VTM104中之製程條件之情況下使半導體晶圓從ATM102移動到VTM104。
叢集工具組件100的負載鎖室110經設計以固持半導體晶圓與可消耗零件兩者。為避免污染半導體晶圓,在負載鎖室110中可設置個別的分級區域(例如分離室)以接收半導體晶圓與可消耗零件。在負載鎖室110中經設計以接收可消耗零件的分級區域,可進一步配置以提供用以接收舊的可消耗零件與新的可消耗零件的個別的分級區域。界定在負載鎖室110中的開口經設計以通過可消耗零件與半導體晶圓。替代地,若開口未經設計以通過可消耗零件,則可使用分段式可消耗零件,使得可消耗零件的各個片段可通過界定在負載鎖室110中的開口。
在繪於圖3的實施例中,在VTM104中用以將半導體晶圓從負載鎖室110移動到與VTM104整合的處理模組(112-120)、或從一處理模組(112-120)移動到另一處理模組的機器人,亦用以在負載鎖室110與處理模組(112-120)之間移動可消耗零件。
在一些實施中,除了ATM102與VTM104的機器人以外,更換站108可包括專用的機器人,其配置以在ATM102與更換站的零件緩衝器之間移動可消耗零件。在此類實施例中,ATM102的機器人可用以在ATM102與負載鎖室110之間移動可消耗零件與半導體晶圓;而VTM104的機器人可用以在負載鎖室110與處理模組(112-120)之間移動可消耗零件與半導體晶圓。在一實施中,在ATM102與VTM104的機器人中可設置單一個端點作動器,其可在不同的時間點銜接以移動半導體晶圓與可消耗零件兩者。在另一實施例中,在ATM102與VTM104的機器人中可設置個別的端點作動器,一個用以移動移動半導體晶圓而另一個用以移動可消耗零件。使用升降機制以將新的可消耗零件適當地對準並安裝在處理模組(112-120)中合適的位置上。
要將處理模組(其整合在叢集工具組件中)中的可消耗零件更換,需要到達處理模組、及到達處理模組中的可消耗零件的通路。已參考圖1-3討論
到處理模組之通路,其中更換站108係直接架設在處理模組(112-120)上、或架設在真空傳送模組VTM104上、或架設在大氣傳送模組ATM102上,透過該者提供到達處理模組(112-118,120)之通路。一旦進入處理模組(112-118,120)後,必須提供到達可消耗零件之通路,以便在不破壞可消耗零件、或處理模組(112-120)的其他硬體元件之情況下,安全地收回可消耗零件並將之更換。
圖4描繪升降機制的範例實施例,其可在叢集工具組件100的處理模組(112-120)中用以提供到達需要被更換之可消耗零件208的通路。在一些實施中,可消耗零件208設置在底部邊緣環236的上方、相鄰蓋環232之處。底部邊緣環236設置在基底環240的上方,且在一些實施例中與軸套環238相鄰。該升降機制係配置以將可消耗零件208移動到抬升位置,使得可消耗零件208可被接取。在一些實施中,可消耗零件208為邊緣環,其設置在相鄰半導體晶圓150(其在處理期間被接收在處理模組中)之處。該升降機制包括連接到複數個制動器204的複數個升降銷202。例如,升降銷可分布在一平面上,以允許升降銷可於不同的點上接觸可消耗零件,並移動該可消耗零件。在一些實施中,分布在一平面上的升降銷可集成相異的組合,其中每一組升降銷被獨立地操作以接取並升降不同的可消耗零件。在一些實施中,制動器204為真空密封的制動器204,其裝備有複數個升降銷202。
制動器204由制動器驅動機206驅動。在分離的模式中,升降銷202保持收起在界定於升降機制中的一容座中,而不接觸可消耗零件208。當可消耗零件208必須被更換時,制動器204透過制動器驅動機206被供能。被供能的制動器204促使升降銷202延伸到該容座之外,進而接觸可消耗零件208並將可消耗零件208移動到抬升位置。因為處理模組(例如118)被維持在真空狀態下,所以當可消耗零件被抬升時,可消耗零件被抬升到真空空間210中。VTM104或更換站108的機器人將端點作動器延伸到處理模組118中並使之滑動到抬升的可消耗零件
208的下方。在一些實施例中,附接於機器人的端點作動器經成形為類似一鏟狀物,而允許該端點作動器支撐抬升的可消耗零件。一旦端點作動器滑動到位置後,制動器204將升降銷202收進該容座中,使得可消耗零件208擱置在該端點作動器上。然後操控該機器人以使該端點作動器帶著可消耗零件208撤回VTM104或更換站108中,取決於使用哪個機器人去收回可消耗零件208。當需要在處理模組(例如118)中放置新的可消耗零件208時,進行顛倒的次序。處理模組(例如118)的升降機制用以將可消耗零件適當地安裝在處理模組(118)中合適的位置上,使得處理模組(118)與叢集工具組件100為可操作的。
除了提供功率給制動器以操作升降銷去抬升可消耗零件208以外,在一些實施中,連接到升降機制的制動器驅動機206的功率源,可透過升降銷而供應功率至可消耗零件。在此類實施中,制動器204與升降銷202可由傳導性材料製成,以便供應功率至可消耗零件208。在一些實施中,升降銷接觸可消耗零件之表面可作為電接觸件,且可用以將功率從功率源供應到可消耗零件。在一些實施中,該功率源為射頻(RF)功率源,以便允許升降銷202供應RF功率至可消耗零件208。使用RF功率源對可消耗零件供能的其他細節記載於共同擁有且共同受讓之美國臨時專利申請案第62/191817號,申請日為2015年7月13日,案名為「Extreme Edge Sheath and Wafer Profile Tuning Through Edge-Localized Ion Trajectory Control and Plasma Operation」,該案以全文加入本案之參考資料。在一些實施中,可切換升降銷202。切換器可用以控制供應到可消耗零件208的功率量。在一些實施中,切換器可用以供應不同的功率到可消耗零件208。在一些實施中,被供應到可消耗零件208的功率可用以加熱可消耗零件208。例如,若可消耗零件208為邊緣環,則可使用由功率源供應的功率來提供溫度受控制的邊緣環。在一些實施中,可透過其他方式來對可消耗零件208供能,例如透過電容耦合。使用替代方式(例如電容耦合)來對可消耗零件208(例如邊緣環)供能的其他
細節記載於共同擁有且共同受讓之美國臨時專利申請案第62/206753號,申請日為2015年8月18日,案名為「Edge Ring Assembly for Improving Feature Profile Tilting at Extreme Edge of Wafer」,該案加入本案之參考資料。應注意的係,本文中討論之用以對可消耗零件208供能的不同方式僅為範例,且亦可應用對邊緣環供能的其他方式。在一些實施中,可使用一或多個磁鐵將可消耗零件208(多部件可消耗零件的不同部件或單一部件)對準並安裝在處理模組(118)中的位置上。例如,設置在處理模組(例如118)中的升降機制可包括一表面,而可消耗零件208被支撐在上方。可將一或多個磁鐵設置在升降機制的表面(可消耗零件208被支撐在其上方)之底面上。設置在升降機制中的磁鐵可用以將可消耗零件對準在處理模組(例如118)中的位置上。
在一些實施中,可將升降機制連接到空氣加壓器或其他壓縮壓力源,以允許氣動地操作該升降機制。在一些實施中,升降機制可用以提供靜電夾持,以將可消耗零件208夾持在處理模組(例如118)中的位置上。在此些實施中,可將升降機制連接到直流(DC)功率源,以允許升降銷202提供DC功率而將可消耗零件208夾持在處理模組(例如118)中的位置上。
圖5A描繪一實施例中的範例叢集工具組件,其標示用以更換處理模組118中可消耗零件的各種元件。處理模組118可為蝕刻器模組,其可用以產生執行傳導性蝕刻的變壓器耦合電漿(TCP)、或進行介電性蝕刻的電容耦合型電漿(CCP);或其可用以執行電漿增強化學氣相沉積(PECVD)或原子層沉積(ALD)、或在半導體晶圓上的任何其他類型的蝕刻。替代地,處理模組118可用以執行任何其他的製程操作(例如沉積、電鍍等)以在半導體晶圓上界定不同的特徵部。
更換站108可包括零件緩衝器224。在一實施例中,零件緩衝器224包括複數個分離室207,其配置以接收從處理模組收回的舊的可消耗零件208、
以及需要被運送到處理模組的新的可消耗零件208。替代地,可使用個別的零件緩衝器224來分別地儲存舊的可消耗零件208與新的可消耗零件208。更換站108中的交換處理器214可用以將新的可消耗零件208從零件緩衝器224的分離室207移動到處理模組118,並且從處理模組118中收回舊的可消耗零件208而將之存放在零件緩衝器224的分離室207中。交換處理器214包括配置以側向地、垂直地、及/或徑向地移動的機器人215,以允許交換處理器214的端點作動器213接取零件緩衝器224及處理模組118中的可消耗零件208。端點作動器可配置以接取、收回可消耗零件208並將之運送到零件緩衝器224或處理模組中。在一些實施中,該端點作動器可為特殊的端點作動器,其經設計以於任何平面中拾取、挑選、抬升、支撐、固持、移動、或旋轉可消耗零件。可操控交換處理器214的端點作動器以在操作期間延伸及收縮,以便將可消耗零件從處理模組中收回並存放在零件緩衝器224中。在一些實施中,該端點作動器可配置以徑向地、側向地、及/或垂直地移動,以提供收回操作期間更大的彈性。將交換處理器214與控制器220連接,以控制交換處理器214之機器人215與端點作動器213的移動。
更換站108亦可包括與泵浦233連接的真空控制模組231,藉以操控更換站108中的製程條件。在一些實施中,將更換站108與控制器220連接,以能夠在可消耗零件之更換期間透過真空控制模組231來協調泵浦233之操作。
在更換站108與處理模組118之間設置第一隔離閥216,以允許更換站108架設在處理模組118上。在一些實施中,第一隔離閥216可為閘閥。處理模組118包括第一側與第二側,其中處理模組118的第一側耦接至真空傳送模組(VTM)104,而處理模組118的第二側耦接至第一隔離閥216的第一側。第一隔離閥216的第二側耦接至更換站108。例如,耦接部(coupling)操控分別界定在更換站108與處理模組118中的門體217、219,以便允許更換站108中的機器人進入處理模組118。第二隔離閥216’的第一側耦接至VTM104,而第二隔離閥216’的第二
側耦接至處理模組118的第一側。耦接部允許操控遮蓋分別界定在處理模組118與VTM104中的對應開口的門體227、229,進而允許VTM104中的機器人在處理期間進入處理模組118並將半導體晶圓移動進出處理模組118。第一隔離閥216及第二隔離閥216’與控制器220連接,以協調處理模組118對VTM104與更換站108之耦接。
處理模組118包括上電極218,其可用以提供製程化學品到界定於處理模組118中的處理區域。舉例而言,上電極218可與功率源(未圖示)連接,以提供功率至處理區域中的製程化學品,進而產生電漿。在一些實施例中,該功率源可為RF功率源,其透過匹配網路(未圖示)而連接到上電極218。替代地,該上電極可電氣接地。
處理模組118亦包括下電極230。在一些實施中,下電極230配置以接收半導體晶圓150以進行處理。在一些實施中,下電極230為靜電卡盤。下電極230可與功率源(未圖示)連接,以在處理期間提供功率至下電極230。替代地,下電極230可電氣接地。
處理模組118包括升降機制221,藉以使可消耗零件208能夠被移動到抬升位置。升降機制221類似於參考圖4所討論的升降機制,且其包括複數個升降銷202及制動器204以將可消耗零件升到抬升位置,且制動器驅動機206與制動器204連接以提供功率而驅動制動器204。制動器驅動機206可耦接至控制器220以在可消耗零件之更換期間控制升降機制221之操作。
控制器220包括真空狀態控制部223與傳送邏輯225,以幫助協調與控制器220連接的各種元件的操作。在一實施中,當欲更換處理模組118中的可消耗零件時,使更換站108與第一隔離閥216連結。作為在第一隔離閥216偵測到更換站108的回應,訊號從隔離閥216發送到控制器220。然後控制器220調諧更換站108對於處理模組118之耦接,並維持更換站108於真空狀態下。例如,作
為對從第一隔離閥216接收到的偵測訊號之回應,控制器220的真空狀態控制部223可發送訊號到真空控制231,以開始進行將更換站108耦接到處理模組118的過程。作為對從真空狀態控制部223接收到的訊號之回應,真空控制231可啟動泵浦233,以允許泵浦233將更換站帶入真空狀態中。一旦更換站108達到真空狀態,訊號從真空控制231發送到真空狀態控制部223。然後真空狀態控制部223發送訊號到第一隔離閥216,以將更換站耦接到處理模組118。作為回應,第一隔離閥216確認在更換站108與處理模組118之間第一隔離閥216的任何居間區域被維持在真空狀態下。一旦確認後,第一隔離閥216執行處理模組118到第一隔離閥216的第一側的耦接、以及更換站108到第一隔離閥216的第二側的耦接。可進行進一步的測試,以確認在操控門體217、219提供到處理模組118之通路前,更換站108及第一隔離閥216的居間區域係在真空狀態下。
作為耦接操作之一部分,真空狀態控制部223可調諧第二隔離閥216’的操作,藉以維持門體227、229封閉與密封,其中門體227、229遮蓋界定在處理模組118以及與處理模組118整合的VTM104中的對應開口。在耦接期間,處理模組118中的升降機制221維持在分離模式,其中升降銷202被收進升降機制221的容座中,且可消耗零件208擱置在其安裝位置中。例如,可消耗零件208為邊緣環。當半導體晶圓150存在於處理模組118中時,在安裝位置中的邊緣環被設置在相鄰半導體晶圓150之處並實質上圍繞半導體晶圓150。
一旦完成耦接過程後,訊號從第一隔離閥216發送到控制器220,以及在一些實施例中從第二隔離閥216’發送到控制器220。作為回應,控制器220啟動傳送邏輯225。傳送邏輯225經配置以調諧更換站108中交換處理器214的端點作動器213與機器人215、以及處理模組118中升降機制221的制動器驅動機206之移動,以允許端點作動器213從處理模組118中收回可消耗零件並將之移動到界定在更換站108中的零件緩衝器224的分離室207;並且將可消耗零件之更換品
從零件緩衝器224的分離室207移動回到處理模組118以進行安裝。升降機制221可被操控以將更換的可消耗零件安裝在處理模組118的適當位置上。
圖5B描繪一實施例中依循以從處理模組118中收回可消耗零件208的過程。可消耗零件208通常在半導體晶圓接收於處理模組118中以進行處理之前被更換。根據此實施例,一旦更換站108透過第一隔離閥216耦接到處理模組118,而第二隔離閥216’將門體227、229密封在VTM104上後,可使用控制器220的傳送邏輯225來發送訊號到交換處理器214及制動器驅動機206,進而從處理模組收回可消耗零件並以新的可消耗零件將之更換。傳送邏輯225發送訊號去操控機器人215與端點作動器213,以允許端點作動器213延伸進處理模組118而收回可消耗零件。同時,傳送邏輯225操控制動器驅動機206,而促使制動器204將升降銷202從界定在升降機制221中之容座中移開,從而將可消耗零件208從安裝位置移動到抬升位置,如圖5B中描繪般。端點作動器213滑動到抬升的可消耗零件208之下,而實質上將之支撐。允許抬升的可消耗零件208擱置在交換處理器214的端點作動器213上,然後操控制動器驅動機206使制動器204將升降銷202收進升降機制221中的容座中。操控交換處理器214的端點作動器213帶著可消耗零件208一起收進更換站108中。操控端點作動器213將收回的可消耗零件208移動到零件緩衝器224的分離室207中。
以相似的方式將新的可消耗零件208從零件緩衝器224的不同的分離室207移動到處理模組118中。當新的可消耗零件208移動到處理模組118中時,操控制動器驅動機206使制動器204將升降銷202從容座中伸出,以便接收新的可消耗零件208。制動器204使升降銷202降低,使得可消耗零件208被設置在處理模組118中的安裝位置上。在可消耗零件的更換期間,真空狀態控制部223持續與真空控制231交互作用,以確保泵浦233繼續保持更換站於真空狀態下,進而匹配處理模組118中所維持的真空狀態。
一旦更換可消耗零件208後,使用控制器220來協調從處理模組118撤回更換站108。據此,控制器220發送訊號到第一隔離閥216以關閉處理模組118與更換站108之間的門體217、219;並發送訊號到第二隔離閥216’以開啟門體227、229,而允許VTM104到達處理模組118。
在一些實施中,在使處理模組回到主動操作之前,可調節處理模組118。因為可消耗零件之更換係在真空狀態下進行且僅有處理模組118必須被調節,所以可花較短的時間來進行調節操作。然後可從真空狀態控制部223發送訊號到真空控制231,以允許泵浦233排淨更換站108。然後可將更換站108從處理模組118上卸下。
圖5C描繪在繪於圖2之叢集工具組件的一實施例中依循以更換可消耗零件的過程,其中更換站108係架設在VTM104上,而非架設在處理模組118上。在此實施例中,更換站108經由第一隔離閥216而架設在真空傳送模組(VTM)104上,使得第一隔離閥216的第一側耦接到VTM104的第一側。更換站108耦接到第一隔離閥216的第二側。設置第二隔離閥216’,使得第二隔離閥216’的第一側耦接到處理模組118,而第二隔離閥216’的第二側耦接到VTM104的第二側。第一隔離閥216經配置以操控門體237、239,其遮蓋分別界定在更換站108與VTM104中的對應開口;而第二隔離閥216’經配置以操控門體227、229,其遮蓋分別界定在VTM104與處理模組118中的對應開口;以便允許VTM104中的機器人接取、收回可消耗零件,並在處理模組118以及更換站108中零件緩衝器224的分離室207之間移動可消耗零件。繪於圖5C中的更換站108不包括有著機器人215與端點作動器213的專用的交換處理器214。機器人235可操作地耦接到控制器220,以允許該控制器協調機器人235之操作。此外,更換站、第一隔離閥216、VTM104、第二隔離閥216’、及處理模組118被耦接到控制器220,藉以在將處理
模組118、VTM104、及更換站108維持在真空狀態下時,在可消耗零件之更換期間同步化更換站及處理模組之間的通路。
將更換站108架設在VTM104上的過程相似於參考圖5A所討論的實施例,但更換站108係架設在VTM104上,而非架設在處理模組118上。更換可消耗零件208的過程相似於參考圖5A所討論的實施例,但控制器220係與VTM104的機器人235協調,而非與圖5A中所討論的更換站108的機器人215、端點作動器213協調。
在替代實施例中,更換站108可包括有著機器人215與端點作動器213的交換處理器214(未圖示),其中交換處理器214可操作地連接到控制器220。控制器220用以在可消耗零件之更換期間控制機器人215、端點作動器213、及機器人235的協調。在此實施例中,機器人215與端點作動器213可用以收回可消耗零件,並在零件緩衝器224與VTM104之間移動可消耗零件;而VTM104的機器人235可用以在VTM104與處理模組118之間移動可消耗零件。
應注意的係,在繪於圖5C之實施例中,第二隔離閥216’不用以在可消耗零件之更換期間將處理模組118與叢集工具組件100的其他部分隔開。這係因為在此實施例中,到達處理模組之通路係透過VTM104來提供。因此,第二隔離閥216’經配置以在當可消耗零件需要被更換時提供通路,而在半導體晶圓之處理期間能夠選擇性隔離處理模組118。在此實施例中,因為更換站、VTM104、及處理模組118在可消耗零件之更換期間均被維持在真空狀態下,所以在更換可消耗零件之後可花較短的時間來調節叢集工具組件100。
圖6顯示用以控制上述之叢集工具組件的控制模組(即控制器)220。在一實施例中,控制模組220可包括若干範例元件,例如處理器、記憶體、以及一或多個介面。控制模組220可用以基於感測閥上的零件來控制叢集工具組件100中的裝置。僅作為範例,控制模組220可基於感測值及其他控制參數
來控制一或更多的閥602(包括圖5A、5B、5C的隔離閥216、216’)、濾件加熱器604、泵浦606(包括泵浦233)、及其他裝置608。僅作為範例,控制模組220從壓力計610、流量計612、溫度感測器614、及/或其他感測器616接收感測值。控制模組220亦可用以控制前驅物輸送與薄膜沉積期間的製程條件。控制模組220一般包括一或多個記憶體裝置及一或多個處理器。
控制模組(即控制器)220可控制前驅物輸送系統及沉積設備的活動。控制模組220執行包括指令組的電腦程式,以控制處理時程、輸送系統的溫度、跨濾件的壓差、閥的位置、機器人與端點作動器、氣體的混合、腔室壓力、腔室溫度、晶圓溫度、RF功率位準、晶圓卡盤或支座的位置、以及特定處理的其他參數。控制模組220亦可偵測壓差,並自動地將氣態前驅物輸送從一或多個路徑切換到一或多個其他路徑。在一些實施例中,可使用儲存在與控制模組220連接的記憶體裝置中的其他電腦程式。
典型地,有一和控制模組220連接的使用者介面。該使用者介面可包含顯示器618(例如顯示螢幕、及/或設備及/或製程條件的圖示軟體顯示器)、以及使用者輸入裝置620,例如指標裝置、鍵盤、觸控螢幕、麥克風等。
用以控制前驅物輸送、沉積、及製程中之其他處理的電腦程式碼可用任何習用之電腦可讀程式語言寫入,例如組合語言、C、C++、巴斯卡程式語言、福傳程式語言或其他。編成的目的碼或指令碼被該處理器執行,以實現在該程式碼中所指定的任務。
該控制模組參數與製程條件相關,例如濾件的壓差、處理氣體的組成及流率、溫度、壓力、電漿狀態(例如RF功率位準與低頻RF頻率)、冷卻氣體壓力、及腔壁溫度。
系統軟體被以許多不同的方法設計或建構。例如,寫入多樣的腔室元件的副程式或控制物件,以控制對於實現發明的沉積製程所需之腔室或處
理模組元件之運作。為此目的的程式或部分程式的例子,包括基板定位之程式碼、處理氣體控制之程式碼、壓力控制之程式碼、加熱器控制之程式碼、電漿控制之程式碼、升降機制控制之程式碼、機器人位置之程式碼、端點作動器位置之程式碼、及閥位置控制之程式碼。
基板定位程式可包括用於控制腔室元件之程式碼,該腔室元件用於將基板裝載至支座或卡盤上、並控制基板與腔室的其他部分(例如氣體入口及/或靶材)之間的間隔。處理氣體控制程式可包括用於控制下列各項之程式碼:氣體組成及流率,以及為使腔室中的壓力安定,在沉積之前可選擇地使氣體流進腔室中。濾件偵測程式包括將壓差(或複數壓差)與偵測值(或複數偵測值)加以比較的程式碼、及/或用以切換路徑的程式碼。壓力控制程式可包括用於透過調節(例如)腔室的排氣系統中的節流閥來控制腔室中的壓力的程式碼。加熱器控制程式可包括用於控制在前驅物輸送系統、基板、及/或系統之其他部分中用於加熱基板的加熱裝置的電流的程式碼。替代地,該加熱器控制程式可控制熱傳送氣體(例如氦氣)到基板卡盤的輸送。例如,閥位置控制程式碼可包括用以藉由控制隔離閥(其提供到處理模組或叢集工具之通路)來控制到處理模組或叢集工具組件之通路的程式碼。升降機制控制程式碼可包括用以啟動制動器驅動機使制動器去移動升降銷的程式碼。例如,機器人位置程式碼可包括操控機器人(或複數機器人)之位置的程式碼,包括操控機器人(或複數機器人)去沿側向、垂直向、或徑向軸移動。例如,端點作動器位置程式碼可包括操控端點作動器之位置的程式碼,包括操控機器人去伸出、收回、或去沿側向、垂直向、或徑向軸移動。
可在沉積期間被偵測的感測器的範例,包括(但不限於)質流控制模組、壓力感測器(例如壓力計610)、及位於輸送系統、支座、或卡盤中的熱偶(例如溫度感測器614)。經適當程式化的回饋與控制演算法可與來自這些感測器的資
料一起使用以維持所期望之製程條件。前文描述本發明之實施例在單一或多腔室半導體處理工具中之實施。
本文中所述之許多實施例允許與快速且有效率的方式更換可消耗零件,而毋須開啟叢集工具組件至大氣狀態下。因此,更換可消耗零件的時間、以及在可消耗零件的更換期間汙染腔室的任何風險被大大地降低,因而使叢集工具組件可更快速地上線。此外,不慎破壞處理模組、可消耗零件、以及處理模組中其他硬體元件的風險被大大地降低。
為舉例及說明之目的已提供實施例的前文描述。吾人不欲詳盡、或限制本發明。大致上,特定實施例的個別元件或特徵不限於特定實施例,且若合適,即使未特別顯示或說明,亦可互換且可在所選定之實施例中使用。上述內容亦可以許多方式變化。吾人不認為此類變化背離本發明,且吾人意欲所有此類修改落入本發明之範疇內。
雖然為理解之明確目的,已稍加詳細地描述前述實施例,但顯然可在隨附申請專利範圍之範疇內實施某些改變與修改。因此,本發明之實施例應被視為例示性而非限制性,且該等實施例並不限於本文中所給定的細節,且可在其範疇與申請專利範圍之等價態樣內作出修改。
104:VTM
108:更換站
118:處理模組
150:半導體晶圓
202:升降銷
204:制動器
206:制動器驅動機
207:分離室
208:可消耗零件
213:端點作動器
214:交換處理器
215:機器人
216:隔離閥
216’:隔離閥
217:門體
218:上電極
219:門體
220:控制器/控制模組
221:升降機制
223:真空狀態控制部
224:零件緩衝器
225:傳送邏輯
227:門體
229:門體
230:下電極
231:真空控制模組/真空控制
233:泵浦
Claims (18)
- 一種叢集工具,包含:一真空傳送模組,其包含一第一機器人;複數個處理模組,其耦接到該真空傳送模組;一大氣傳送模組,其包含一第二機器人,該大氣傳送模組經由一負載鎖耦接到該真空傳送模組;一更換站,其耦接到該大氣傳送模組,該更換站具有一零件緩衝器,該零件緩衝器具有儲存用於該複數個處理模組之一或更多者中的複數個可消耗零件的複數個分離室;以及一隔離閥,其耦接在該更換站與該大氣傳送模組之間,該第二機器人經配置而經由該隔離閥到達該更換站,以拾取用於安裝在該複數個處理模組之一或更多者中的可消耗零件、及儲存從該複數個處理模組之一或更多者移除的可消耗零件,其中該真空傳送模組的該第一機器人經配置以固持用於安裝或從該複數個處理模組之一或更多者移除的可消耗零件,且該大氣傳送模組的該第二機器人經配置以固持在從該複數個處理模組之一或更多者移除時從該更換站被拾取、或在從該複數個處理模組之一或更多者移除時被儲存的可消耗零件,其中該第一機器人及該第二機器人的端點作動器經配置以移動晶圓、且亦經配置以移動該可消耗零件而達成運送至該複數個處理模組之一或更多處理模組的該可消耗零件的對準。
- 如申請專利範圍第1項之叢集工具,其中該複數個處理模組之至少一者包含升降銷,以供移除及安裝該可消耗零件的其中一者。
- 如申請專利範圍第1項之叢集工具,其中該更換站耦接到該大氣傳送模組的一側。
- 如申請專利範圍第1項之叢集工具,其中該負載鎖對可消耗零件中被固持在該第一機器人與該第二機器人之間者提供交換位置。
- 如申請專利範圍第1項之叢集工具,其中該可消耗零件為該複數個處理模組之至少一者的替換零件,且該可消耗零件的移除係在不關閉具有被替換之可消耗零件之該處理模組的情況下執行。
- 如申請專利範圍第1項之叢集工具,其中該可消耗零件為邊緣環,其圍繞容納於該複數個處理模組之一或更多者中的該晶圓。
- 如申請專利範圍第1項之叢集工具,更包含一控制器,其耦接到該大氣傳送模組、該真空傳送模組及該更換站,該控制器經配置而在該可消耗零件的更換期間協調該第一機器人及該第二機器人的移動。
- 如申請專利範圍第1項之叢集工具,其中該更換站為一可附接單元。
- 如申請專利範圍第1項之叢集工具,其中該更換站係設置在該大氣傳送模組之前側,或設置在與設置該負載鎖處之一側不同的一第二側上。
- 如申請專利範圍第1項之叢集工具,其中該第二機器人的該端點作動器經配置而經由該隔離閥到達晶圓交換模組,以拾取用於在該複數個處理模組中處理的該晶圓、及在處理完成後儲存該晶圓,且其中該真空傳送模組的該第一機器人之該端點作動器經配置而處理從該複數個處理模組之晶圓的運送或移除。
- 一種叢集工具,包含:一真空傳送模組,其包括一第一機器人; 複數個處理模組,其耦接至該真空傳送模組;一更換站,其耦接到該真空傳送模組,該更換站具有一零件緩衝器,該零件緩衝器具有儲存用於該複數個處理模組之一或更多者的複數個可消耗零件的複數個分離室;以及一隔離閥,其耦接於該更換站與該真空傳送模組之間,該第一機器人經配置而經由該隔離閥到達該更換站,以拾取用於安裝在該複數個處理模組之一或更多者中的可消耗零件、及儲存從該複數個處理模組之該一或更多者移除的可消耗零件,其中設於該真空傳送模組的該第一機器人中之端點作動器經配置以移動晶圓,且亦經配置以移動用於安裝在該複數個處理模組之該一或更多者中的可消耗零件,以達到從該更換站之該零件緩衝器拾取及運送至該複數個處理模組之該一或更多者的該可消耗零件之對準。
- 如申請專利範圍第11項之叢集工具,其中該複數個處理模組的至少一者包含升降銷,以供移除及安裝該可消耗零件的其中一者。
- 如申請專利範圍第11項之叢集工具組件,其中該可消耗零件為該複數個處理模組之至少一者的替換零件,且該可消耗零件的移除係在不關閉具有被替換之可消耗零件之該處理模組的情況下執行。
- 如申請專利範圍第11項之叢集工具,更包含一控制器,其耦接到該真空傳送模組、該更換站及該複數個處理模組之該一或更多者,該控制器經配置而在該可消耗零件的更換期間協調該第一機器人的移動。
- 如申請專利範圍第14項之叢集工具,更包含一大氣傳送模組,其包括一第二機器人,該大氣傳送模組的一第一側經由一負載鎖耦接至該真空傳送模組,該大氣傳送模組的一第二側經由一裝載埠耦接至一晶圓交換模組,該大氣傳送模組的該第二機器人之該端點作動器經配置而到達該晶圓交換模 組,以拾取用於在該複數個處理模組中處理的晶圓、及儲存已由該複數個處理模組處理的該晶圓。
- 如申請專利範圍第15項之叢集工具,其中該負載鎖對被固持在該大氣傳送模組與該真空傳送模組之間的晶圓提供交換位置。
- 如申請專利範圍第15項之叢集工具,其中該控制器更耦接至該大氣傳送模組,該控制器經配置以在用於處理之晶圓的移動期間協調該第一機器人及該第二機器人的移動。
- 如申請專利範圍第11項之叢集工具,其中該可消耗零件為邊緣環,其圍繞該複數個處理模組之該一或更多者中的該晶圓。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/920,090 | 2015-10-22 | ||
US14/920,090 US20170115657A1 (en) | 2015-10-22 | 2015-10-22 | Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201715565A TW201715565A (zh) | 2017-05-01 |
TWI784924B true TWI784924B (zh) | 2022-12-01 |
Family
ID=58561558
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW105107511A TWI784924B (zh) | 2015-10-22 | 2016-03-11 | 在原位上自半導體處理模組移除並更換可消耗的零件之系統 |
Country Status (6)
Country | Link |
---|---|
US (2) | US20170115657A1 (zh) |
JP (2) | JP2017085072A (zh) |
KR (2) | KR20170047149A (zh) |
CN (2) | CN106611727A (zh) |
SG (2) | SG10202003587PA (zh) |
TW (1) | TWI784924B (zh) |
Families Citing this family (73)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9873180B2 (en) | 2014-10-17 | 2018-01-23 | Applied Materials, Inc. | CMP pad construction with composite material properties using additive manufacturing processes |
US10875153B2 (en) | 2014-10-17 | 2020-12-29 | Applied Materials, Inc. | Advanced polishing pad materials and formulations |
JP6545261B2 (ja) | 2014-10-17 | 2019-07-17 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 付加製造プロセスを使用する、複合材料特性を有するcmpパッド構造 |
US11745302B2 (en) | 2014-10-17 | 2023-09-05 | Applied Materials, Inc. | Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process |
US10593574B2 (en) | 2015-11-06 | 2020-03-17 | Applied Materials, Inc. | Techniques for combining CMP process tracking data with 3D printed CMP consumables |
US10391605B2 (en) | 2016-01-19 | 2019-08-27 | Applied Materials, Inc. | Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process |
US10685862B2 (en) | 2016-01-22 | 2020-06-16 | Applied Materials, Inc. | Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device |
KR20180099776A (ko) | 2016-01-26 | 2018-09-05 | 어플라이드 머티어리얼스, 인코포레이티드 | 웨이퍼 에지 링 리프팅 솔루션 |
US10204795B2 (en) | 2016-02-04 | 2019-02-12 | Applied Materials, Inc. | Flow distribution plate for surface fluorine reduction |
US9947517B1 (en) | 2016-12-16 | 2018-04-17 | Applied Materials, Inc. | Adjustable extended electrode for edge uniformity control |
US10553404B2 (en) | 2017-02-01 | 2020-02-04 | Applied Materials, Inc. | Adjustable extended electrode for edge uniformity control |
US10763081B2 (en) | 2017-07-10 | 2020-09-01 | Applied Materials, Inc. | Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device |
CN110506326B (zh) * | 2017-07-24 | 2024-03-19 | 朗姆研究公司 | 可移动的边缘环设计 |
US11471999B2 (en) | 2017-07-26 | 2022-10-18 | Applied Materials, Inc. | Integrated abrasive polishing pads and manufacturing methods |
US10510575B2 (en) | 2017-09-20 | 2019-12-17 | Applied Materials, Inc. | Substrate support with multiple embedded electrodes |
US10904996B2 (en) | 2017-09-20 | 2021-01-26 | Applied Materials, Inc. | Substrate support with electrically floating power supply |
US10811296B2 (en) | 2017-09-20 | 2020-10-20 | Applied Materials, Inc. | Substrate support with dual embedded electrodes |
US10763150B2 (en) | 2017-09-20 | 2020-09-01 | Applied Materials, Inc. | System for coupling a voltage to spatially segmented portions of the wafer with variable voltage |
US10714372B2 (en) | 2017-09-20 | 2020-07-14 | Applied Materials, Inc. | System for coupling a voltage to portions of a substrate |
US11075105B2 (en) | 2017-09-21 | 2021-07-27 | Applied Materials, Inc. | In-situ apparatus for semiconductor process module |
KR102617972B1 (ko) * | 2017-11-21 | 2023-12-22 | 램 리써치 코포레이션 | 하단 링 및 중간 에지 링 |
US11043400B2 (en) | 2017-12-21 | 2021-06-22 | Applied Materials, Inc. | Movable and removable process kit |
US10727075B2 (en) | 2017-12-22 | 2020-07-28 | Applied Materials, Inc. | Uniform EUV photoresist patterning utilizing pulsed plasma process |
US10555412B2 (en) | 2018-05-10 | 2020-02-04 | Applied Materials, Inc. | Method of controlling ion energy distribution using a pulse generator with a current-return output stage |
US10600623B2 (en) | 2018-05-28 | 2020-03-24 | Applied Materials, Inc. | Process kit with adjustable tuning ring for edge uniformity control |
US10347500B1 (en) | 2018-06-04 | 2019-07-09 | Applied Materials, Inc. | Device fabrication via pulsed plasma |
US11935773B2 (en) | 2018-06-14 | 2024-03-19 | Applied Materials, Inc. | Calibration jig and calibration method |
CN109065479B (zh) * | 2018-07-27 | 2020-06-16 | 上海华力集成电路制造有限公司 | 硅刻蚀机及其操作方法 |
CN112654655A (zh) | 2018-09-04 | 2021-04-13 | 应用材料公司 | 先进抛光垫配方 |
US11476145B2 (en) | 2018-11-20 | 2022-10-18 | Applied Materials, Inc. | Automatic ESC bias compensation when using pulsed DC bias |
US11289310B2 (en) | 2018-11-21 | 2022-03-29 | Applied Materials, Inc. | Circuits for edge ring control in shaped DC pulsed plasma process device |
JP7126466B2 (ja) * | 2018-12-12 | 2022-08-26 | 東京エレクトロン株式会社 | 基板処理システム、搬送方法、および搬送プログラム |
JP7451540B2 (ja) | 2019-01-22 | 2024-03-18 | アプライド マテリアルズ インコーポレイテッド | パルス状電圧波形を制御するためのフィードバックループ |
US11508554B2 (en) | 2019-01-24 | 2022-11-22 | Applied Materials, Inc. | High voltage filter assembly |
US10784089B2 (en) | 2019-02-01 | 2020-09-22 | Applied Materials, Inc. | Temperature and bias control of edge ring |
US11279032B2 (en) | 2019-04-11 | 2022-03-22 | Applied Materials, Inc. | Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots |
US11101115B2 (en) | 2019-04-19 | 2021-08-24 | Applied Materials, Inc. | Ring removal from processing chamber |
US12009236B2 (en) | 2019-04-22 | 2024-06-11 | Applied Materials, Inc. | Sensors and system for in-situ edge ring erosion monitor |
WO2020232074A1 (en) | 2019-05-14 | 2020-11-19 | Mattson Technology, Inc. | Plasma processing apparatus having a focus ring adjustment assembly |
US10964584B2 (en) * | 2019-05-20 | 2021-03-30 | Applied Materials, Inc. | Process kit ring adaptor |
US11626305B2 (en) | 2019-06-25 | 2023-04-11 | Applied Materials, Inc. | Sensor-based correction of robot-held object |
KR20210002175A (ko) | 2019-06-26 | 2021-01-07 | 삼성전자주식회사 | 센서 모듈 및 이를 구비하는 식각 장치 |
US11211269B2 (en) | 2019-07-19 | 2021-12-28 | Applied Materials, Inc. | Multi-object capable loadlock system |
US11469123B2 (en) * | 2019-08-19 | 2022-10-11 | Applied Materials, Inc. | Mapping of a replacement parts storage container |
US11823937B2 (en) * | 2019-08-19 | 2023-11-21 | Applied Materials, Inc. | Calibration of an aligner station of a processing system |
JP7412124B2 (ja) * | 2019-10-18 | 2024-01-12 | 東京エレクトロン株式会社 | 基板処理システム及びエッジリングを交換する方法 |
US11370114B2 (en) | 2019-12-09 | 2022-06-28 | Applied Materials, Inc. | Autoteach enclosure system |
JP7378318B2 (ja) | 2020-02-28 | 2023-11-13 | 東京エレクトロン株式会社 | 部品交換方法 |
JP7471106B2 (ja) | 2020-02-28 | 2024-04-19 | 東京エレクトロン株式会社 | 部品運搬装置 |
US11759954B2 (en) | 2020-03-17 | 2023-09-19 | Applied Materials, Inc. | Calibration of an electronics processing system |
JP7419154B2 (ja) | 2020-05-01 | 2024-01-22 | 東京エレクトロン株式会社 | 部品交換システムおよび部品交換装置 |
CN113644005A (zh) * | 2020-05-11 | 2021-11-12 | 中微半导体设备(上海)股份有限公司 | 一种半导体处理系统 |
USD954769S1 (en) | 2020-06-02 | 2022-06-14 | Applied Materials, Inc. | Enclosure system shelf |
USD980176S1 (en) | 2020-06-02 | 2023-03-07 | Applied Materials, Inc. | Substrate processing system carrier |
US20220020615A1 (en) * | 2020-07-19 | 2022-01-20 | Applied Materials, Inc. | Multiple process semiconductor processing system |
US11462389B2 (en) | 2020-07-31 | 2022-10-04 | Applied Materials, Inc. | Pulsed-voltage hardware assembly for use in a plasma processing system |
KR102523365B1 (ko) * | 2020-09-23 | 2023-04-21 | 세메스 주식회사 | 기판 처리 장치 |
US11901157B2 (en) | 2020-11-16 | 2024-02-13 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11798790B2 (en) | 2020-11-16 | 2023-10-24 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
CN114530361A (zh) * | 2020-11-23 | 2022-05-24 | 中微半导体设备(上海)股份有限公司 | 下电极组件、等离子体处理装置和更换聚焦环的方法 |
US11495470B1 (en) | 2021-04-16 | 2022-11-08 | Applied Materials, Inc. | Method of enhancing etching selectivity using a pulsed plasma |
US11948780B2 (en) | 2021-05-12 | 2024-04-02 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11791138B2 (en) | 2021-05-12 | 2023-10-17 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11755001B2 (en) * | 2021-05-18 | 2023-09-12 | Ford Global Technologies, Llc | Modular systems for industrial machinery |
US11967483B2 (en) | 2021-06-02 | 2024-04-23 | Applied Materials, Inc. | Plasma excitation with ion energy control |
JP7504058B2 (ja) | 2021-06-03 | 2024-06-21 | 東京エレクトロン株式会社 | 部品交換方法、部品交換装置、および部品交換システム |
US20220399185A1 (en) | 2021-06-09 | 2022-12-15 | Applied Materials, Inc. | Plasma chamber and chamber component cleaning methods |
US11810760B2 (en) | 2021-06-16 | 2023-11-07 | Applied Materials, Inc. | Apparatus and method of ion current compensation |
US11569066B2 (en) | 2021-06-23 | 2023-01-31 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
US11476090B1 (en) | 2021-08-24 | 2022-10-18 | Applied Materials, Inc. | Voltage pulse time-domain multiplexing |
KR102615218B1 (ko) | 2021-11-01 | 2023-12-15 | 세메스 주식회사 | 소모품 교체가 가능한 기판 처리 장치 |
US11972924B2 (en) | 2022-06-08 | 2024-04-30 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
KR20240014258A (ko) | 2022-07-25 | 2024-02-01 | 엘지전자 주식회사 | 에칭 장비 |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050205209A1 (en) * | 2004-03-18 | 2005-09-22 | Aelan Mosden | Replacing chamber components in a vacuum environment |
US20100211203A1 (en) * | 2009-02-17 | 2010-08-19 | Tokyo Electron Limited | Substrate processing system and substrate transfer method |
Family Cites Families (43)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4930634A (en) | 1987-09-29 | 1990-06-05 | Fluoroware, Inc. | Carrier for flat panel displays |
US5223112A (en) * | 1991-04-30 | 1993-06-29 | Applied Materials, Inc. | Removable shutter apparatus for a semiconductor process chamber |
JPH04346247A (ja) | 1991-05-23 | 1992-12-02 | Fujitsu Ltd | 半導体製造装置及びウェハ搬送アーム及びウェハ載置台 |
JP3909608B2 (ja) * | 1994-09-30 | 2007-04-25 | 株式会社アルバック | 真空処理装置 |
US6776289B1 (en) | 1996-07-12 | 2004-08-17 | Entegris, Inc. | Wafer container with minimal contact |
US5788082A (en) | 1996-07-12 | 1998-08-04 | Fluoroware, Inc. | Wafer carrier |
US6010008A (en) | 1997-07-11 | 2000-01-04 | Fluoroware, Inc. | Transport module |
US6267245B1 (en) | 1998-07-10 | 2001-07-31 | Fluoroware, Inc. | Cushioned wafer container |
US6092981A (en) | 1999-03-11 | 2000-07-25 | Applied Materials, Inc. | Modular substrate cassette |
JP2001179672A (ja) | 1999-12-21 | 2001-07-03 | Mitsubishi Electric Corp | ロボットハンド |
JP4389424B2 (ja) * | 2001-12-25 | 2009-12-24 | 東京エレクトロン株式会社 | 被処理体の搬送機構及び処理システム |
US7121414B2 (en) | 2001-12-28 | 2006-10-17 | Brooks Automation, Inc. | Semiconductor cassette reducer |
JP2004288727A (ja) * | 2003-03-19 | 2004-10-14 | Seiko Epson Corp | Cmp装置、cmp研磨方法、半導体装置及びその製造方法 |
US7682455B2 (en) * | 2003-07-11 | 2010-03-23 | Tec-Sem Ag | Device for storing and/or transporting plate-shaped substrates in the manufacture of electronic components |
JP2006120799A (ja) * | 2004-10-20 | 2006-05-11 | Tokyo Electron Ltd | 基板処理装置、基板載置台交換方法、及びプログラム |
TWI310974B (en) | 2005-07-15 | 2009-06-11 | Fabworx Solutions Inc | An end effecter |
JP4884801B2 (ja) | 2005-10-06 | 2012-02-29 | 東京エレクトロン株式会社 | 処理システム |
JP2007186757A (ja) | 2006-01-13 | 2007-07-26 | Tokyo Electron Ltd | 真空処理装置及び真空処理方法 |
US7717481B2 (en) | 2007-01-11 | 2010-05-18 | Applied Materials, Inc. | High temperature robot end effector |
US8562271B2 (en) * | 2007-05-18 | 2013-10-22 | Brooks Automation, Inc. | Compact substrate transport system |
US9002514B2 (en) * | 2007-11-30 | 2015-04-07 | Novellus Systems, Inc. | Wafer position correction with a dual, side-by-side wafer transfer robot |
TWI469901B (zh) | 2008-01-13 | 2015-01-21 | Entegris Inc | 晶圓容置箱及其製造方法 |
US8185242B2 (en) | 2008-05-07 | 2012-05-22 | Lam Research Corporation | Dynamic alignment of wafers using compensation values obtained through a series of wafer movements |
JP4921429B2 (ja) | 2008-07-03 | 2012-04-25 | 信越ポリマー株式会社 | 基板収納容器 |
US8652260B2 (en) * | 2008-08-08 | 2014-02-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus for holding semiconductor wafers |
JP2010123733A (ja) * | 2008-11-19 | 2010-06-03 | Tokyo Electron Ltd | 基板処理装置及びその処理方法、並びに記憶媒体 |
JP2010153585A (ja) * | 2008-12-25 | 2010-07-08 | Ebara Corp | 基板保持具および基板保持方法 |
TWI346638B (en) | 2008-12-26 | 2011-08-11 | Gudeng Prec Industral Co Ltd | A purging valve and a wafer container having the purging valve |
US8409995B2 (en) | 2009-08-07 | 2013-04-02 | Tokyo Electron Limited | Substrate processing apparatus, positioning method and focus ring installation method |
JP5650935B2 (ja) * | 2009-08-07 | 2015-01-07 | 東京エレクトロン株式会社 | 基板処理装置及び位置決め方法並びにフォーカスリング配置方法 |
JP5363277B2 (ja) | 2009-11-11 | 2013-12-11 | 信越ポリマー株式会社 | 基板収納容器、及び支持部材 |
JP5575507B2 (ja) * | 2010-03-02 | 2014-08-20 | 株式会社日立国際電気 | 基板処理装置、基板搬送方法、半導体装置の製造方法および基板処理装置のメンテナンス方法 |
JP5548163B2 (ja) | 2010-09-14 | 2014-07-16 | 株式会社日立国際電気 | 基板搬送機構、基板処理装置および半導体装置の製造方法 |
US8840754B2 (en) * | 2010-09-17 | 2014-09-23 | Lam Research Corporation | Polar regions for electrostatic de-chucking with lift pins |
US20170236737A1 (en) | 2010-10-20 | 2017-08-17 | Entegris, Inc. | Wafer container with door guide and seal |
JP6003011B2 (ja) * | 2011-03-31 | 2016-10-05 | 東京エレクトロン株式会社 | 基板処理装置 |
WO2013072760A2 (en) * | 2011-10-26 | 2013-05-23 | Brooks Automation, Inc. | Semiconductor wafer handling and transport |
PT2852469T (pt) * | 2012-04-26 | 2019-07-31 | Intevac Inc | Arquitetura de sistema para processamento sob vácuo |
TWI494174B (zh) * | 2012-05-16 | 2015-08-01 | Kern Energy Entpr Co Ltd | 基板表面處理設備 |
TWI674168B (zh) | 2015-07-27 | 2019-10-11 | 美商應用材料股份有限公司 | 升降杆致動器、基板材支撐組件、及利用基板材支撐組件的方法 |
US10062599B2 (en) | 2015-10-22 | 2018-08-28 | Lam Research Corporation | Automated replacement of consumable parts using interfacing chambers |
US9881820B2 (en) | 2015-10-22 | 2018-01-30 | Lam Research Corporation | Front opening ring pod |
US10124492B2 (en) | 2015-10-22 | 2018-11-13 | Lam Research Corporation | Automated replacement of consumable parts using end effectors interfacing with plasma processing system |
-
2015
- 2015-10-22 US US14/920,090 patent/US20170115657A1/en not_active Abandoned
-
2016
- 2016-03-11 KR KR1020160029812A patent/KR20170047149A/ko active Application Filing
- 2016-03-11 TW TW105107511A patent/TWI784924B/zh active
- 2016-03-11 SG SG10202003587PA patent/SG10202003587PA/en unknown
- 2016-03-11 SG SG10201601912YA patent/SG10201601912YA/en unknown
- 2016-03-18 JP JP2016054583A patent/JP2017085072A/ja active Pending
- 2016-03-31 CN CN201610196076.5A patent/CN106611727A/zh active Pending
- 2016-03-31 CN CN201910911341.7A patent/CN110828337A/zh active Pending
-
2017
- 2017-08-02 US US15/666,866 patent/US11112773B2/en active Active
-
2021
- 2021-02-15 JP JP2021021594A patent/JP2021077916A/ja active Pending
-
2023
- 2023-09-04 KR KR1020230117142A patent/KR20230130597A/ko not_active Application Discontinuation
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050205209A1 (en) * | 2004-03-18 | 2005-09-22 | Aelan Mosden | Replacing chamber components in a vacuum environment |
US20100211203A1 (en) * | 2009-02-17 | 2010-08-19 | Tokyo Electron Limited | Substrate processing system and substrate transfer method |
Also Published As
Publication number | Publication date |
---|---|
KR20170047149A (ko) | 2017-05-04 |
JP2017085072A (ja) | 2017-05-18 |
US20180032062A1 (en) | 2018-02-01 |
SG10201601912YA (en) | 2017-05-30 |
US11112773B2 (en) | 2021-09-07 |
TW201715565A (zh) | 2017-05-01 |
CN110828337A (zh) | 2020-02-21 |
SG10202003587PA (en) | 2020-05-28 |
CN106611727A (zh) | 2017-05-03 |
KR20230130597A (ko) | 2023-09-12 |
JP2021077916A (ja) | 2021-05-20 |
US20170115657A1 (en) | 2017-04-27 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI784924B (zh) | 在原位上自半導體處理模組移除並更換可消耗的零件之系統 | |
JP7383665B2 (ja) | 正面開口式リングポッド | |
US10770339B2 (en) | Automated replacement of consumable parts using interfacing chambers | |
US10427307B2 (en) | Automated replacement of consumable parts using end effectors interfacing with plasma processing system | |
CN113811987A (zh) | 自动化处理模块环定位及替换 |