KR20170047149A - 반도체 프로세스 모듈로부터 소모성 부품들을 인시츄 제거하고 교체하기 위한 시스템들 - Google Patents

반도체 프로세스 모듈로부터 소모성 부품들을 인시츄 제거하고 교체하기 위한 시스템들 Download PDF

Info

Publication number
KR20170047149A
KR20170047149A KR1020160029812A KR20160029812A KR20170047149A KR 20170047149 A KR20170047149 A KR 20170047149A KR 1020160029812 A KR1020160029812 A KR 1020160029812A KR 20160029812 A KR20160029812 A KR 20160029812A KR 20170047149 A KR20170047149 A KR 20170047149A
Authority
KR
South Korea
Prior art keywords
process module
consumable
module
replacement
replacement station
Prior art date
Application number
KR1020160029812A
Other languages
English (en)
Inventor
데이비드 디. 트러셀
알런 제이. 밀러
존 더허티
알렉스 패터슨
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170047149A publication Critical patent/KR20170047149A/ko
Priority to KR1020230117142A priority Critical patent/KR20230130597A/ko

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67733Overhead conveying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32212If parameter out of tolerance reject product
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/50Machine tool, machine tool null till machine tool work handling
    • G05B2219/50291Multi-tool, several tools
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Automation & Control Theory (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)

Abstract

클러스터 툴 어셈블리는 진공 이송 모듈, 진공 이송 모듈에 연결된 제 1 측면을 갖는 프로세스 모듈을 포함한다. 격리 밸브는 제 1 측면 및 제 2 측면을 갖고, 격리 밸브의 제 1 측면은 프로세스 모듈의 제 2 측면에 커플링된다. 교체 스테이션은 격리 밸브의 제 2 측면에 커플링된다. 교체 스테이션은 교환 핸들러 및 부품 버퍼를 포함한다. 부품 버퍼는 새로운 소모성 부품들 또는 사용된 소모성 부품들을 홀딩하기 위한 복수의 칸들을 포함한다. 프로세스 모듈은 프로세스 모듈에 설치된 소모성 부품의 교체를 인에이블하기 위해 상승된 위치로의 리프트 메커니즘을 포함한다. 상승된 위치는 프로세스 모듈로부터 소모성 부품의 제거를 인에이블하고 부품 버퍼의 칸에 저장하도록 교환 핸들러로의 액세스를 제공한다. 교체 스테이션의 교환 핸들러는 부품 버퍼로부터 다시 프로세스 모듈로 소모성 부품의 교체를 제공하도록 구성된다. 리프트 메커니즘은 교환 핸들러에 의해 교체를 위해 제공된 소모성 부품을 수용하고 설치 위치로 소모성 부품을 하강시키도록 구성된다. 프로세스 모듈 및 교체 스테이션이 진공 상태로 유지되는 동안 교환 핸들러 및 프로세스 모듈에 의한 교체가 수행된다.

Description

반도체 프로세스 모듈로부터 소모성 부품들을 인시츄 제거하고 교체하기 위한 시스템들{SYSTEMS FOR REMOVING AND REPLACING CONSUMABLE PARTS FROM A SEMICONDUCTOR PROCESS MODULE IN SITU}
본 실시예들은 반도체 웨이퍼를 제작하는데 사용된 클러스터 툴 어셈블리에 관한 것이고, 보다 구체적으로, 클러스터 툴 어셈블리에 배치된 프로세스 모듈 내에서 소모성 부품들의 제거 및 교체를 인에이블하는 클러스터 툴 어셈블리에 관한 것이다.
반도체 웨이퍼를 생성하기 위한 제작 프로세스에서 사용되는 통상적인 클러스터 툴 어셈블리는 하나 이상의 프로세스 모듈들을 포함하고, 프로세스 모듈 각각은 세정 동작, 증착, 에칭 동작, 린싱 동작, 건조 동작, 등과 같은 특정한 제작 동작을 수행하도록 사용된다. 이들 동작들을 수행하도록 사용된 화학물질들 및/또는 프로세싱 조건들은 프로세스 모듈 내에서 혹독한 조건들에 끊임없이 노출되는 프로세스 모듈의 하드웨어 컴포넌트들 (components) 중 일부에 손상을 유발한다. 이들 손상된 하드웨어 컴포넌트들은, 손상된 하드웨어 컴포넌트들이 프로세스 모듈 내의 다른 하드웨어 컴포넌트들을 혹독한 조건들에 노출시키지 않는 것을 보장하고, 그리고 반도체 웨이퍼의 품질을 보장하도록 즉시 교체될 필요가 있다. 예를 들어, 프로세스 모듈 내에서 반도체 웨이퍼에 인접하게 배치된 에지 링은 에칭 동작에서 사용된 프로세스 모듈 내에서 생성된 플라즈마로부터의 이온 충격에 대한 연속적인 노출 및 에지 링의 위치에 기인하여 자주 손상될 수도 있다. 손상된 에지 링은 손상된 에지 링이 척과 같은 하부 하드웨어 컴포넌트들을 혹독한 프로세스 조건들에 노출시키지 않는 것을 보장하도록 즉시 교체될 필요가 있다. 교체될 수 있는 하드웨어 컴포넌트들은 본 명세서에서 소모성 부품들로서 지칭된다.
손상된 소모성 부품을 교체하는 현 프로세스는 숙달된 서비스 기술자로 하여금 일련의 단계들을 수행하는 것을 요구한다. 기술자는 클러스터 툴 어셈블리를 오프라인 상태에 놓고, 독성 잔류물들로의 노출을 피하도록 클러스터 툴 어셈블리를 펌핑/퍼지하고, 클러스터 툴을 개방하고, 손상된 소모성 부품을 제거하고 그리고 손상된 소모성 부품을 새로운 소모성 부품으로 교체할 필요가 있다. 손상된 부품이 교체된다면, 기술자는 이어서 클러스터 툴을 세정하고, 진공에 클러스터 툴 어셈블리를 펌핑하고 그리고 웨이퍼 프로세싱을 위해 클러스터 툴 어셈블리를 컨디셔닝해야 한다. 일부 예들에서, 컨디셔닝은 반도체 웨이퍼 상에서 테스트 프로세스를 실행함으로써 클러스터 툴 어셈블리를 인증하는 것, 반도체 웨이퍼의 단면들을 취하는 것 그리고 프로세스 동작의 품질을 보장하도록 단면들을 분석하는 것을 수반할 수도 있다. 손상된 소모성 부품을 교체하는 것은 클러스터 툴 어셈블리로 하여금 상당한 시간량 동안 오프라인 상태에 있을 것을 요구하고, 이로써 반도체 제작업자의 이윤에 영향을 주는 매우 복잡하고 시간 소모성인 프로세스이다.
본 발명의 실시예들은 이 맥락에서 발생한다.
본 발명의 실시예들은 진공을 파괴할 필요 없이 (즉, 클러스터 툴 어셈블리를 대기 상태에 노출) 클러스터 툴 어셈블리 내에 배치된 프로세스 모듈의 손상된 하드웨어 컴포넌트들을 제거 및 교체하도록 설계된 클러스터 툴 어셈블리를 규정한다. 교체될 수 있는 손상된 하드웨어 컴포넌트는 본 명세서에서 소모성 부품으로서 또한 지칭된다. 클러스터 툴 어셈블리는 하나 이상의 프로세스 모듈들을 포함하고, 프로세스 모듈 각각은 반도체 웨이퍼 프로세싱 동작을 수행하도록 구성된다. 프로세스 모듈 내의 소모성 부품이 화학물질들 및 프로세스 조건들에 노출될 때, 소모성 부품은 손상되고 시기 적절한 방식으로 교체될 필요가 있다. 손상된 소모성 부품은 교체 스테이션을 클러스터 툴 어셈블리에 장착함으로써 클러스터 툴 어셈블리를 개방하지 않고 교체될 수도 있다. 교체 스테이션 및 프로세스 모듈은 소모성 부품의 교체를 허용하도록, 프로세스 모듈들이 진공 상태로 유지되는 동안 제어기로 하여금 교체 스테이션과 프로세스 모듈 사이의 액세스를 조정하게 인에이블하도록 제어기에 커플링된다.
손상된 소모성 부품으로의 용이한 액세스를 제공하기 위해, 프로세스 모듈은 리프트 메커니즘을 포함하도록 설계될 수도 있다. 인게이징될 (engaged) 때, 리프트 메커니즘은, 클러스터 툴 어셈블리 내에서 사용 가능한 로봇이 상승된 소모성 부품에 액세스하고 프로세스 모듈로부터 상승된 소모성 부품을 회수하기 위해 사용될 수도 있도록 소모성 부품으로 하여금 상승된 위치로 이동되게 하도록 구성된다. 교체 소모성 부품은 프로세스 모듈에 제공되고 그리고 리프트 메커니즘은 소모성 부품을 수용하고 프로세스 모듈의 위치로 하강시키도록 사용된다.
소모성 부품에 액세스하도록 교체 스테이션을 제공함으로써, 손상된 소모성 부품에 액세스하기 위해 대기 상태들에 클러스터 툴 어셈블리를 개방할 필요가 없다. 교체 스테이션은 진공으로 유지되고, 일부 구현예들에서, 이로써 소모성 부품의 교체 동안 오염의 위험이 제거된다. 그 결과, 프로세스 모듈을 실제 동작 상태로 바꾸도록 손상된 소모성 부품의 교체 후 프로세스 모듈을 리컨디셔닝하는데 (recondition) 요구되는 시간은 상당히 감소된다. 또한, 로봇 및 리프트 메커니즘은 소모성 부품의 회수 및 교체 동안 프로세스 모듈들 내의 어떠한 하드웨어에도 부주의하게 손상을 주는 위험 없이 소모성 부품의 교체를 허용한다.
본 개시의 실시예들은 클러스터 툴 어셈블리로 하여금 대기 상태들에 개방되는 것을 요구하지 않고 프로세스 모듈로부터 소모성 부품을 제거 및 교체하도록 사용될 수 있는 클러스터 툴 어셈블리를 제공한다. 교체 스테이션은 소모성 부품들의 설치 및 제거 동안 클러스터 툴 어셈블리의 오염 위험 및 프로세스 모듈의 하드웨어 컴포넌트들에 대한 손상을 감소시킨다. 클러스터 툴 어셈블리가 개방되지 않기 때문에, 클러스터 툴 어셈블리는 퍼지되거나 펌핑될 필요가 없다. 그 결과, 클러스터 툴 어셈블리를 컨디셔닝하고 인증하도록 요구되는 시간이 상당히 감소된다.
교체 스테이션은 3개의 상이한 위치들에 배치될 수도 있다. 일 위치에서, 롤-업 교체 스테이션은 진공으로 펌핑하고 프로세스 모듈로부터 직접 소모성 부품을 후퇴시키는 (retract) 능력을 갖고, 클러스터 툴 어셈블리 내에서 프로세스 모듈에 일시적으로 장착된다. 새로운 소모성 부품은 프로세스 모듈 내에 바로 배치된다. 이 위치에서, 교체 스테이션은 사용된 소모성 부품들 및 새로운 소모성 부품들을 홀딩하기 위한 로봇 및 부품 버퍼를 포함할 것이다. 격리 밸브는 프로세스 모듈 상에 남아 있을 것이다. 이 구성은 전체 클러스터 툴 어셈블리가 아닌 프로세스 모듈만이 이 유지보수 액티비티를 위해 오프라인 상태에 있을 것이기 때문에 바람직하다.
제 2 위치에서, 교체 스테이션은 진공 이송 모듈 (VTM: vacuum transfer module) 에 영구적으로 장착되고 그리고 VTM 내의 로봇은 프로세스 모듈로부터 소모성 부품을 제거 및 교체하도록 활용된다. 이 위치에서, 교체 스테이션은 전용 로봇을 요구하지 않지만, VTM 로봇의 엔드 이펙터는 반도체 웨이퍼들 및 소모성 부품들 양자를 이동하는 것을 핸들링할 것이다.
제 3 위치에서, 교체 스테이션은 대기 이송 모듈 (ATM: atmospheric transfer module) 에 영구적으로 장착되고 그리고 프로세스 모듈로부터 소모성 부품을 제거 및 교체하도록 ATM의 로봇 및 진공 이송 모듈 (VTM) 의 로봇을 활용한다. 이 위치에서, 교체 스테이션은 전용 로봇 암을 요구하지 않을 것이지만, VTM 및 ATM 로봇 엔드 이펙터들, 뿐만 아니라 ATM과 VTM 사이에 배치된 로드록 챔버는 반도체 웨이퍼들 및 소모성 부품들 양자를 핸들링할 것이다.
프로세스 모듈은 소모성 부품 리프트 메커니즘을 포함한다. 소모성 부품은 보통 에지 링과 같은 링이다. 소모성 부품은 로봇이 소모성 부품을 꺼내도록 리프팅되어야 할 것이다. 일 실시예에서, 리프트 메커니즘은 리프트 핀을 갖춘 진공-시일링된 액추에이터를 포함한다. 또 다른 실시예에서, 액추에이터는 진공으로 유지된다. 정상적인 동작 하에서, 리프트는 후퇴된 상태에 있고 소모성 부품과 콘택트하지 않는다. 소모성 부품이 교체될 필요가 있을 때, 액추에이터는 리프트 핀을 연장하고 소모성 부품을 상승시킨다. 로봇은 엔드 이펙터 (예를 들어, 로봇에 부착된 주걱-형상의 부품) 가 소모성 부품 아래에서 슬라이딩하도록 프로세스 모듈 내로 엔드 이펙터를 연장한다. 이어서 액추에이터는 리프트 핀을 후퇴시키고, 엔드 이펙터 상에 소모성 부품을 배치한다. 소모성 부품은 교체 스테이션 내로 다시 당겨진다. 역 순서가 프로세스 모듈 내에 새로운 소모성 부품을 배치하도록 사용된다.
일 실시예에서, 클러스터 툴 어셈블리가 개시된다. 클러스터 툴 어셈블리는 진공 이송 모듈, 프로세스 모듈 및 교체 스테이션을 포함한다. 프로세스 모듈은 제 1 측면 및 제 2 측면을 포함하고, 제 1 측면은 진공 이송 모듈에 연결된다. 격리 밸브의 제 1 측면은 프로세스 모듈의 제 2 측면에 커플링된다. 교체 스테이션은 격리 밸브의 제 2 측면에 커플링된다. 교체 스테이션은 교환 핸들러 및 부품 버퍼를 포함한다. 부품 버퍼는 새로운 소모성 부품들 또는 사용된 소모성 부품들을 홀딩하기 위한 복수의 칸들을 포함한다. 프로세스 모듈은 프로세스 모듈에 설치된 소모성 부품의 상승된 위치로의 배치를 인에이블하기 위한 리프트 메커니즘을 포함한다. 상승된 위치는 프로세스 모듈로부터 소모성 부품의 제거 및 부품 버퍼의 칸으로의 저장을 인에이블하도록 교환 핸들러로의 액세스를 제공한다. 교환 핸들러는 또한 부품 버퍼로부터 다시 프로세스 모듈로의 소모성 부품의 교체품의 설치를 인에이블한다. 리프트 메커니즘은 교환 핸들러에 의해 교체를 위해 제공된 소모성 부품을 수용하고 설치 위치로 소모성 부품을 하강시키도록 구성된다. 프로세스 모듈 및 교체 스테이션이 진공 상태로 유지되는 동안 교환 핸들러 및 프로세스 모듈에 의한 교체가 실시된다.
또 다른 실시예에서, 클러스터 툴 어셈블리가 개시된다. 클러스터 툴 어셈블리는 제 1 측면 및 제 2 측면을 갖는 진공 이송 모듈을 포함한다. 진공 이송 모듈은 로봇을 포함한다. 제 1 측면 및 제 2 측면을 갖는 제 1 격리 밸브는 클러스터 툴 어셈블리에 포함된다. 제 1 격리 밸브의 제 1 측면은 진공 이송 모듈의 제 1 측면에 커플링된다. 프로세스 모듈은 제 1 격리 밸브의 제 2 측면에 커플링된다. 제 2 격리 밸브는 진공 이송 모듈의 제 2 측면에 커플링된다. 교체 스테이션은 제 2 격리 밸브의 제 2 측면에 커플링된다. 교체 스테이션은 부품 버퍼를 포함한다. 부품 버퍼는 새로운 소모성 부품들 또는 사용된 소모성 부품들을 홀딩하기 위한 복수의 칸들을 포함한다. 프로세스 모듈은 프로세스 모듈에 설치된 소모성 부품의 상승된 위치로의 이동을 인에이블하기 위한 리프트 메커니즘을 포함한다. 상승된 위치는 프로세스 모듈로부터 소모성 부품의 제거를 인에이블하고 부품 버퍼의 칸에 저장하도록 진공 이송 모듈의 로봇으로의 액세스를 제공한다. 진공 이송 모듈의 로봇은 또한 부품 버퍼로부터 다시 프로세스 모듈로 소모성 부품의 교체품을 이동시키는 것을 인에이블한다. 리프트 메커니즘은 로봇에 의한 교체를 위해 제공된 소모성 부품을 수용하고 그리고 소모성 부품을 설치 위치로 하강시키도록 구성된다. 프로세스 모듈의 리프트 메커니즘 및 로봇에 의한 교체는 교체 스테이션, 진공 이송 모듈 및 프로세스 모듈이 진공 상태로 유지되는 동안 실시된다.
또 다른 실시예에서, 클러스터 툴 어셈블리가 개시된다. 클러스터 툴 어셈블리는 제 1 측면 및 제 2 측면을 갖는 진공 이송 모듈을 포함한다. 진공 이송 모듈은 로봇을 포함한다. 제 1 측면 및 제 2 측면을 갖는 제 1 격리 밸브는 클러스터 툴 어셈블리에 포함된다. 제 1 격리 밸브의 제 1 측면은 진공 이송 모듈의 제 1 측면에 커플링된다. 프로세스 모듈은 제 1 격리 밸브의 제 2 측면에 커플링된다. 제 2 격리 밸브는 진공 이송 모듈의 제 2 측면에 커플링된다. 교체 스테이션은 제 2 격리 밸브의 제 2 측면에 커플링된다. 교체 스테이션은 부품 버퍼를 포함한다. 부품 버퍼는 새로운 소모성 부품들 또는 사용된 소모성 부품들을 홀딩하기 위한 복수의 칸들을 포함한다. 프로세스 모듈은 프로세스 모듈에 설치된 소모성 부품의 상승된 위치로의 이동을 인에이블하기 위한 리프트 메커니즘을 포함한다. 상승된 위치는 프로세스 모듈로부터 소모성 부품의 제거 및 부품 버퍼의 칸으로의 저장을 인에이블하도록 진공 이송 모듈의 로봇으로의 액세스를 제공한다. 진공 이송 모듈의 로봇은 또한 부품 버퍼로부터 다시 프로세스 모듈로 소모성 부품의 교체품을 이동시키는 것을 인에이블한다. 리프트 메커니즘은 로봇에 의한 교체를 위해 제공된 소모성 부품을 수용하고 그리고 소모성 부품을 설치 위치로 하강시키도록 구성된다. 프로세스 모듈의 리프트 메커니즘 및 로봇에 의한 교체는 교체 스테이션, 진공 이송 모듈 및 프로세스 모듈이 진공 상태로 유지되는 동안 실시된다.
본 발명의 일 양태들은 본 발명의 원리들을 예에 의해 예시하는, 첨부 도면들과 함께 취해진, 다음의 상세한 기술로부터 명백해질 것이다.
본 발명은 첨부된 도면들과 함께 취해진 다음의 기술을 참조하여 가장 잘 이해될 수도 있다.
도 1은 본 발명의 일 실시예에서, 반도체 웨이퍼의 프로세싱에 사용된 프로세스 모듈에 장착된 교체 스테이션을 포함한 클러스터 툴 어셈블리의 간략화된 블록도를 예시한다.
도 2는 본 발명의 대안적인 실시예에서, 클러스터 툴의 진공 이송 모듈에 장착된 교체 스테이션을 포함한 클러스터 툴 어셈블리의 간략화된 블록도를 예시한다.
도 3은 본 발명의 대안적인 실시예에서, 클러스터 툴의 대기 이송 모듈에 장착된 교체 스테이션을 포함한 클러스터 툴 어셈블리의 간략화된 블록도를 예시한다.
도 4는 본 발명의 일 실시예에서, 소모성 부품으로의 액세스를 제공하도록 사용된 예시적인 리프트 메커니즘을 포함한 클러스터 툴 어셈블리의 프로세스 모듈의 일부분의 간략화된 블록도를 예시한다.
도 5a는 본 발명의 일 실시예에서, 소모성 부품을 이동시키기 위해 장착된 교체 스테이션을 갖는 프로세스 모듈의 간략화된 블록도를 예시하고, 여기서 리프트 메커니즘은 디스인게이징된 (disengaged) 모드에 있다.
도 5b는 본 발명의 일 실시예에서, 장착된 교체 스테이션을 갖는 프로세스 모듈의 간략화된 블록도를 예시하고, 여기서 리프트 메커니즘은 인게이징된 모드에 있다.
도 5c는 본 발명의 일 실시예에서, 프로세스 모듈 내에 소모성 부품을 교체하는데 사용된 프로세스 모듈 내의 리프트 메커니즘 및 진공 이송 모듈에 장착된 교체 스테이션을 갖는 프로세스 모듈의 간략화된 블록도를 예시한다.
도 6은 일 실시예에 따라, 클러스터 툴의 다양한 양태들을 제어하기 위한 제어 모듈을 예시한다.
본 개시의 실시예들은 반도체 웨이퍼를 프로세싱하도록 사용된 클러스터 툴 어셈블리를 규정한다. 클러스터 툴 어셈블리는 반도체 웨이퍼를 프로세싱하도록 사용된 프로세스 모듈을 포함한다. 교체 스테이션은 클러스터 툴 어셈블리에 장착된다. 교체 스테이션은 프로세스 모듈 또는 클러스터 툴 어셈블리를 오염시키는 위험 없이 소모성 부품의 교체를 위한 필수적인 프로세스 조건을 제공하도록 진공으로 유지된다. 교체 스테이션 내의 전용 로봇 또는 클러스터 툴 어셈블리 내에서 사용 가능한 로봇은 프로세스 모듈로부터 사용된 소모성 부품을 회수하고 그리고 새로운 소모성 부품으로 교체하도록 사용된다.
일부 실시예들에서, 교체 스테이션은 소모성 부품이 교체될 필요가 있는 클러스터 툴 어셈블리의 프로세스 모듈에 바로 장착된다. 이러한 실시예들에서, 교체 스테이션은 프로세스 모듈에 바로 커플링된다. 교체 스테이션에 형성된 로봇은 소모성 부품을 회수하고 대체하도록 사용된다.
일부 다른 실시예들에서, 교체 스테이션은 클러스터 툴 어셈블리 내에서 진공 이송 모듈 (VTM) 에 바로 장착될 수도 있다. 교체 스테이션은 클러스터 툴 어셈블리의 균일성 및 대칭을 유지하도록 장착된다. 프로세스 모듈들의 내외로 반도체 웨이퍼를 이동시키도록 사용된 VTM의 로봇은 또한 프로세스 모듈에 배치된 소모성 부품을 회수하고 교체하도록 사용된다.
일부 다른 실시예들에서, 교체 스테이션은 클러스터 툴 어셈블리의 대기 이송 모듈에 바로 장착될 수도 있다. 이러한 실시예들에서, 대기 이송 모듈의 로봇은 프로세스 모듈로부터의 소모성 부품에 액세스하고 프로세스 모듈로부터의 소모성 부품을 교체하도록 클러스터 툴 어셈블리의 진공 이송 모듈의 로봇과 함께 작동된다. 교체 스테이션은 클러스터 툴 어셈블리의 상이한 모듈들에 장착되고 그리고 클러스터 툴 어셈블리로 하여금 소모성 부품에 액세스하도록 대기 상태들에 개방될 필요 없이, 소모성 부품의 교체를 인에이블하도록 설계된다.
클러스터 툴 어셈블리의 종래의 설계는 클러스터 툴 어셈블리로 하여금 프로세스 모듈 내에서 소모성 부품에 액세스하고 소모성 부품을 교체하기 위해 개방되도록 요구했다. 클러스터 툴 어셈블리의 개방은 클러스터 툴 어셈블리를 오프라인 상태에 놓는 것 그리고 프로세스 모듈들로의 액세스를 허용하도록 대기 상태로 클러스터 툴 어셈블리를 퍼지하는 것을 요구했다. 클러스터 툴 어셈블리가 개방된다면, 숙달된 기술자는 프로세스 모듈로부터 소모성 부품을 수동으로 제거 및 교체할 것이다. 소모성 부품의 교체 시에, 클러스터 툴 어셈블리는 반도체 웨이퍼가 프로세싱될 수 있도록 컨디셔닝되어야 했다. 반도체 웨이퍼들은 값비싼 제품들이기 때문에, 극도의 관리가 클러스터 툴 어셈블리를 컨디셔닝할 때 취해져야 했다. 컨디셔닝은 클러스터 툴 어셈블리를 세정하는 것, 진공에 클러스터 툴 어셈블리를 펌핑하는 것, 클러스터 툴 어셈블리를 컨디셔닝하는 것 그리고 테스트 실행들을 사용하여 클러스터 툴 어셈블리를 인증하는 것을 요구할 것이다. 이들 단계들 각각은 상당한 시간과 노력을 요구한다. 클러스터 툴 어셈블리를 컨디셔닝하도록 매 단계에서 요구된 시간 외에, 문제들이 클러스터 툴 어셈블리의 컨디셔닝 동안 단계들 중 하나 이상에서 직면될 때 부가적인 지연을 겪을 수도 있다. 클러스터 툴 어셈블리의 컨디셔닝 동안 흔히 직면된 문제들 중 일부는, 교체 동안 소모성 부품의 오정렬, 손상된 소모성 부품 또는 사용된 소모성 부품을 교체할 때 새로운 소모성 부품에 대한 손상, 소모성 부품의 회수 또는 교체 동안 프로세스 모듈 내의 다른 하드웨어 컴포넌트들에 대한 손상, 펌핑 후 진공을 달성하지 않은 클러스터 툴 어셈블리, 프로세스 성능을 달성하지 않은 클러스터 툴 어셈블리, 등을 포함할 수도 있다. 문제 각각의 심각도에 기초하여, 부가적인 시간 및 노력이 소비되어야 할 수도 있고, 클러스터 툴 어셈블리를 온라인 상태에 놓는 지연에 다른 원인이 되고, 제작업자의 이윤에 직접적으로 영향을 준다.
클러스터 툴 어셈블리에 교체 스테이션을 장착하는 것 그리고 교체 스테이션을 통해 소모성 부품에 액세스하는 것은, 클러스터 툴 어셈블리를 유지하기 위해 요구되는 상당한 시간량과 노력을 절약한다. 소모성 부품, 프로세스 모듈 및/또는 클러스터 툴 어셈블리에 대한 손상의 위험은, 소모성 부품을 교체하기 위해 클러스터 툴 어셈블리 내에서 사용 가능한 로봇을 사용함으로써 최소화되고, 그리고 오염 위험은 교체 스테이션을 진공으로 유지함으로써, 따라서 외측 대기에 대한 클러스터 툴 어셈블리의 내부의 노출을 회피함으로써 최소화된다. 로봇을 사용하여, 프로세스 모듈의 다른 하드웨어 컴포넌트들에 대한 손상을 최소화하는 동안 프로세스 모듈 내의 소모성 부품의 보다 정확한 정렬을 달성할 수 있다. 그 결과, 클러스터 툴 어셈블리를 컨디셔닝하도록 요구된 시간은 크게 감소된다. 소모성 부품들의 시기 적절한 교체는 반도체 웨이퍼에 형성된 반도체 컴포넌트들의 품질 및 수율을 증가시킨다.
도 1은 일 실시예에서, 반도체 웨이퍼를 프로세싱하도록 사용된 클러스터 툴 어셈블리 (100) 의 간략화된 개략도를 예시한다. 클러스터 툴 어셈블리 (100) 는 반도체 웨이퍼로 하여금, 환경에 반도체 웨이퍼의 최소의 노출과 함께 제어된 환경에서 프로세싱되게 하도록 복수의 모듈들을 포함한다. 클러스터 툴 어셈블리 (100) 는 일 실시예에서, 대기 이송 모듈 (ATM) (102), 공동의 진공 이송 모듈 (VTM) (104) 및 하나 이상의 프로세스 모듈들 (112 내지 120) 을 포함한다. ATM (102) 은 주변 (즉, 대기) 조건 하에서 동작되고 그리고 프로세싱을 위해, 그리고 프로세싱 후 반도체 웨이퍼를 복귀시키기 위해 통합된 클러스터 툴 어셈블리 (100) 내로 반도체 웨이퍼를 옮기도록 웨이퍼 로더 (미도시) 와 인터페이싱한다. ATM (102) 은 반도체 웨이퍼를 웨이퍼 로더로부터 VTM (104) 으로 이동시키도록 로봇을 포함할 수도 있다. 로봇은 ATM (102) 이 대기 상태에 있기 때문에 건조 로봇의 부분일 수도 있다.
VTM (104) 은 반도체 웨이퍼가 일 프로세스 모듈로부터 또 다른 프로세스 모듈로 이동될 때 대기 공기에 대한 반도체 웨이퍼 표면의 노출을 최소화하도록 진공 하에서 동작된다. VTM (104) 이 진공 하에서 동작하고 ATM (102) 이 대기 상태에서 동작하기 때문에, 로드록 챔버 (110) 는 ATM (102) 과 VTM (104) 사이에 배치된다. 로드록 챔버 (110) 는 ATM (102) 으로부터 VTM (104) 으로의 반도체 웨이퍼의 이송을 허용하도록 제어된 인터페이스를 제공한다. 이 실시예에서, ATM (102) 내의 로봇은 반도체 웨이퍼를 로드록 챔버 (110) 내로 증착하도록 사용될 수도 있다. 별개의 로봇은 로드록 챔버 (110) 로부터 반도체 웨이퍼를 회수하고 그리고 프로세스 모듈 (112 내지 120) 의 내외로 반도체 웨이퍼를 이송하도록 VTM (104) 내에 제공될 수도 있다.
하나 이상의 프로세스 모듈들 (112 내지 120) 은 VTM (104) 에 의해 유지된 제어된 환경에서 반도체 웨이퍼로 하여금 일 프로세스 모듈로부터 또 다른 프로세스 모듈로 이동하게 하도록 VTM (104) 과 통합된다. 일부 실시예들에서, 프로세스 모듈들 (112 내지 120) 은 VTM (104) 주위에서 균일하게 분포될 수도 있고 그리고 별개의 프로세스 동작들을 수행하도록 사용된다. 프로세스 모듈들 (112 내지 120) 을 사용하여 실시될 수 있는 프로세스 동작들 중 일부는 에칭 동작, 린싱, 세정, 건조 동작, 플라즈마 동작, 증착 동작, 도금 동작, 등을 포함한다. 예로서, 프로세스 모듈 (112) 은 증착 동작을 수행하도록 사용될 수도 있고, 프로세스 모듈 (114) 은 세정 동작을 수행하도록 사용될 수도 있고, 프로세스 모듈 (116) 은 제 2 증착 동작을 수행하도록 사용될 수도 있고, 프로세스 모듈 (118) 은 에칭 또는 제거 동작 등을 수행하도록 사용될 수도 있다. 제어된 환경을 가진 VTM (104) 은 반도체 웨이퍼로 하여금 오염 위험 없이 프로세스 모듈들 (112 내지 120) 내외로 이송되게 하고 VTM (104) 내의 로봇은 VTM (104) 과 통합된 다양한 프로세스 모듈들 (112 내지 120) 내외로 반도체 웨이퍼를 이송하는 것을 돕는다.
일 실시예에서, 교체 스테이션 (108) 은 클러스터 툴 어셈블리 (100) 내에서 프로세스 모듈 (예를 들어, 프로세스 모듈들 (112 내지 120) 중 임의의 하나) 에 장착된다. 도 1에 예시된 예시적인 실시예에서, 교체 스테이션 (108) 은 프로세스 모듈 (118) 에 장착된다. 소모성 부품(들)이 프로세스 모듈들 (112 내지 120) 각각에서 교체될 필요하게 되는대로, 교체 스테이션은 다른 프로세스 모듈들 (112 내지 120) 중 임의의 하나에 장착되도록 구성될 수도 있다. 프로세스 모듈 (118) 은 예를 들어, 에칭 동작을 수행하도록 사용될 수도 있다. 교체 스테이션 (108) 은 프로세스 모듈 (118) 에서 사용된 소모성 부품을 회수 및 교체하도록 사용된다. 교체 스테이션 (108) 은 프로세스 모듈에 장착될 때, 진공으로 교체 스테이션 (108) 을 펌핑 및 유지하도록 펌프 메커니즘과 같은 메커니즘 (미도시) 을 포함한다.
일 실시예에서, 교체 스테이션 (108) 은 소모성 부품이 프로세스 모듈 (112 내지 120) 에서 교체될 필요가 있을 때, 격리 밸브를 통해 프로세스 모듈 (즉, 프로세스 모듈들 (112 내지 120) 중 임의의 하나) 에 커플링될 수도 있고 그리고 소모성 부품이 성공적으로 교체되었을 때 프로세스 모듈 (112 내지 120) 로부터 디커플링될 수도 있다. 이 실시예에서, 교체 스테이션 (108) 은 요구된 동작 (예를 들어, 소모성 부품의 교체) 을 완료하도록 프로세스 모듈에 일시적으로 장착되고, 프로세스 모듈에서 요구된 동작이 완료된다면 장착 해제되고, 그리고 소모성 부품을 교체하는 요구된 동작이 수행되는 상이한 프로세스 모듈로 후퇴되거나 이동되도록 설계된 이동식 모듈식 유닛이다. 예를 들어, 격리 밸브는 교체 스테이션 (108) 으로 하여금 진공으로 유지되게 한다.
교체 스테이션 (108) 은 소모성 부품을 수용 및 홀딩하도록 부품 버퍼를 포함한다. 일부 실시예들에서, 부품 버퍼는 프로세스 모듈로부터 회수된 사용된 소모성 부품들 및 프로세스 모듈로 전달될 새로운 소모성 부품들을 수용하기 위한 복수의 칸들을 포함할 수도 있다. 일 실시예에서, 교체 스테이션이 격리 밸브에 장착되는 경우에, 교체 스테이션 (108) 내의 개구는 교체 스테이션 (108) 내외로의 소모성 부품의 이동을 허용하도록 사이징된다.
소모성 부품은 프로세스 모듈 내에서 프로세스 조건들에 대한 소모성 부품의 연속적인 노출에 기인하여 교체될 필요가 있는 프로세스 모듈 내의 하드웨어 부품이다. 반도체 웨이퍼의 프로세싱 동안 사용된 혹독한 프로세스 조건들에 대한 소모성 부품의 연속적인 노출의 결과로서, 소모성 부품은 소모성 부품이 즉시 교체될 수 있도록 손상이 허용 가능한 레벨을 초과한 때를 결정하기 위해 면밀히 모니터링될 필요가 있다. 예를 들어, 에칭 프로세스 모듈에서, 에지 링은 반도체 웨이퍼의 프로세스 구역을 연장하도록 척 어셈블리 상에 장착된 반도체 웨이퍼에 인접하게 배치된다. 에칭 동작 동안, 에지 링은 반도체 웨이퍼의 표면 상에 피처들을 형성하도록 사용된 플라즈마로부터의 이온 충격에 노출된다. 시간이 지남에 따라, 연속적인 노출의 결과로서, 에지 링은 손상될 수도 있다. 에지 링이 허용 가능한 레벨을 넘어 손상될 때, 에지 링은 에지 링의 손상이 다른 하부 컴포넌트들을 노출시키지 않도록 또는 그렇지 않으면 반도체 웨이퍼 프로세싱에 부정적으로 영향을 주지 않도록 교체될 필요가 있다.
통상적인 에칭 동작에서, 플라즈마로부터의 이온들은 프로세스 모듈에 수용될 때, 반도체 웨이퍼 위에 규정된 프로세스 구역 내에 형성된 플라즈마 시스에 직각인 각으로 반도체 웨이퍼 표면과 부딪친다. 에지 링의 층들이 이온 충격에 기인하여 마모되어 없어질 때, 반도체 웨이퍼의 에지는 노출되고 플라즈마 시스로 하여금 반도체 웨이퍼 에지의 윤곽을 따라 롤링되게 한다. 그 결과, 반도체 웨이퍼 표면과 부딪치는 이온들은 플라즈마 시스의 윤곽을 따르고 이로써 틸트 피처들로 하여금 반도체 웨이퍼 표면의 에지를 향하여 형성되게 한다. 이들 틸트 피처들은 반도체 웨이퍼 상에 형성된 반도체 컴포넌트들의 전체 수율에 영향을 줄 것이다. 또한, 에지 링의 층들이 마모되어 없어지기 때문에, 예를 들어, 척과 같은 하부 컴포넌트는 이온들에 노출될 수도 있고, 척 표면에 손상을 준다. 수율을 개선하고 임의의 하부 컴포넌트들에 대한 손상을 회피하도록, 에지 링 (즉, 소모성 부품) 은 주기적으로 교체될 필요가 있다.
프로세스 모듈 (118) 에 장착된 교체 스테이션 (108) 은 소모성 부품 (즉, 에지 링) 으로 하여금 프로세스 모듈에서 진공을 깨지 않고 용이하게 교체되게 할 것이다. 일 실시예에서, 교체 스테이션 (108) 은 교체될 필요가 있는 소모성 부품을 회수, 그리고 새로운 소모성 부품을 전달하기 위해 프로세스 모듈 (예를 들어, 프로세스 모듈 (118)) 내로 엔드 이펙터를 연장시키도록 구성된 전용 로봇을 포함한다. 프로세스 모듈 내의 리프트 메커니즘은 소모성 부품으로의 액세스를 제공한다. 교체 스테이션 (108) 의 로봇은 리프트 메커니즘의 리프트 핀 상에 새로운 소모성 부품을 배치하도록 작동할 수도 있고, 그리고 리프트 메커니즘은 프로세스 모듈 내의 그 위치에 새로운 소모성 부품을 설치할 것이다.
일 실시예에서, 소모성 부품을 교체하는 것을 돕기 위해서, 교체 스테이션은 제 1 격리 밸브를 통해 프로세스 모듈 (예를 들어, 프로세스 모듈들 (112 내지 120) 중 임의의 하나 또는 각각) 에 장착된다. 교체 스테이션으로부터 로봇은 프로세스 모듈로부터 소모성 부품을 액세스 및 회수하고 그리고 교체 스테이션 내에 형성된 부품 버퍼 내로 이동하고 그리고 부품 버퍼로부터 교체 소모성 부품을 제공하도록 사용된다. 일 실시예에서, 제 1 격리 밸브는 프로세스 모듈에서 소모성 부품의 회수 및 교체를 조정하도록 제어기에 작동식으로 연결될 수도 있다.
프로세스 모듈에 교체 스테이션을 장착하도록 제 1 격리 밸브를 사용하는 것에 더하여, 프로세스 모듈은 제 2 격리 밸브를 사용하여 클러스터 툴 어셈블리 (100) 의 진공 이송 모듈 (VTM) 에 커플링될 수도 있다. 인게이징될 때, 제 2 격리 밸브는 프로세스 모듈 내의 소모성 부품의 교체가 클러스터 툴 어셈블리 (100) 의 다른 프로세스 모듈들의 동작에 영향을 주지 않고 용이하게 실시될 수 있도록 클러스터 툴 어셈블리 (100) 의 나머지로부터 프로세스 모듈 (112 내지 120) 을 격리시키도록 구성된다. 제 2 격리 밸브를 제공하는 것은 클러스터 툴 어셈블리 (100) 내의 프로세스 모듈들 (112 내지 120) 의 나머지가 반도체 웨이퍼의 프로세싱을 계속하게 허용될 수도 있는 동안, 특정한 프로세스 모듈 (112 내지 120 중 임의의 하나) 로 하여금 전체 클러스터 툴 어셈블리 (100) 대신에 오프라인 상태를 취하게 한다. 또한, 특정한 프로세스 모듈 (예를 들어, 112 내지 120 중 임의의 하나) 만이 소모성 부품(들)을 교체하기 위해 오프라인 상태에 있기 때문에, 프로세스 모듈 (112 내지 120) 및 클러스터 툴 어셈블리 (100) 를 완전한 동작 상태로 복구하기 위해 상당히 보다 적은 시간이 걸릴 것이다. 그 결과, 클러스터 툴 어셈블리 (100) 의 동작을 컨디셔닝하고 인증하기 위해 걸리는 시간은 훨씬 더 짧다. VTM (104) 의 로봇은 반도체 웨이퍼 프로세싱 동안 프로세스 모듈 (112 내지 120) 내외로 반도체 웨이퍼를 이동시키도록 사용될 수도 있다.
교체 스테이션 (108) 의 로봇으로 하여금 프로세스 모듈 (112 내지 120) 로부터 소모성 부품을 회수하게 하도록, 소모성 부품은 용이하게 액세스 가능해야 한다. 프로세스 모듈 (112 내지 120) 은 일 실시예에서, 교체될 필요가 있는 소모성 부품으로의 액세스를 제공하는 리프트 메커니즘을 포함한다. 리프트 메커니즘은 일부 실시예들에서, 소모성 부품을 상승된 위치로 이동시키도록 연장될 수 있는 리프트 핀들을 포함할 수도 있다. 교체 스테이션 (108) 에서 로봇의 엔드 이펙터는 프로세스 모듈 (112 내지 120) 내로 연장되고 그리고 소모성 부품 아래에서 슬라이딩된다. 이어서 리프트 메커니즘은 소모성 부품을 로봇의 엔드 이펙터 상에 놓은 채로 리프트 핀을 후퇴시킨다. 이어서 소모성 부품과 함께 엔드 이펙터는 프로세스 모듈 (112 내지 120) 로부터 교체 스테이션 (108) 내로 후퇴된다. 새로운 소모성 부품은 로봇의 엔드 이펙터를 사용하여 프로세스 모듈 (112 내지 120) 로 이동되고 리프트 메커니즘의 리프트 핀들은 새로운 소모성 부품을 수용하도록 연장된다. 리프트 메커니즘의 리프트 핀들은 프로세스 모듈 (112 내지 120) 의 위치 내로 새로운 소모성 부품을 정렬하도록 함께 동작한다. 리프트 메커니즘을 사용하여 소모성 부품을 회수 및 교체하는 프로세스는 도 4를 참조하여 보다 상세히 논의될 것이다.
일부 실시예들에서, 전체 클러스터 툴 어셈블리 (100) 가 소모성 부품을 교체하도록 오프라인 상태에 있어야 할 수도 있다. 이것은 예를 들어, 2개 이상의 프로세스 모듈 (112 내지 120) 내에서 2개 이상의 소모성 부품이 교체될 필요가 있을 때 일어날 수도 있다. 심지어 이러한 실시예들에서, 클러스터 툴 어셈블리 (100) 를 오프라인 상태에 있게 하고, 프로세스 모듈(들) (112 내지 120) 에 교체 스테이션을 장착하고, 소모성 부품을 제거 및 교체하고, 클러스터 툴 어셈블리 (100) 를 컨디셔닝하고 인증하는 시간은, 교체 스테이션 및 프로세스 모듈(들)이 진공으로 유지되기 때문에 훨씬 보다 짧을 수도 있다. 그 결과, 클러스터 툴 어셈블리 (100) 의 프로세스 조건 (즉, 진공) 은 소모성 부품의 교체 동안 부정적으로 영향을 받지 않는다. 또한, 교체가 로봇을 사용하여 행해지기 때문에, 소모성 부품의 보다 정확한 회수 및 배치가 엔지니어링될 수도 있고, 이로써 소모성 부품 및/또는 프로세스 모듈 (112 내지 120) 에 대한 손상의 위험이 회피된다.
일부 구현예들에서, 교체 스테이션이 장착되는 프로세스 모듈의 측면에서의 개구는 소모성 부품이 개구를 통해 용이하게 피팅할 (fit) 수 있도록 사이징될 수도 있다. 또한, 프로세스 모듈 (112 내지 120) 내의 개구는 전체적으로 프로세스 모듈 (112 내지 120) 및 클러스터 툴 어셈블리 (100) 에서 일어날 수도 있는 모든 비대칭 이슈들을 최소화하도록 설계될 수도 있다.
도 1을 참조하여 논의된 다양한 실시예들 및 구현예들은 프로세스 모듈 (112 내지 120) 내의 소모성 부품이 교체될 필요가 있을 때 교체 스테이션 (108) 으로 하여금 프로세스 모듈 (112 내지 120) 에 일시적으로 장착되게 하고, 소모성 부품의 교체가 완료될 때 후퇴되게 한다. 교체 스테이션 (108) 은 사용된 소모성 부품들 및 새로운 소모성 부품들을 수용 및 홀딩하도록 2개의 별개의 홀딩 영역들을 가진 단일의 부품 버퍼를 포함할 수도 있거나 대안적으로 사용된 소모성 부품들 및 새로운 소모성 부품들을 홀딩하기 위한 상이한 부품 버퍼들을 별도로 가질 수도 있다. 부품 버퍼(들) 및 교체 스테이션 (108) 에 제공된 로봇은 프로세스 모듈 (112 내지 120) 내외로의 소모성 부품의 직접적인 전달 및 회수를 허용한다. 프로세스 모듈 (112 내지 120) 내의 격리 밸브들은 전체 클러스터 툴 어셈블리 (100) 대신에 프로세스 모듈 (112 내지 120) 만을 오프라인 상태에 있게 한다.
도 2는 클러스터 툴 어셈블리 (100) 의 대안적인 실시예를 예시하고, 교체 스테이션 (108) 은 프로세스 모듈 (112 내지 118) 대신에 클러스터 툴 어셈블리 (100) 에 배치된 진공 이송 모듈 (VTM) (104) 에 장착되도록 구성된다. VTM (104) 은 반도체 웨이퍼를 로드록 챔버 (110) 로부터 프로세스 모듈 (112 내지 118) 로, 그리고 VTM (104) 과 통합된 하나 이상의 프로세스 모듈들 (112 내지 118) 내외로 이동시키도록, 반도체 웨이퍼의 프로세싱 동안 사용된 로봇을 포함한다. 로봇은 상이한 프로세스 모듈들 사이에서 반도체 웨이퍼를 수용, 홀딩 그리고 이동시키도록 사용된 엔드 이펙터를 포함한다. 별개의 개구는 교체 스테이션 (108) 으로 하여금 교체 스테이션 (108) 이 VTM (104) 에 형성된 개구와 정렬하기 위해 장착되게 하도록 VTM (104) 에 형성된다. 대칭이 중요한 위치에, VTM (104) 내의 개구가 VTM (104) 의 균일성 및 대칭, 그리고 클러스터 툴 어셈블리 (100) 의 균일성 및 대칭을 보존하도록 형성된다. 예를 들어, 더미 도어를 갖는 더미 (dummy) 개구는 VTM (104) 에서 균일성 및 대칭을 유지하도록 개구에 반대되는 VTM (104) 에 형성될 수도 있다. 대안적으로, 더미 개구가 VTM (104) 에 이미 존재하고 개구가 소모성 부품을 이동시키도록 충분히 크다면, 교체 스테이션 (108) 은 클러스터 툴 어셈블리 (100) 의 균일성 및 대칭을 유지하는 것을 계속하도록 더미 개구에 장착될 수도 있다.
통상적으로, VTM (104) 내의 개구는 VTM (104) 내외로 반도체 웨이퍼를 이동시키도록 사용된 캐리어/로봇 및 반도체 웨이퍼에 피팅하도록 사이징된다. 그러나, 반도체 웨이퍼보다 보다 큰 소모성 부품은 피팅될 수도 없다. 예를 들어, 프로세스 모듈 (112 내지 118) 에 수용될 때 반도체 웨이퍼를 둘러싸도록 배치된 에지 링은, 반도체 웨이퍼보다 보다 넓다. 이러한 경우들에서, 에지 링은 개구를 리엔지니어링하지 (re-engineering) 않고, 반도체 웨이퍼를 이동시키도록 설계되었던 개구를 통해 피팅되지 않을 수도 있다. 일부 경우들에서, VTM (104) 의 개구를 리엔지니어링하는 것은 클러스터 툴 어셈블리의 대칭에 영향을 줄 수도 있기 때문에 실행가능한 옵션이 아닐 수도 있다. 그러므로, VTM (104) 의 개구를 리엔지니어링하는 것 그리고 클러스터 툴 어셈블리 (100) 의 비대칭을 유발하는 것 대신에, 세그먼트화된 소모성 부품은 세그먼트 각각이 개구를 통해 피팅될 수도 있도록 사용될 수도 있다. 예를 들어, 프로세스 모듈에서 반도체 웨이퍼를 둘러싸도록 사용된 에지 링은 2개 이상의 부품들로 이루어진 세그먼트화된 에지 링으로서 설계될 수도 있고, 부품 각각은 교체 스테이션 (108) 및 VTM (104) 의 개구를 통해 피팅되도록 설계된다. 이 예에서, 세그먼트화된 에지 링은 개별적으로 추출 및 교체될 수도 있다.
소모성 부품, 특히 멀티-부품 세그먼트화된 소모성 부품을 교체할 때, 소모성 부품의 세그먼트 각각은 어떠한 갭도 세그먼트들 사이에서 형성되지 않도록 프로세스 모듈 내에서 적절하게 정렬 및 설정되어야 한다. 고 종횡비 에칭 동작과 같은 프로세스 동작들에서, 에칭 프로세스 모듈의 임의의 컴포넌트들 사이에 존재하는 갭은 이온으로 하여금 임의의 하부 컴포넌트를 통해 흐르게 할 것이고 임의의 하부 컴포넌트에 손상을 줄 것임이 주의되어야 한다. 예를 들어, 고 종횡비 에칭 장비 모듈에서 에지 링 내의 갭은 고 에너지 이온으로 하여금 상부에 에지 링이 배치될 수도 있는 하부 척을 통해 흐르게 할 것이고, 척의 표면을 손상시킬 것이다. 갭이 형성되는 것을 방지하기 위해서, 세그먼트화된 소모성 부품은 세그먼트 각각이 프로세스 모듈에 설치될 때 다른 세그먼트와 단단히 피팅되는 것을 보장하도록 설계될 수도 있다. 따라서, 일부 구현예들에서, 세그먼트화된 또는 멀티-부품 소모성 부품은 인터로킹 (interlocking) 세그먼트들을 갖도록 설계될 수도 있다. 대안적으로, 소모성 부품은 이온 또는 프로세싱 가스/화학물질로 하여금 하부 컴포넌트로의 직접적인 플로우 경로를 찾는 것을 방지하기 위해 오버랩핑 세그먼트들을 갖도록 설계될 수도 있다. 예를 들어, 일부 구현예들에서, 소모성 부품은 VTM (104) 과 교체 스테이션 사이에 형성된 개구를 통해 전적으로 또는 단편적으로 피팅될 수 있는 내측 부품 및 외측 부품으로 이루어질 수도 있고, 그리고 프로세스 모듈에서 다른 부품 위에 하나의 부품이 오버랩핑하게 설치될 수도 있고, 이로써 갭이 형성되는 것을 방지한다. 소모성 부품의 설계의 다른 변동들은 소모성 부품으로 하여금, 클러스터 툴 어셈블리의 대칭을 유지하도록 설계된 개구를 리엔지니어링하지 않고 VTM (104) 내외로 이동되게 인에이블하도록 구현될 수도 있다.
일 실시예에서, 교체 스테이션 (108) 에서 전용 로봇을 사용하는 것 대신에, 프로세스 모듈 내외로 반도체 웨이퍼를 이동시키도록 VTM (104) 에서 사용된 로봇은, 또한 소모성 부품의 회수 및 교체에서 사용될 수도 있다. 일부 구현예들에서, 프로세스 모듈들 사이에서 반도체 웨이퍼를 이동시키도록 사용된 로봇의 엔드 이펙터는 또한 프로세스 모듈 (112 내지 118) 과 교체 스테이션 (108) 사이에 소모성 부품을 수용, 홀딩 그리고 이동시키도록 사용된다. 다른 구현예들에서, VTM (104) 의 로봇은 소모성 부품 및 반도체 웨이퍼를 이동시키기 위해 상이한 엔드 이펙터들을 갖도록 설계된다. 엔드 이펙터는 보통 반도체 웨이퍼 또는 소모성 부품과 같은 이동식 부품을 회수, 지지, 홀딩, 피킹, 리프팅, 이동, 또는 회전시키기 위해 로봇 내에 형성된 부품이다. 이동식 부품은 임의의 배향 면에 홀딩될 수도 있다. 별개의 엔드 이펙터들은 반도체 웨이퍼의 오염을 방지하도록 소모성 부품 및 반도체 웨이퍼를 별도로 이동시키도록 제공될 수도 있다.
대안적인 실시예에서, 교체 스테이션 (108) 내의 전용 로봇은 프로세스 모듈에서 소모성 부품을 추출 및 교체하도록 VTM (104) 의 로봇과 함께 동작할 수도 있다. 예를 들어, VTM (104) 의 로봇은 사용된 소모성 부품을 프로세스 모듈로부터 추출하고 그리고 사용된 소모성 부품을 VTM (104) 과 교체 스테이션 (108) 사이에 형성된 집결 영역으로 이동시키도록 사용될 수도 있다. 교체 스테이션 (108) 의 전용 로봇은 사용된 소모성 부품을 집결 영역으로부터 부품 버퍼 내로 이동시키도록 사용될 수도 있다. 유사하게, 교체 스테이션 (108) 의 전용 로봇은 새로운 소모성 부품을 교체 스테이션 (108) 의 부품 버퍼로부터 집결 영역 내로 이동시키도록 사용될 수도 있고 그리고 VTM (104) 의 로봇은 새로운 소모성 부품을 집결 영역으로부터 프로세스 모듈로 이동시키도록 사용될 수도 있다. 일 실시예에서, 집결 영역은 사용된 소모성 부품을 수용하기 위한 제 1 영역 및 새로운 소모성 부품을 수용하기 위한 제 2 영역을 가질 수도 있다. 프로세스 모듈 (112 내지 118) 에서 리프트 메커니즘은 프로세스 모듈 (112 내지 118) 에 새로운 소모성 부품을 설치하도록 사용된다.
도 2에 예시된 실시예의 교체 스테이션 (108) 의 설계는 도 1을 참조하여 논의된 교체 스테이션 (108) 의 설계와 유사하다. 예를 들어, 도 2의 교체 스테이션 (108) 은 교체 스테이션 (108) 이 VTM (104) 에 장착될 때 교체 스테이션 (108) 을 진공으로 유지하도록 펌프와 같은 메커니즘을 포함한다. VTM (104) 과 유사한 교체 스테이션 (108) 의 프로세스 조건을 (즉, 진공으로) 유지하는 것은, VTM (104) 내의 프로세스 조건이 소모성 부품의 교체 동안 부정적으로 영향을 받지 않는다는 것을 보장할 것이다. 하나 이상의 부품 버퍼들은 사용된 소모성 부품들 및 새로운 소모성 부품들을 수용 및 홀딩하도록 교체 스테이션 (108) 에 형성된다.
도 2에 예시된 프로세스 모듈 (118) 의 설계는 도 1에 규정된 프로세스 모듈 (118) 과 약간 상이하다. 즉, 도 2에 예시된 프로세스 모듈은 제 2 개구를 포함하지 않는다. 예를 들어, 교체 스테이션 (108) 이 VTM (104) 에 바로 장착되고 교체 스테이션 (108) 으로부터 프로세스 모듈 (118) 로의 액세스가 VTM (104) 을 통해 제공되기 때문에, 프로세스 모듈 (118) 은 교체 스테이션 (108) 을 장착하기 위한 제 2 개구가 필요하지 않다. 부가적으로, 단일의 격리 밸브는 소모성 부품의 교체 동안 VTM (104) 을 통해 프로세스 모듈 (118) 로의 액세스를 제공하도록 그리고 반도체 웨이퍼의 프로세싱 동안 프로세스 모듈을 격리시키기 위해 사용된다. 교체 스테이션 (108) 은 소모성 부품이 클러스터 툴 어셈블리 (100) 의 프로세스 조건에 부정적으로 영향을 주지 않고 용이하게 교체될 수도 있도록 진공으로 유지된다는 것을 주의해야 한다. 그 결과, 반도체 웨이퍼를 프로세싱하도록 클러스터 툴 어셈블리 (100) 를 컨디셔닝하고 인증하는 것은 퍼지/펌프 프로세스가 요구되지 않고 다른 인증 단계들이 보다 짧은 시간에 수행되기 때문에 보다 짧은 시간에 성취될 수도 있다. 일부 구현예들에서, 교체 스테이션 (108) 은 VTM (104) 에 영구적으로 장착될 수도 있다.
도 3은 클러스터 툴 어셈블리 (100) 의 또 다른 실시예를 예시하고, 교체 스테이션 (108) 은 대기 이송 모듈 (ATM) (102) 에 장착된다. 반도체 웨이퍼를 로더로부터 예를 들어, 로드록 챔버 (110) 로 이동시키도록 사용된 클러스터 툴 어셈블리 (100) 의 ATM (102) 내의 로봇은 또한 소모성 부품을 교체 스테이션 (108) 으로 그리고 교체 스테이션 (108) 으로부터 이동시키도록 사용된다. 이 실시예에서, ATM (102) 에 장착된 교체 스테이션 (108) 은 ATM (102) 과 동일한 대기 상태로 유지된다. 그 결과, 교체 스테이션 (108) 은 교체 스테이션 (108) 을 진공으로 유지하도록 펌프 또는 유사한 메커니즘이 필요하지 않다. 일부 구현예들에서, 교체 스테이션 (108) 은 ATM (102) 에 영구적으로 장착될 수도 있다.
ATM (102) 에 더하여, 도 3에 예시된 클러스터 툴 어셈블리 (100) 는 진공 이송 모듈 (VTM) (104) 및 VTM (104) 과 통합된 복수의 프로세스 모듈들 (112 내지 120) 을 포함한다. 로드록 챔버 (110) 는 ATM (102) 과 VTM (104) 의 프로세스 조건들을 보존하는 동안, ATM (102) 과 VTM (104) 사이에 형성되고 그리고 반도체 웨이퍼를 ATM (102) 으로부터 VTM (104) 으로 이동시키도록 인터페이스의 역할을 한다.
클러스터 툴 어셈블리 (100) 의 로드록 챔버 (110) 는 반도체 웨이퍼 및 소모성 부품 양자를 핸들링하도록 설계된다. 칸들과 같은 별개의 집결 영역들은 반도체 웨이퍼를 오염시키는 것을 회피하도록 반도체 웨이퍼 및 소모성 부품을 수용하기 위해 로드록 챔버 (110) 에 제공될 수도 있다. 소모성 부품을 수용하기 위해 설계된 로드록 챔버 (110) 내의 집결 영역은 사용된 소모성 부품 및 새로운 소모성 부품을 수용하기 위한 집결 영역들의 분리를 제공하도록 더 구성될 수도 있다. 로드록 챔버 (110) 내에 형성된 개구는 소모성 부품 및 반도체 웨이퍼와 피팅하도록 설계된다. 대안적으로, 개구가 소모성 부품과 피팅하도록 설계되지 않을 때, 세그먼트화된 소모성 부품은 소모성 부품의 세그먼트 각각이 로드록 챔버 (110) 에 형성된 개구를 통해 피팅될 수도 있도록 사용될 수도 있다.
도 3에 예시된 실시예에서, 반도체 웨이퍼를 로드록 챔버 (110) 로부터 VTM (104) 에 통합된 프로세스 모듈 (112 내지 120) 로 또는 일 프로세스 모듈 (112 내지 120) 로부터 또 다른 프로세스 모듈로 이동시키도록 사용된 VTM (104) 내의 로봇은, 또한 소모성 부품을 로드록 챔버 (110) 와 프로세스 모듈 (112 내지 120) 사이로 이동시키도록 사용된다.
일부 구현예들에서, ATM (102) 및 VTM (104) 의 로봇들에 더하여, 교체 스테이션 (108) 은 소모성 부품을 교체 스테이션의 부품 버퍼와 ATM (102) 사이로 이동시키도록 구성된 전용 로봇을 포함할 수도 있다. 이러한 실시예들에서, ATM (102) 의 로봇은 소모성 부품 및 반도체 웨이퍼를 ATM (102) 과 로드록 챔버 (110) 사이로 이동시키도록 사용될 수도 있고, 그리고 VTM (104) 의 로봇은 소모성 부품 및 반도체 웨이퍼를 로드록 챔버 (110) 와 프로세스 모듈 (112 내지 120) 사이로 이동시키도록 사용될 수도 있다. 일 구현예에서, 단일의 엔드 이펙터는 반도체 웨이퍼 및 소모성 부품 양자를 이동시키도록 상이한 시간들에 인게이징될 수도 있는 ATM (102) 및 VTM (104) 의 로봇들에 제공될 수도 있다. 또 다른 실시예에서, 별개의 엔드 이펙터들이 ATM (102) 및 VTM (104) 의 로봇들에 제공될 수도 있고, 하나의 엔드 이펙터는 반도체 웨이퍼를 이동시키기 위한 것이고 또 다른 엔드 이펙터는 소모성 부품을 이동시키기 위한 것이다. 리프트 메커니즘이 프로세스 모듈 (112 내지 120) 내에서 적절한 위치에 새로운 소모성 부품을 적절하게 정렬 및 설치하도록 사용된다.
클러스터 툴 어셈블리에 통합된 프로세스 모듈에서 소모성 부품을 교체하는 것은 프로세스 모듈로의 액세스 그리고 프로세스 모듈 내에서 소모성 부품으로의 액세스를 요구한다. 프로세스 모듈로의 액세스는 도 1 내지 도 3을 참조하여 논의되어 왔고, 교체 스테이션 (108) 은 프로세스 모듈 (112 내지 120) 에 바로 장착되거나, 액세스가 프로세스 모듈 (112 내지 118, 120) 에 제공되는 것을 통해 진공 이송 모듈 (104) 또는 대기 이송 모듈 (102) 에 장착된다. 프로세스 모듈 (112 내지 118, 120) 이 액세스된다면, 소모성 부품으로의 액세스는 소모성 부품이 소모성 부품 또는 프로세스 모듈 (112 내지 120) 의 다른 하드웨어 컴포넌트들을 손상시키지 않고 안전하게 회수 및 교체될 수 있도록 제공될 필요가 있다.
도 4는 교체될 필요가 있는 소모성 부품 (208) 으로의 액세스를 제공하도록 클러스터 툴 어셈블리 (100) 의 프로세스 모듈 (112 내지 120) 내에 사용될 수도 있는 리프트 메커니즘의 예시적인 실시예를 예시한다. 일부 구현예들에서, 소모성 부품 (208) 은 하단 에지 링 (236) 위에, 그리고 커버 링 (232) 에 인접하게 배치된다. 하단 에지 링 (236) 은 베이스 링 (240) 위에 그리고 일부 구현예들에서, 슬리브 링 (238) 옆에 배치된다. 리프트 메커니즘은 소모성 부품 (208) 이 액세스될 수 있도록 소모성 부품 (208) 을 상승된 위치로 이동시키기 위해 구성된다. 일부 구현예에서, 소모성 부품 (208) 은 프로세싱 동안 프로세스 모듈에 수용된 반도체 웨이퍼 (150) 에 인접하게 배치된 에지 링이다. 리프트 메커니즘은 복수의 액추에이터들 (204) 에 연결된 복수의 리프트 핀들 (202) 을 포함한다. 예를 들어, 리프트 핀들은 리프트 핀들로 하여금 상이한 지점들에서 소모성 부품과 콘택트하고 소모성 부품을 이동시키게 하도록 평면을 따라 분포될 수도 있다. 일부 구현예들에서, 평면에 분포된 리프트 핀들은 별개의 세트들로 그룹화될 수도 있고, 리프트 핀들의 세트 각각은 상이한 소모성 부품들에 액세스하고 상이한 소모성 부품들을 리프팅하도록 단독으로 동작된다. 일부 구현예들에서, 액추에이터들 (204) 은 복수의 리프트 핀들 (202) 을 갖춘 진공-시일링된 액추에이터들 (204) 이다.
액추에이터들 (204) 은 액추에이터 구동부 (206) 에 의해 구동된다. 디스인게이징된 모드에서, 리프트 핀들 (202) 은 리프트 메커니즘에 형성된 하우징 내부로 후퇴되고 그리고 소모성 부품 (208) 과 콘택트하지 않는다. 소모성 부품 (208) 이 교체될 필요가 있을 때, 액추에이터 (204) 는 액추에이터 구동부 (206) 를 통해 전력 공급된다. 전력 공급된 액추에이터 (204) 는 리프트 핀들 (202) 로 하여금 소모성 부품 (208) 과 콘택트하고 소모성 부품 (208) 을 상승된 위치로 이동시키도록 하우징의 외부로 연장하게 한다. 소모성 부품이 상승될 때, 프로세스 모듈 (예를 들어, 118) 이 진공 상태로 유지되기 때문에, 소모성 부품은 진공 공간 (210) 내로 상승된다. VTM (104) 또는 교체 스테이션 (108) 의 로봇은 엔드 이펙터를 프로세스 모듈 (118) 내로 연장시키고 그리고 엔드 이펙터로 하여금 상승된 소모성 부품 (208) 아래에서 슬라이딩하게 한다. 일부 실시예들에서, 로봇에 부착된 엔드 이펙터는 엔드 이펙터로 하여금 상승된 소모성 부품을 지지하게 하는 주걱 같은 형상이다. 엔드 이펙터가 특정 위치로 슬라이딩된다면, 액추에이터들 (204) 은 리프트 핀들 (202) 을 하우징 내로 후퇴시키고, 소모성 부품 (208) 으로 하여금 엔드 이펙터 상에 놓이게 한다. 이어서 로봇은 상부에서 어느 로봇이 소모성 부품 (208) 을 회수하도록 사용되는 지에 따라, VTM (104) 또는 교체 스테이션 (108) 내로 다시 엔드 이펙터를 당기도록 조작되고, 엔드 이펙터를 사용하여 소모성 부품 (208) 을 가져온다. 역 순서는 새로운 소모성 부품 (208) 이 프로세스 모듈 (예를 들어, 118) 에서 배치될 필요가 있을 때 발생한다. 프로세스 모듈 (예를 들어, 118) 의 리프트 메커니즘은 프로세스 모듈 (118) 및 클러스터 툴 어셈블리 (100) 가 동작 중이도록 프로세스 모듈 (118) 내의 적절한 위치에 소모성 부품을 적절하게 설치하도록 사용된다.
소모성 부품 (208) 을 상승시키기 위해 리프트 핀들을 동작하도록 액추에이터들에 전력을 제공하는 것에 더하여, 리프트 메커니즘의 액추에이터 구동부 (206) 에 연결된 전력 소스는, 일부 구현예들에서, 리프트 핀들을 통해 소모성 부품에 전력을 공급할 수도 있다. 이러한 구현예들에서, 액추에이터들 (204) 및 리프트 핀들 (202) 은 소모성 부품 (208) 에 전력을 공급하도록 전도성 재료로 이루어질 수도 있다. 일부 구현예들에서, 소모성 부품과 콘택트하는 리프트 핀의 표면 영역은 전기 콘택트의 역할을 할 수도 있고 그리고 전력 소스로부터 소모성 부품으로 전력을 공급하도록 사용될 수도 있다. 일부 구현예들에서, 전력 소스는 리프트 핀들 (202) 로 하여금 소모성 부품 (208) 에 RF (radio frequency) 전력을 공급하게 하는 RF 전력 소스이다. RF 전력 소스를 사용하여 소모성 부품에 전력 공급하는 부가적인 상세사항들은 전체가 참조로서 본 명세서에서 인용되는, 2015년 7월 13일에 출원되고 발명의 명칭이 "Extreme Edge Sheath and Wafer Profile Tuning Through Edge-Localized Ion Trajectory Control and Plasma Operation"인, 공동 소유이고 공동 계류 중인 미국 특허 가출원 제 62/191,817 호에 더 기술된다. 일부 구현예들에서, 리프트 핀들 (202) 은 스위칭될 수도 있다. 스위치는 소모성 부품 (208) 에 공급된 전력량을 제어하도록 사용될 수도 있다. 일부 구현예들에서, 스위치는 소모성 부품 (208) 에 상이한 전력을 공급하도록 사용될 수도 있다. 일부 구현예들에서, 소모성 부품 (208) 에 공급된 전력은 소모성 부품 (208) 을 가열하도록 사용될 수도 있다. 예를 들어, 소모성 부품 (208) 이 에지 링일 때, 전력 소스에 의해 공급된 전력은 온도 제어된 에지 링을 제공하도록 사용될 수도 있다. 일부 구현예들에서, 소모성 부품 (208) 은 용량 결합을 통해서와 같이 다른 수단을 통해서 전력 공급될 수도 있다. 용량 결합과 같은 대안적인 수단을 사용하여, 에지 링과 같은 소모성 부품 (208) 에 전력 공급하기 위한 부가적인 상세사항들은, 전체가 참조로서 본 명세서에서 인용된, 2015년 8월 15일에 출원되고 발명의 명칭이 "Edge Ring Assembly for Improving Feature Profile Tilting at Extreme Edge of Wafer"인 공동 소유이고 공동 계류중인 미국 특허 가출원 제 62/206,753 호에 기술된다. 본 명세서에서 논의된 소모성 부품 (208) 에 전력 공급하기 위한 상이한 수단은 단지 예들에 불과하고 에지 링에 전력 공급하는 다른 형태들이 또한 채용될 수도 있음을 주의해야 한다. 일부 구현예들에서, 소모성 부품 (208) (멀티-피스 소모성 부품의 단일의 피스 또는 상이한 피스들) 은 하나 이상의 자석들을 사용하여 프로세스 모듈 (예를 들어, 118) 에서 특정 위치에 정렬 및 설치될 수도 있다. 예를 들어, 프로세스 모듈 (예를 들어, 118) 에 제공된 리프트 메커니즘은 상부에서 소모성 부품 (208) 이 지지되는 표면을 포함할 수도 있다. 하나 이상의 자석들은 상부에서 소모성 부품 (208) 이 지지되는 리프트 메커니즘의 표면의 밑면 상에 배치될 수도 있다. 리프트 메커니즘에 배치된 자석들은 프로세스 모듈 (예를 들어, 118) 내에서 특정 위치에 소모성 부품을 정렬하도록 사용될 수도 있다.
일부 구현예들에서, 리프트 메커니즘은 리프트 메커니즘으로 하여금 공압식으로 동작되게 하도록 공기 압축기 또는 다른 압축된 압력 소스에 연결될 수도 있다. 일부 구현예들에서, 리프트 메커니즘은 프로세스 모듈 (예를 들어, 118) 내에서 특정 위치에 소모성 부품 (208) 을 클램핑하도록 정전 클램핑을 제공하기 위해 사용될 수도 있다. 이들 구현예들에서, 리프트 메커니즘은 리프트 핀들 (202) 로 하여금 프로세스 모듈 (예를 들어, 118) 내에서 특정 위치에 소모성 부품 (208) 을 클램핑하기 위해 DC 전력을 제공하게 하도록 직류 (DC) 전력 소스에 연결될 수도 있다.
도 5a는 일 실시예에서, 프로세스 모듈 (118) 내에서 소모성 부품을 교체하기 위해 사용된 다양한 컴포넌트들을 식별하는 예시적인 클러스터 툴 어셈블리를 예시한다. 프로세스 모듈 (118) 은 전도성 에칭을 수행하기 위해 TCP (transformer coupled plasma), 또는 유전체 에칭을 실시하기 위해 CCP (capacitively coupled plasma) 를 생성하도록 사용될 수 있거나, PECVD (plasma enhanced chemical vapor deposition) 또는 ALD (atomic layer deposition), 또는 반도체 웨이퍼 상의 임의의 다른 타입의 에칭을 수행하도록 사용될 수 있는 에칭 장비 모듈일 수도 있다. 대안적으로, 프로세스 모듈 (118) 은 반도체 웨이퍼 상에 상이한 피처들을 형성하기 위해 임의의 다른 프로세스 동작 (예를 들어, 증착, 도금, 등) 을 수행하도록 사용될 수도 있다.
교체 스테이션 (108) 은 부품 버퍼 (224) 를 포함할 수도 있다. 일 실시예에서, 부품 버퍼 (224) 는 프로세스 모듈로부터 회수된 사용된 소모성 부품 (208) 및 프로세스 모듈로 전달될 필요가 있는 새로운 소모성 부품 (208) 을 수용하도록 구성된 복수의 칸들 (207) 을 포함한다. 대안적으로, 별개의 부품 버퍼들 (224) 은 사용된 소모성 부품들 (208) 및 새로운 소모성 부품들 (208) 을 별도로 저장하도록 사용될 수도 있다. 교체 스테이션 (108) 내의 교환 핸들러 (214) 는 새로운 소모성 부품 (208) 을 부품 버퍼 (224) 의 칸 (207) 으로부터 프로세스 모듈 (118) 내로 이동시키고 그리고 사용된 소모성 부품 (208) 을 프로세스 모듈 (118) 로부터 회수하고 그리고 부품 버퍼 (224) 의 칸 (207) 에 저장하도록 사용될 수도 있다. 교환 핸들러 (214) 는 교환 핸들러 (214) 의 엔드 이펙터 (213) 로 하여금 부품 버퍼 (224) 및 프로세스 모듈 (118) 에서 소모성 부품 (208) 에 액세스하게 하도록 측면으로, 수직으로 그리고/또는 방사상으로 이동하도록 구성된 로봇 (215) 을 포함한다. 엔드 이펙터는 소모성 부품 (208) 을 부품 버퍼 (224) 또는 프로세스 모듈로 액세스, 회수 및 전달하도록 구성될 수도 있다. 일부 구현예들에서, 엔드 이펙터는 임의의 평면에서 소모성 부품을 회수, 피킹, 리프팅, 지지, 홀딩, 이동, 또는 회전시키도록 설계된 특수한 엔드 이펙터일 수도 있다. 교환 핸들러 (214) 의 엔드 이펙터는 소모성 부품이 프로세스 모듈로부터 회수될 수도 있고 부품 버퍼 (224) 에 저장될 수도 있기 위해서 동작 동안 연장 및 수축하도록 조작될 수도 있다. 일부 구현예들에서, 엔드 이펙터는 회수 동작 동안 보다 큰 신축성을 제공하기 위해서 방사상으로, 측면으로 그리고/또는 수직으로 이동하도록 구성될 수도 있다. 교환 핸들러 (214) 는 교환 핸들러 (214) 의 엔드 이펙터 (213) 및 로봇 (215) 의 이동을 제어하도록 제어기 (220) 에 연결된다.
교체 스테이션 (108) 은 또한 교체 스테이션 (108) 내의 프로세스 조건을 조작하도록 펌프 (233) 에 연결된 진공 제어부 모듈 (231) 을 포함할 수도 있다. 일부 구현예들에서, 교체 스테이션 (108) 은 소모성 부품의 교체 동안 진공 제어부 모듈 (231) 을 통해 펌프 (233) 의 조정 동작을 인에이블하도록 제어기 (220) 에 연결된다.
제 1 격리 밸브 (216) 는 교체 스테이션 (108) 으로 하여금 프로세스 모듈 (118) 에 장착되게 하도록 교체 스테이션 (108) 과 프로세스 모듈 (118) 사이에 제공된다. 일부 구현예에서, 제 1 격리 밸브 (216) 는 게이트 밸브일 수도 있다. 프로세스 모듈 (118) 은 제 1 측면 및 제 2 측면을 포함하고, 프로세스 모듈 (118) 의 제 1 측면은 진공 이송 모듈 (VTM) (104) 에 커플링되고, 그리고 프로세스 모듈 (118) 의 제 2 측면은 제 1 격리 밸브 (216) 의 제 1 측면에 커플링된다. 제 1 격리 밸브 (216) 의 제 2 측면은 교체 스테이션 (108) 에 커플링된다. 커플링은 예를 들어, 교체 스테이션 (108) 내의 로봇 (215) 으로 하여금 프로세스 모듈 (118) 에 액세스하게 하도록, 교체 스테이션 (108) 및 프로세스 모듈 (118) 각각에 형성된 도어들 (217, 219) 을 조작한다. 제 2 격리 밸브 (216') 의 제 1 측면은 VTM (104) 에 커플링되고 그리고 제 2 격리 밸브 (216') 의 제 2 측면은 프로세스 모듈 (118) 의 제 1 측면에 커플링된다. 커플링은 VTM (104) 내의 로봇으로 하여금 프로세싱 동안 프로세스 모듈 (118) 에 액세스하고 그리고 프로세스 모듈 (118) 내외로 반도체 웨이퍼를 이동시키게 하도록, 프로세스 모듈 (118) 및 VTM (104) 각각에 형성된 대응하는 개구들을 커버하는 도어들 (227, 229) 의 조작을 허용한다. 제 1 격리 밸브 (216) 및 제 2 격리 밸브 (216') 는 VTM (104) 및 교체 스테이션 (108) 에의 프로세스 모듈 (118) 의 커플링을 조정하도록 제어기 (220) 에 연결된다.
프로세스 모듈 (118) 은 프로세스 모듈 (118) 에 형성된 프로세스 구역에 프로세스 화학물질을 제공하도록 사용될 수도 있는 상부 전극 (218) 을 포함한다. 상부 전극 (218) 은 예를 들어, 플라즈마를 생성하기 위해서 프로세스 구역 내의 프로세스 화학물질에 전력을 제공하도록 전력 소스 (미도시) 에 연결될 수도 있다. 일부 실시예들에서, 전력 소스는 매칭 네트워크 (미도시) 를 통해 상부 전극 (218) 에 연결된 RF 전력 소스일 수도 있다. 대안적으로, 상부 전극은 전기적으로 접지될 수도 있다.
프로세스 모듈 (118) 은 또한 하부 전극 (230) 을 포함한다. 일부 구현예들에서, 하부 전극 (230) 은 프로세싱 동안 반도체 웨이퍼 (150) 를 수용하도록 구성된다. 일부 구현예들에서, 하부 전극 (230) 은 정전 척이다. 하부 전극 (230) 은 프로세싱 동안 하부 전극 (230) 에 전력을 제공하도록 전력 소스 (미도시) 에 커플링될 수도 있다. 대안적으로, 하부 전극 (230) 은 전기적으로 접지될 수도 있다.
프로세스 모듈 (118) 은 소모성 부품 (208) 으로 하여금 상승된 위치로 이동되게 인에이블하기 위한 리프트 메커니즘 (221) 을 포함한다. 리프트 메커니즘 (221) 은 도 4를 참조하여 논의된 리프트 메커니즘과 유사하고 그리고 소모성 부품을 상승된 위치로 리프팅하기 위한 복수의 리프트 핀들 (202) 및 액추에이터들 (204), 그리고 액추에이터 (204) 를 구동시키도록 전력을 제공하기 위해 액추에이터들 (204) 에 연결된 액추에이터 구동부 (206) 를 포함한다. 액추에이터 구동부 (206) 는 소모성 부품의 교체 동안 리프트 메커니즘 (221) 의 동작을 제어하도록 제어기 (220) 에 커플링될 수도 있다.
제어기 (220) 는 제어기 (220) 에 연결된 다양한 컴포넌트들의 조정 동작을 용이하게 하도록 진공 상태 제어부 (223) 및 이송 로직부 (225) 를 포함한다. 일 구현예에서, 소모성 부품이 프로세스 모듈 (118) 에서 교체될 때, 교체 스테이션 (108) 은 제 1 격리 밸브 (216) 와 콘택트한다. 제 1 격리 밸브 (216) 에서 교체 스테이션 (108) 의 검출에 응답하여, 신호가 격리 밸브 (216) 로부터 제어기 (220) 로 전송된다. 이어서 제어기 (220) 는 프로세스 모듈 (118) 에 대한 교체 스테이션 (108) 의 커플링 및 교체 스테이션 (108) 에서의 진공 유지를 조정한다. 예를 들어, 제 1 격리 밸브 (216) 로부터 수신된 검출 신호에 응답하여, 제어기 (220) 의 진공 상태 제어부 (223) 는 프로세스 모듈 (118) 에 교체 스테이션 (108) 을 커플링하는 프로세스를 시작하도록 진공 제어부 (231) 로 신호를 전송할 수도 있다. 진공 상태 제어부 (223) 로부터 수신된 신호에 응답하여, 진공 제어부 (231) 는 펌프 (233) 로 하여금 교체 스테이션을 진공 상태에 놓이게 하도록 펌프 (233) 를 작동시킬 수도 있다. 교체 스테이션 (108) 이 진공 상태에 도달한다면, 신호는 진공 제어부 (231) 로부터 진공 상태 제어부 (223) 로 전송된다. 이어서 진공 상태 제어부 (223) 는 프로세스 모듈 (118) 에 교체 스테이션을 커플링하도록 제 1 격리 밸브 (216) 로 신호를 전송한다. 제 1 격리 밸브 (216) 는 이에 대응하여, 교체 스테이션 (108) 과 프로세스 모듈 (118) 사이의 제 1 격리 밸브 (216) 의 모든 중간 구역이 진공 상태로 유지되는 것을 보장한다. 보장 시에, 제 1 격리 밸브 (216) 는 제 1 격리 밸브 (216) 의 제 1 측면에 대한 프로세스 모듈 (118) 의 커플링 그리고 제 1 격리 밸브 (216) 의 제 2 측면에 대한 교체 스테이션 (108) 의 커플링을 수행한다. 추가의 테스트는 제 1 격리 밸브 (216) 의 중간 영역들 및 교체 스테이션 (108) 이, 프로세스 모듈 (118) 로의 액세스를 제공하도록 도어들 (217, 219) 을 조작하기 전에 진공에 있는 것을 보장하기 위해 행해질 수도 있다.
커플링 동작의 부품으로서, 진공 상태 제어부 (223) 는 프로세스 모듈 (118), 및 프로세스 모듈 (118) 이 통합, 폐쇄 그리고 시일링되는 VTM (104) 에 형성된 대응하는 개구들을 커버하는 도어들 (227, 229) 을 유지하도록 제 2 격리 밸브 (216') 의 동작을 조정할 수도 있다. 커플링 동안, 프로세스 모듈 (118) 내의 리프트 메커니즘 (221) 은 리프트 메커니즘의 설치 위치에 놓인 소모성 부품 (208) 및 리프트 메커니즘 (221) 의 하우징 내로 후퇴된 리프트 핀들 (202) 과 디스인게이징된 모드로 유지된다. 예를 들어, 소모성 부품 (208) 은 에지 링이다. 리프트 메커니즘의 설치 위치에서, 반도체 웨이퍼 (150) 가 프로세스 모듈 (118) 내에 존재할 때, 에지 링은 반도체 웨이퍼 (150) 에 인접하게 배치되고 그리고 반도체 웨이퍼 (150) 를 실질적으로 둘러싼다.
커플링의 프로세스가 완료된다면, 신호는 제 1 격리 밸브 (216) 로부터 그리고 일부 실시예들에서, 제 2 격리 밸브 (216') 로부터 제어기 (220) 로 전송된다. 이에 대응하여, 제어기 (220) 는 이송 로직부 (225) 를 작동시킨다. 이송 로직부 (225) 는 엔드 이펙터 (213) 로 하여금 프로세스 모듈 (118) 로부터 소모성 부품을 회수하고 그리고 교체 스테이션 (108) 에 형성된 부품 버퍼 (224) 내의 칸으로 이동하고 그리고 설치를 위해 부품 버퍼 (224) 의 칸 (207) 으로부터 다시 프로세스 모듈 (118) 로 소모성 부품의 교체부를 이동시키게 하도록, 로봇 (215), 교체 스테이션 (108) 내의 교환 핸들러 (214) 의 엔드 이펙터 (213) 및 프로세스 모듈 (118) 내의 리프트 메커니즘 (221) 의 액추에이터 구동부 (206) 의 움직임을 조정하도록 구성된다. 리프트 메커니즘 (221) 은 프로세스 모듈 (118) 내의 적절한 위치 내로 교체 소모성 부품을 설치하도록 조작된다.
도 5b는 일 실시예에서, 프로세스 모듈 (118) 로부터 소모성 부품 (208) 을 회수하기 위한 프로세스를 예시한다. 소모성 부품 (208) 은 보통 반도체 웨이퍼가 프로세싱을 위해 프로세스 모듈 (118) 에 수용되기 전에 교체된다. 이 실시예에 따라, 교체 스테이션 (108) 이 제 1 격리 밸브 (216) 를 통해 프로세스 모듈 (118) 에 커플링되고 그리고 제 2 격리 밸브 (216') 가 VTM (104) 에 대한 도어들 (227, 229) 을 시일링한다면, 제어기 (220) 의 이송 로직부 (225) 는 소모성 부품을 프로세스 모듈로부터 회수하고 그리고 새로운 소모성 부품으로 교체하기 위해서 신호들을 교환 핸들러 (214) 로 그리고 액추에이터 구동부 (206) 로 전송하도록 사용된다. 이송 로직부 (225) 는 엔드 이펙터 (213) 로 하여금 소모성 부품을 회수하기 위해서 프로세스 모듈 (118) 내로 연장되게 하도록 로봇 (215) 및 엔드 이펙터 (213) 를 조작하기 위해 신호들을 전송한다. 동시에, 이송 로직부 (225) 는 액추에이터들 (204) 로 하여금 리프트 메커니즘 (221) 에 형성된 하우징 외부로 리프트 핀들 (202) 을 이동시키게 하도록 액추에이터 구동부 (206) 를 조작하고, 이로써 도 5b에 예시된 바와 같이, 소모성 부품 (208) 을 설치 위치로부터 상승된 위치로 이동시킨다. 엔드 이펙터 (213) 는 엔드 이펙터가 실질적으로 지지하는 상승된 소모성 부품 (208) 아래로 슬라이딩한다. 이어서 액추에이터 구동부 (206) 는 액추에이터들 (204) 이, 상승된 소모성 부품 (208) 으로 하여금 교환 핸들러 (214) 의 엔드 이펙터 (213) 상에 놓이게 하는 리프트 메커니즘 (221) 내의 하우징 내로 리프트 핀들 (202) 을 후퇴하게 하도록 조작된다. 이어서 교환 핸들러 (214) 의 엔드 이펙터 (213) 는 엔드 이펙터 (213) 를 사용하여 소모성 부품 (208) 을 가지고 오는 교체 스테이션 (108) 내로 후퇴하도록 조작된다. 이어서 엔드 이펙터 (213) 는 회수된 소모성 부품 (208) 을 부품 버퍼 (224) 의 칸 (207) 으로 이동시키도록 조작된다.
새로운 소모성 부품 (208) 은 부품 버퍼 (224) 의 상이한 칸 (207) 으로부터 프로세스 모듈 (118) 로 유사한 방식으로 이동된다. 새로운 소모성 부품 (208) 이 프로세스 모듈 (118) 내로 이동될 때, 액추에이터 구동부 (206) 는 액추에이터들 (204) 로 하여금 새로운 소모성 부품 (208) 을 수용하기 위해서 하우징 외부로 리프트 핀들 (202) 을 연장하게 하도록 조작된다. 액추에이터들 (204) 은 소모성 부품 (208) 이 프로세스 모듈 (118) 내의 설치 위치에 세팅되도록 리프트 핀들 (202) 로 하여금 하강되게 한다. 소모성 부품의 교체 동안, 진공 상태 제어부 (223) 는 펌프 (233) 가 프로세스 모듈 (118) 내에 유지된 진공 상태와 매칭하도록 교체 스테이션을 진공 상태로 계속 유지하는 것을 보장하기 위해서 진공 제어부 (231) 와 계속해서 상호작용한다.
소모성 부품 (208) 이 교체되었다면, 제어기 (220) 는 프로세스 모듈 (118) 로부터 교체 스테이션 (108) 의 철수를 조정하도록 사용된다. 이것에 따라, 제어기 (220) 는 프로세스 모듈 (118) 과 교체 스테이션 (108) 사이의 도어들 (217, 219) 을 폐쇄하도록 제 1 격리 밸브 (216) 로 신호를 전송하고, 그리고 VTM (104) 으로 하여금 프로세스 모듈 (118) 에 액세스하기 위해서 도어들 (227, 229) 을 열도록 제 2 격리 밸브 (216') 로 신호를 전송한다.
일부 구현예들에서, 프로세스 모듈 (118) 은 프로세스 모듈을 실제 동작으로 복귀시키기 전에 컨디셔닝될 수도 있다. 컨디셔닝 동작은 소모성 부품의 교체가 진공에서 실시되었고 그리고 프로세스 모듈 (118) 만이 컨디셔닝될 필요가 있기 때문에 보다 짧은 시간이 걸릴 수도 있다. 이어서 신호는 펌프 (233) 로 하여금 교체 스테이션 (108) 을 퍼지하게 하도록 진공 상태 제어부 (223) 로부터 진공 제어부 (231) 로 전송될 수도 있다. 이어서 교체 스테이션 (108) 은 프로세스 모듈 (118) 로부터 장착 해제될 수도 있다.
도 5c는 도 2에 예시된 클러스터 툴 어셈블리의 실시예에서 소모성 부품을 교체하기 위한 프로세스를 예시하고, 교체 스테이션 (108) 은 프로세스 모듈 (118) 대신에 VTM (104) 에 장착된다. 이 실시예에서, 교체 스테이션 (108) 은 제 1 격리 밸브 (216) 의 제 1 측면이 VTM (104) 의 제 1 측면에 커플링되도록, 제 1 격리 밸브 (216) 를 통해 진공 이송 모듈 (VTM) (104) 에 장착된다. 교체 스테이션 (108) 은 제 1 격리 밸브 (216) 의 제 2 측면에 커플링된다. 제 2 격리 밸브 (216') 는 제 2 격리 밸브 (216') 의 제 1 측면이 프로세스 모듈 (118) 에 커플링되고 그리고 제 2 격리 밸브 (216') 의 제 2 측면이 VTM (104) 의 제 2 측면에 커플링되도록 배치된다. VTM (104) 내의 로봇으로 하여금 교체 스테이션 (108) 내의 부품 버퍼 (224) 내의 칸 (207) 과 프로세스 모듈 (118) 사이에서 소모성 부품을 액세스, 회수 그리고 이동시키게 하도록, 제 1 격리 밸브 (216) 는 교체 스테이션 (108) 과 VTM (104) 각각에 형성된 대응하는 개구들을 커버하는 도어들 (237, 239) 을 조작하도록 구성되고, 그리고 제 2 격리 밸브 (216') 는 VTM (104) 과 프로세스 모듈 (118) 각각에 형성된 대응하는 개구들을 커버하는 도어들 (227, 229) 을 조작하도록 구성된다. 도 5c에 예시된 교체 스테이션 (108) 은 로봇 (215) 및 엔드 이펙터 (213) 를 갖는 전용 교환 핸들러 (214) 를 포함하지 않는다. 로봇 (235) 은 제어기로 하여금 로봇 (235) 의 동작을 조정하게 하도록 제어기 (220) 에 작동식으로 커플링된다. 또한, 교체 스테이션, 제 1 격리 밸브 (216), VTM (104), 제 2 격리 밸브 (216') 및 프로세스 모듈 (118) 은, 프로세스 모듈 (118), VTM (104) 및 교체 스테이션 (108) 이 진공 상태로 유지되면서 소모성 부품의 교체 동안 교체 스테이션과 프로세스 모듈 사이의 액세스를 동기화하도록 제어기 (220) 에 커플링된다.
VTM (104) 에 교체 스테이션 (108) 을 장착하는 프로세스는 교체 스테이션 (108) 이 프로세스 모듈 (118) 대신에 VTM (104) 에 장착되는 것을 제외하고 도 5a를 참조하여 논의된 실시예와 유사하다. 소모성 부품 (208) 을 교체하는 프로세스는 도 5a에서 논의된 교체 스테이션 (108) 의 엔드 이펙터 (213), 로봇 (215) 을 사용하여 조정하는 것 대신에 제어기 (220) 가 VTM (104) 의 로봇 (235) 을 사용하여 조정한다는 것을 제외하고 도 5a를 참조하여 논의된 실시예와 유사하다.
대안적인 실시예에서, 교체 스테이션 (108) 은 로봇 (215) 및 엔드 이펙터 (213) 를 가진 교환 핸들러 (214) (미도시) 를 포함할 수도 있고, 교환 핸들러 (214) 는 제어기 (220) 에 작동식으로 연결된다. 제어기 (220) 는 소모성 부품의 교체 동안 로봇 (215), 엔드 이펙터 (213), 및 로봇 (235) 의 조정을 제어하도록 사용된다. 이 실시예에서, 로봇 (215) 및 엔드 이펙터 (213) 는 부품 버퍼 (224) 와 VTM (104) 사이에서 소모성 부품을 회수 및 이동시키도록 사용될 수도 있고, 그리고 VTM (104) 의 로봇 (235) 은 VTM (104) 과 프로세스 모듈 (118) 사이에서 소모성 부품을 이동시키도록 사용될 수도 있다.
도 5c에 예시된 실시예에서, 제 2 격리 밸브 (216') 는 소모성 부품의 교체 동안 클러스터 툴 어셈블리 (100) 의 나머지로부터 프로세스 모듈 (118) 을 격리시키도록 사용되지 않는다는 것이 주의되어야 한다. 이것은 이 실시예에서, 프로세스 모듈로의 액세스가 VTM (104) 을 통해 제공된다는 사실 때문이다. 그 결과, 제 2 격리 밸브 (216') 는 반도체 웨이퍼의 프로세싱 동안 프로세스 모듈 (118) 의 선택적인 격리를 인에이블하면서, 소모성 부품이 교체될 필요가 있을 때 액세스를 제공하도록 구성된다. 이 실시예에서, 소모성 부품의 교체 후 클러스터 툴 어셈블리 (100) 의 컨디셔닝은, 교체 스테이션, VTM (104) 및 프로세스 모듈 (118) 모두가 소모성 부품의 교체 동안 진공 상태로 유지되기 때문에 보다 짧은 시간이 걸릴 것이다.
도 6은 상기에 기술된 클러스터 툴 어셈블리를 제어하기 위한 제어 모듈 (즉, 제어기) (220) 을 도시한다. 일 실시예에서, 제어 모듈 (220) 은 프로세서, 메모리 및 하나 이상의 인터페이스들과 같은 일부 예시적인 컴포넌트들을 포함할 수도 있다. 제어 모듈 (220) 은 부분적으로 센싱된 (sense) 값들에 기초하여 클러스터 툴 어셈블리 (100) 내의 디바이스들을 제어하도록 채용될 수도 있다. 단지 예를 들면, 제어 모듈 (220) 은 센싱된 값들 및 다른 제어 파라미터들에 기초하여 밸브들 (602) (도 5a, 도 5b, 도 5c의 격리 밸브들 (216, 216') 포함), 필터 히터들 (604), 펌프들 (606) (펌프 (233) 포함), 및 다른 디바이스들 (608) 중 하나 이상을 제어할 수도 있다. 제어 모듈 (220) 은 단지 예를 들면, 압력 마노미터들 (610), 유량계들 (612), 온도 센서들 (614), 및/또는 다른 센서들 (616) 로부터 센싱된 값들을 수신한다. 제어 모듈 (220) 은 또한 전구체 전달 및 막의 증착 동안 프로세스 조건들을 제어하도록 채용될 수도 있다. 제어 모듈 (220) 은 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다.
제어 모듈 (220) (즉, 제어기) 은 전구체 전달 시스템 및 증착 장치의 액티비티들을 제어할 수도 있다. 제어 모듈 (220) 은 프로세스 타이밍, 전달 시스템 온도, 필터들에 걸친 압력차들, 밸브 위치들, 로봇들 및 엔드 이펙터들, 가스들의 혼합물, 챔버 압력, 챔버 온도, 웨이퍼 온도, RF 전력 레벨들, 웨이퍼 척 또는 페데스탈 위치, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 컴퓨터 프로그램들을 실행한다. 제어 모듈 (220) 은 또한 압력차를 모니터링할 수도 있고 증기 전구체 전달을 하나 이상의 경로들로부터 하나 이상의 다른 경로들로 자동으로 스위칭할 수도 있다. 제어 모듈 (220) 과 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들은 일부 실시예들에서 채용될 수도 있다.
통상적으로 제어 모듈 (220) 과 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 (618) (예를 들어 장치 및/또는 프로세스 조건들의 디스플레이 스크린 및/또는 그래픽 소프트웨어 디스플레이들) 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들 (620) 을 포함할 수도 있다.
프로세스 시퀀스에서 전구체의 전달, 증착 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램들은 예를 들어, 어셈블리 언어, C, C++, 파스칼, 포트란, 또는 다른 것들과 같은 임의의 종래의 컴퓨터 판독 가능한 프로그래밍 언어로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램 내에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다.
제어 모듈 파라미터들은 예를 들어, 필터 압력차들, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, RF 전력 레벨들과 저주파수 RF 주파수와 같은 플라즈마 조건들, 냉각 가스 압력, 및 챔버 벽 온도와 같은 프로세스 조건들에 관한 것이다.
시스템 소프트웨어는 많은 상이한 방식들로 설계 또는 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 본 발명의 증착 프로세스들을 수행하기 위해 필요한 챔버 또는 프로세스 모듈 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 히터 제어 코드, 플라즈마 제어 코드, 리프트 메커니즘 제어 코드, 로봇 위치 코드, 엔드 이펙터 위치 코드 및 밸브 위치 제어 코드를 포함한다.
기판 포지셔닝 프로그램은 페데스탈 또는 척 상으로 기판을 로딩하고, 그리고 가스 유입부 및/또는 타깃과 같은 챔버의 다른 부분들과 기판 사이의 간격을 제어하도록 사용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하기 위한, 그리고 챔버 내의 압력을 안정화하기 위해 증착 이전에 챔버 내로 가스를 선택 가능하게 흘리기 위한 코드를 포함할 수도 있다. 필터 모니터링 프로그램은 측정된 차이(들)와 미리 결정된 값(들)을 비교하는 코드 및/또는 경로들을 스위칭하기 위한 코드를 포함한다. 압력 제어 프로그램은, 예를 들어, 챔버의 배기 시스템 내의 쓰로틀 밸브를 조절함으로써 챔버 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. 히터 제어 프로그램은 전구체 전달 시스템 내의 컴포넌트들, 기판 및/또는 시스템의 다른 부분들을 가열하기 위해 가열 유닛들에 대한 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 웨이퍼 척으로의, 헬륨 같은 열 전달 가스의 전달을 제어할 수도 있다. 밸브 위치 제어 코드는 예를 들어, 프로세스 모듈 또는 클러스터 툴로의 액세스를 제공하는 격리 밸브들을 제어함으로써 프로세스 모듈 또는 클러스터 툴 어셈블리로의 액세스를 제어하기 위한 코드를 포함할 수도 있다. 리프트 메커니즘 제어 코드는 예를 들어, 액추에이터들로 하여금 리프트 핀들을 이동시키게 하도록 액추에이터 구동부를 작동시키기 위한 코드를 포함할 수도 있다. 로봇 위치 코드는 예를 들어, 측면, 수직, 또는 방사상 축을 따라 이동하도록 로봇의 조작을 포함한 로봇(들)의 위치를 조작하기 위한 코드를 포함할 수도 있다. 엔드 이펙터 위치 코드는 예를 들어, 측면, 수직, 또는 방사상 축을 따라 연장, 수축, 또는 이동하도록 로봇의 조작을 포함한 엔드 이펙터의 위치를 조작하기 위한 코드를 포함할 수도 있다.
증착 동안 모니터링될 수도 있는 센서들의 예들은, 이로 제한되지 않지만, 질량 유량 제어 모듈들, 압력 마노미터들 (610) 과 같은 압력 센서들, 및 전달 시스템 내에 위치된 써모커플들, 페데스탈 또는 척 (예를 들어 온도 센서들 (614)) 을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 목표된 프로세스 조건들을 유지하기 위해 이러한 센서들로부터의 데이터와 함께 사용될 수도 있다. 전술한 것은 단일 또는 멀티-챔버 반도체 프로세싱 툴에서의 본 발명의 실시예들의 구현예를 기술한다.
본 명세서에 기술된 다양한 실시예들은 소모성 부품들로 하여금 대기 상태들에 클러스터 툴 어셈블리를 개방하지 않고 신속하고 효율적인 방식으로 교체되게 한다. 그 결과, 소모성 부품들을 교체하는 시간, 뿐만 아니라 소모성 부품들의 교체 동안 챔버를 오염시키는 임의의 위험이 크게 감소되고, 이로써 클러스터 툴 어셈블리로 하여금 보다 신속하게 온라인 상태에 있게 한다. 또한, 프로세스 모듈, 소모성 부품에 대한 그리고 프로세스 모듈 내의 다른 하드웨어 컴포넌트들에 대한 의도하지 않은 손상의 위험이 크게 감소된다.
실시예들의 전술한 기술은 예시 및 기술의 목적들을 위해 제공된다. 이는 총망라하거나 (exhaustive) 본 발명을 제한하도록 의도되지 않는다. 특정한 실시예의 개별 엘리먼트들 또는 피처들은 일반적으로 특정한 실시예로 제한되지 않지만, 적용 가능한 경우에, 특별히 도시되거나 기술되지 않을지라도, 선택된 실시예에서 교체 가능하거나 사용될 수 있다. 동일한 것이 또한 많은 방식들로 가변될 수도 있다. 이러한 변동들은 본 발명으로부터의 일탈로서 간주되지 않고, 모든 이러한 수정들은 본 발명의 범위 내에 포함되도록 의도된다.
전술한 실시예들이 이해의 명료성의 목적들을 위해 일부 상세히 기술될지라도, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 분명할 것이다. 따라서, 본 실시예들은 제한적인 것이 아닌 예시적인 것으로서 고려되고, 본 실시예들은 본 명세서에 주어진 상세사항들로 제한되지 않지만, 청구항들의 범위 및 등가물들 내에서 수정될 수도 있다.

Claims (26)

  1. 진공 이송 모듈;
    상기 진공 이송 모듈에 연결된 제 1 측면을 갖는 프로세스 모듈;
    상기 프로세스 모듈의 제 2 측면에 커플링된 제 1 측면을 갖는 격리 밸브; 및
    상기 격리 밸브의 제 2 측면에 커플링된 교체 스테이션으로서, 상기 교체 스테이션은 교환 핸들러 및 부품 버퍼를 포함하고, 상기 부품 버퍼는 새로운 소모성 부품들 또는 사용된 소모성 부품들을 홀딩하기 위한 복수의 칸들을 포함하는, 상기 교체 스테이션을 포함하고,
    상기 프로세스 모듈은 상기 프로세스 모듈에 설치된 소모성 부품의 상승된 위치로의 배치를 인에이블하기 위한 리프트 메커니즘을 갖고, 상기 상승된 위치는 상기 프로세스 모듈로부터 상기 소모성 부품의 제거 및 상기 부품 버퍼의 칸으로의 저장을 인에이블하도록 상기 교환 핸들러로의 액세스를 제공하고,
    상기 교체 스테이션의 상기 교환 핸들러는 상기 부품 버퍼로부터 다시 상기 프로세스 모듈로 상기 소모성 부품의 교체품을 설치하도록 인에이블되고,
    상기 리프트 메커니즘은 상기 교환 핸들러에 의한 교체를 위해 제공된 상기 소모성 부품을 수용하고 그리고 설치 위치로 상기 소모성 부품을 하강시키도록 구성되고,
    상기 프로세스 모듈 및 상기 교체 스테이션이 진공 상태로 유지되는 동안 상기 교환 핸들러 및 상기 프로세스 모듈에 의한 교체가 실시되는, 클러스터 툴 어셈블리.
  2. 제 1 항에 있어서,
    상기 격리 밸브, 상기 교체 스테이션 및 상기 프로세스 모듈은 제어기와 인터페이싱되고, 상기 제어기는 상기 프로세스 모듈이 상기 진공 상태에 남아 있는 동안 상기 교체 스테이션과 상기 프로세스 모듈 사이의 액세스를 조정하기 위한 이송 로직부 및 진공 상태 제어부를 포함하는, 클러스터 툴 어셈블리.
  3. 제 2 항에 있어서,
    상기 제어기는 상기 프로세스 모듈이 상기 진공 상태에 남아 있는 동안 상기 교체 스테이션과 상기 프로세스 모듈 사이의 액세스를 조정하기 위한 이송 로직부 및 진공 상태 제어부를 포함하는, 클러스터 툴 어셈블리.
  4. 제 2 항에 있어서,
    상기 교체 스테이션은 펌프에 커플링된 진공 제어부를 포함하고, 상기 진공 제어부는 상기 소모성 부품의 교체 동안 상기 진공 상태에서 상기 교체 스테이션을 유지하도록 상기 펌프의 작용을 조정하기 위해 상기 제어기와 인터페이싱하는, 클러스터 툴 어셈블리.
  5. 제 1 항에 있어서,
    상기 진공 상태에서 유지된 상기 프로세스 모듈은 상기 소모성 부품의 상기 교체 후 상기 프로세스 모듈이 실제 동작으로 복귀하기 전에 상기 프로세스 모듈의 감소된 리컨디셔닝 (reconditioning) 을 인에이블하는, 클러스터 툴 어셈블리.
  6. 제 1 항에 있어서,
    상기 소모성 부품은 상기 프로세스 모듈의 정전 척 위에 배치될 때 기판을 둘러싸도록 구성된 에지 링이고;
    상기 리프트 메커니즘은, 상기 프로세스 모듈에 설치된 상기 에지 링의 상승된 위치로의 배치를 인에이블하는 복수의 리프트 핀들 및 액추에이터들을 포함하고;
    액추에이터 구동부는 상기 액추에이터들에 연결되고; 그리고
    제어기는 상기 에지 링 교체의 조정을 인에이블하도록 상기 액추에이터 구동부 및 상기 교체 스테이션과 인터페이싱되는, 클러스터 툴 어셈블리.
  7. 제 6 항에 있어서,
    상기 액추에이터들은 전력 소스에 연결되고, 상기 전력 소스는 상기 리프트 핀들을 통해 상기 소모성 부품에 전력을 공급하도록 사용되고, 상기 공급된 전력은 상기 소모성 부품에 열을 제공하도록 사용되는, 클러스터 툴 어셈블리.
  8. 제 7 항에 있어서,
    상기 액추에이터들 및 상기 리프트 핀들은 전도성 재료로 이루어지고, 상기 리프트 핀들은 상기 리프트 핀들로 하여금 상기 소모성 부품에 상이한 전력을 공급하게 하도록 스위치에 연결되는, 클러스터 툴 어셈블리.
  9. 제 6 항에 있어서,
    상기 액추에이터 구동부는 상기 액추에이터들로 하여금 상기 리프트 핀들을 공압식으로 동작하게 하도록 공기 압축기에 연결되는, 클러스터 툴 어셈블리.
  10. 제 1 항에 있어서,
    상기 교체 스테이션은 상기 소모성 부품이 교체되어야 할 때 상기 격리 밸브의 상기 제 2 측면에 커플링되고 그리고 상기 소모성 부품의 교체가 완료될 때 디커플링되는 (decoupled) 이동식 유닛인, 클러스터 툴 어셈블리.
  11. 제 1 항에 있어서,
    상기 격리 밸브에 커플링된 상기 프로세스 모듈의 상기 제 2 측면 내에 형성된 개구 및 상기 교체 스테이션의 측면 상의 개구는, 상기 소모성 부품으로 하여금 상기 프로세스 모듈의 내외로 이동되게 하도록 사이징되는 (sized), 클러스터 툴 어셈블리.
  12. 제 11 항에 있어서,
    상기 소모성 부품은 상기 교체 스테이션 및 상기 프로세스 모듈 내의 상기 개구들을 통해 피팅하도록 (fit) 사이징된 2개 이상의 세그먼트들을 갖는 세그먼트화된 (segmented) 소모성 부품인, 클러스터 툴 어셈블리.
  13. 제 1 항에 있어서,
    상기 교환 핸들러는 임의의 평면에서 상기 소모성 부품을 회수 (retrieve), 피킹 (pick), 리프팅 (lift), 지지, 홀딩, 이동, 또는 회전시키도록 설계된 엔드 이펙터를 포함하는, 클러스터 툴 어셈블리.
  14. 제 1 항에 있어서,
    상기 교환 핸들러는 상기 프로세스 모듈로부터 상이한 소모성 부품들을 회수하도록 설계되고, 상기 교환 핸들러는 상이한 타입들의 소모성 부품들을 회수하기 위한 상이한 엔드 이펙터를 갖는, 클러스터 툴 어셈블리.
  15. 제 1 측면 및 제 2 측면을 갖고, 로봇을 포함한, 진공 이송 모듈;
    제 1 측면 및 제 2 측면을 가진 제 1 격리 밸브로서, 상기 제 1 격리 밸브의 상기 제 1 측면은 상기 진공 이송 모듈의 상기 제 1 측면에 커플링된, 상기 제 1 격리 밸브;
    상기 제 1 격리 밸브의 상기 제 2 측면에 커플링되고 부품 버퍼를 갖는, 교체 스테이션으로서, 상기 부품 버퍼는 새로운 소모성 부품들 또는 사용된 소모성 부품들을 홀딩하기 위한 복수의 칸들을 포함하는, 상기 교체 스테이션;
    제 1 측면 및 제 2 측면을 갖는 제 2 격리 밸브로서, 상기 제 2 격리 밸브의 상기 제 2 측면은 상기 진공 이송 모듈의 상기 제 2 측면에 커플링되는, 상기 제 2 격리 밸브; 및
    상기 제 2 격리 밸브의 상기 제 1 측면에 커플링된 프로세스 모듈을 포함하고,
    상기 프로세스 모듈은 상기 프로세스 모듈에 설치된 소모성 부품의 상승된 위치로의 이동을 인에이블하기 위한 리프트 메커니즘을 갖고, 상기 상승된 위치는 상기 프로세스 모듈로부터 상기 소모성 부품의 제거를 인에이블하고 상기 교체 스테이션의 상기 부품 버퍼에 저장하도록 상기 진공 이송 모듈의 상기 로봇으로의 액세스를 제공하고,
    상기 진공 이송 모듈의 상기 로봇은 상기 부품 버퍼로부터 상기 프로세스 모듈 내로 상기 소모성 부품에 대한 교체품을 설치하도록 인에이블되고,
    상기 리프트 메커니즘은 상기 로봇에 의한 교체를 위해 제공된 상기 소모성 부품을 수용하고 그리고 상기 소모성 부품을 설치 위치로 하강시키도록 구성되고,
    상기 프로세스 모듈의 상기 리프트 메커니즘 및 상기 로봇에 의한 상기 교체는 상기 교체 스테이션, 상기 진공 이송 모듈 및 상기 프로세스 모듈이 진공 상태로 유지되는 동안 실시되는, 클러스터 툴 어셈블리.
  16. 제 15 항에 있어서,
    상기 제 1 격리 밸브, 상기 제 2 격리 밸브, 상기 진공 이송 모듈, 상기 프로세스 모듈 및 상기 교체 스테이션은 제어기와 인터페이싱되고, 상기 제어기는 상기 프로세스 모듈, 상기 교체 스테이션 및 상기 진공 이송 모듈이 진공 상태로 남아 있는 동안 상기 교체 스테이션, 상기 진공 이송 모듈 및 상기 프로세스 모듈 사이의 액세스를 조정하기 위한 이송 로직부 및 진공 상태 제어부를 포함하는, 클러스터 툴 어셈블리.
  17. 제 15 항에 있어서,
    상기 진공 이송 모듈, 상기 프로세스 모듈 및 상기 교체 스테이션은 제어기와 인터페이싱되고, 상기 제어기는 상기 진공 이송 모듈, 상기 교체 스테이션 및 상기 프로세스 모듈이 진공 상태로 남아 있는 동안 상기 교체 스테이션과 상기 진공 이송 모듈 사이 그리고 상기 진공 이송 모듈과 상기 프로세스 모듈 사이의 액세스를 조정하기 위한 이송 로직부 및 진공 상태 제어부를 포함하는, 클러스터 툴 어셈블리.
  18. 제 16 항에 있어서,
    상기 교체 스테이션은 펌프에 커플링된 진공 제어부를 포함하고, 상기 진공 제어부는 상기 소모성 부품의 교체 동안 상기 진공 상태에서 상기 교체 스테이션을 유지하도록 상기 펌프의 작용을 조정하기 위해 상기 제어기와 인터페이싱하는, 클러스터 툴 어셈블리.
  19. 제 15 항에 있어서,
    상기 교체 스테이션, 상기 진공 이송 모듈 및 상기 진공 상태에서 유지된 상기 프로세스 모듈은 상기 소모성 부품의 상기 교체 후 상기 프로세스 모듈이 실제 동작으로 복귀하기 전에 상기 프로세스 모듈의 감소된 리컨디셔닝을 인에이블하는, 클러스터 툴 어셈블리.
  20. 제 15 항에 있어서,
    상기 리프트 메커니즘은, 상기 프로세스 모듈에 설치된 상기 소모성 부품의 상승된 위치로의 배치를 인에이블하는 복수의 리프트 핀들 및 액추에이터들을 포함하고;
    액추에이터 구동부는 상기 액추에이터들에 연결되고; 그리고
    제어기는 상기 소모성 부품 교체의 조정을 인에이블하도록 상기 액추에이터 구동부, 상기 교체 스테이션 및 상기 진공 이송 모듈과 인터페이싱되는, 클러스터 툴 어셈블리.
  21. 제 15 항에 있어서,
    상기 로봇은 임의의 평면에서 상기 소모성 부품을 회수, 피킹, 리프팅, 지지, 홀딩, 이동, 또는 회전시키도록 설계된 엔드 이펙터를 포함하는, 클러스터 툴 어셈블리.
  22. 제 21 항에 있어서,
    상기 로봇은 상기 프로세스 모듈 내외로 반도체 웨이퍼를 이동시키도록 설계된 제 2 엔드 이펙터를 포함하는, 클러스터 툴 어셈블리.
  23. 제 15 항에 있어서,
    개구들은 상기 클러스터 툴 어셈블리의 균일성 및 대칭을 유지하도록 상기 교체 스테이션과 상기 프로세스 모듈을 커플링하기 위해 상기 진공 이송 모듈의 상기 제 1 측면 및 상기 제 2 측면에 형성되는, 클러스터 툴 어셈블리.
  24. 제 1 측면 및 제 2 측면을 갖고, 로봇을 갖는, 대기 이송 모듈;
    제 1 측면 및 제 2 측면을 갖고, 제 2 로봇을 포함한, 진공 이송 모듈;
    상기 대기 이송 모듈의 상기 제 1 측면 그리고 상기 진공 이송 모듈의 상기 제 1 측면에 커플링되고, 상기 대기 이송 모듈과 상기 진공 이송 모듈 사이에 인터페이스를 제공하는, 로드록 챔버;
    상기 진공 이송 모듈의 상기 제 2 측면에 커플링된 프로세스 모듈; 및
    상기 대기 이송 모듈의 상기 제 2 측면에 커플링되고, 부품 버퍼를 갖는, 교체 스테이션으로서, 상기 부품 버퍼는 새로운 소모성 부품들 또는 사용된 소모성 부품들을 홀딩하기 위한 복수의 칸들을 포함하는, 상기 교체 스테이션을 포함하고,
    상기 프로세스 모듈은 상기 프로세스 모듈에 설치된 소모성 부품의 상승된 위치로의 이동을 인에이블하기 위한 리프트 메커니즘을 갖고, 상기 상승된 위치는 상기 프로세스 모듈로부터 상기 소모성 부품의 제거를 인에이블하고 그리고 상기 로드록 챔버로 이송하도록 상기 진공 이송 모듈의 상기 제 2 로봇으로의 액세스를 제공하고, 상기 대기 이송 모듈의 상기 로봇은 상기 소모성 부품을 상기 로드록 챔버로부터 상기 교체 스테이션의 상기 부품 버퍼로 이동시키도록 인에이블되고,
    상기 대기 이송 모듈의 상기 로봇 및 상기 진공 이송 모듈의 상기 제 2 로봇은 상기 부품 버퍼로부터 상기 프로세스 모듈 내로 상기 소모성 부품의 교체품을 이동시키도록 인에이블되고,
    상기 리프트 메커니즘은 상기 제 2 로봇에 의한 교체를 위해 제공된 상기 소모성 부품을 수용하고 그리고 상기 소모성 부품을 설치 위치로 하강시키도록 구성되고,
    상기 프로세스 모듈의 상기 리프트 메커니즘 및 상기 제 2 로봇에 의한 상기 교체는 상기 진공 이송 모듈 및 상기 프로세스 모듈이 진공 상태로 유지되는 동안 실시되는, 클러스터 툴 어셈블리.
  25. 제 24 항에 있어서,
    상기 대기 이송 모듈, 상기 진공 이송 모듈, 상기 프로세스 모듈, 및 상기 교체 스테이션은 제어기와 인터페이싱되고, 상기 제어기는 상기 프로세스 모듈 및 상기 진공 이송 모듈이 진공 상태로 남아 있는 동안 상기 교체 스테이션, 상기 대기 이송 모듈, 상기 진공 이송 모듈, 및 상기 프로세스 모듈 사이의 액세스를 조정하기 위한 이송 로직부 및 진공 상태 제어부를 포함하는, 클러스터 툴 어셈블리.
  26. 제 24 항에 있어서,
    상기 대기 이송 모듈 및 상기 교체 스테이션은 대기 상태로 유지되는, 클러스터 툴 어셈블리.
KR1020160029812A 2015-10-22 2016-03-11 반도체 프로세스 모듈로부터 소모성 부품들을 인시츄 제거하고 교체하기 위한 시스템들 KR20170047149A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230117142A KR20230130597A (ko) 2015-10-22 2023-09-04 반도체 프로세스 모듈로부터 소모성 부품들을 인시츄 제거하고 교체하기 위한 시스템들

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/920,090 US20170115657A1 (en) 2015-10-22 2015-10-22 Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US14/920,090 2015-10-22

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230117142A Division KR20230130597A (ko) 2015-10-22 2023-09-04 반도체 프로세스 모듈로부터 소모성 부품들을 인시츄 제거하고 교체하기 위한 시스템들

Publications (1)

Publication Number Publication Date
KR20170047149A true KR20170047149A (ko) 2017-05-04

Family

ID=58561558

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020160029812A KR20170047149A (ko) 2015-10-22 2016-03-11 반도체 프로세스 모듈로부터 소모성 부품들을 인시츄 제거하고 교체하기 위한 시스템들
KR1020230117142A KR20230130597A (ko) 2015-10-22 2023-09-04 반도체 프로세스 모듈로부터 소모성 부품들을 인시츄 제거하고 교체하기 위한 시스템들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230117142A KR20230130597A (ko) 2015-10-22 2023-09-04 반도체 프로세스 모듈로부터 소모성 부품들을 인시츄 제거하고 교체하기 위한 시스템들

Country Status (6)

Country Link
US (2) US20170115657A1 (ko)
JP (2) JP2017085072A (ko)
KR (2) KR20170047149A (ko)
CN (2) CN106611727A (ko)
SG (2) SG10201601912YA (ko)
TW (1) TWI784924B (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11264291B2 (en) 2019-06-26 2022-03-01 Samsung Electronics Co., Ltd. Sensor device and etching apparatus having the same
KR20220040558A (ko) * 2020-09-23 2022-03-31 세메스 주식회사 기판 처리 장치
KR20220072746A (ko) * 2020-11-23 2022-06-02 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드. 차이나 하부 전극 어셈블리, 플라즈마 처리 장치 및 포커스링을 교체하는 방법
KR20220118561A (ko) * 2019-05-20 2022-08-25 어플라이드 머티어리얼스, 인코포레이티드 프로세스 키트 링 어댑터
KR20230063099A (ko) 2021-11-01 2023-05-09 세메스 주식회사 소모품 교체가 가능한 기판 처리 장치
KR20240014258A (ko) 2022-07-25 2024-02-01 엘지전자 주식회사 에칭 장비

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
SG10202002601QA (en) 2014-10-17 2020-05-28 Applied Materials Inc Cmp pad construction with composite material properties using additive manufacturing processes
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10204795B2 (en) 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10763081B2 (en) 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
WO2019022707A1 (en) * 2017-07-24 2019-01-31 Lam Research Corporation MOBILE RIBBON DESIGNS
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
KR102401722B1 (ko) * 2017-11-21 2022-05-24 램 리써치 코포레이션 하단 링 및 중간 에지 링
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10347500B1 (en) 2018-06-04 2019-07-09 Applied Materials, Inc. Device fabrication via pulsed plasma
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
CN109065479B (zh) * 2018-07-27 2020-06-16 上海华力集成电路制造有限公司 硅刻蚀机及其操作方法
JP7299970B2 (ja) 2018-09-04 2023-06-28 アプライド マテリアルズ インコーポレイテッド 改良型研磨パッドのための配合物
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP7126466B2 (ja) * 2018-12-12 2022-08-26 東京エレクトロン株式会社 基板処理システム、搬送方法、および搬送プログラム
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
US11279032B2 (en) 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
WO2020214327A1 (en) 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
US20200365381A1 (en) 2019-05-14 2020-11-19 Mattson Technology, Inc. Systems And Methods For Transportation Of Replaceable Parts In a Vacuum Processing Apparatus
US11626305B2 (en) 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
US11823937B2 (en) 2019-08-19 2023-11-21 Applied Materials, Inc. Calibration of an aligner station of a processing system
US11469123B2 (en) * 2019-08-19 2022-10-11 Applied Materials, Inc. Mapping of a replacement parts storage container
JP7412124B2 (ja) * 2019-10-18 2024-01-12 東京エレクトロン株式会社 基板処理システム及びエッジリングを交換する方法
US11370114B2 (en) 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
JP7378318B2 (ja) 2020-02-28 2023-11-13 東京エレクトロン株式会社 部品交換方法
JP7471106B2 (ja) 2020-02-28 2024-04-19 東京エレクトロン株式会社 部品運搬装置
US11759954B2 (en) 2020-03-17 2023-09-19 Applied Materials, Inc. Calibration of an electronics processing system
JP7419154B2 (ja) 2020-05-01 2024-01-22 東京エレクトロン株式会社 部品交換システムおよび部品交換装置
CN113644005A (zh) * 2020-05-11 2021-11-12 中微半导体设备(上海)股份有限公司 一种半导体处理系统
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
US20220020615A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Multiple process semiconductor processing system
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11755001B2 (en) * 2021-05-18 2023-09-12 Ford Global Technologies, Llc Modular systems for industrial machinery
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
TW202308008A (zh) 2021-06-03 2023-02-16 日商東京威力科創股份有限公司 零件更換方法、零件更換裝置及零件更換系統
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4930634A (en) 1987-09-29 1990-06-05 Fluoroware, Inc. Carrier for flat panel displays
US5223112A (en) * 1991-04-30 1993-06-29 Applied Materials, Inc. Removable shutter apparatus for a semiconductor process chamber
JPH04346247A (ja) 1991-05-23 1992-12-02 Fujitsu Ltd 半導体製造装置及びウェハ搬送アーム及びウェハ載置台
JP3909608B2 (ja) * 1994-09-30 2007-04-25 株式会社アルバック 真空処理装置
US5788082A (en) 1996-07-12 1998-08-04 Fluoroware, Inc. Wafer carrier
US6776289B1 (en) 1996-07-12 2004-08-17 Entegris, Inc. Wafer container with minimal contact
US6010008A (en) 1997-07-11 2000-01-04 Fluoroware, Inc. Transport module
US6267245B1 (en) 1998-07-10 2001-07-31 Fluoroware, Inc. Cushioned wafer container
US6092981A (en) 1999-03-11 2000-07-25 Applied Materials, Inc. Modular substrate cassette
JP2001179672A (ja) 1999-12-21 2001-07-03 Mitsubishi Electric Corp ロボットハンド
JP4389424B2 (ja) * 2001-12-25 2009-12-24 東京エレクトロン株式会社 被処理体の搬送機構及び処理システム
US7121414B2 (en) 2001-12-28 2006-10-17 Brooks Automation, Inc. Semiconductor cassette reducer
JP2004288727A (ja) * 2003-03-19 2004-10-14 Seiko Epson Corp Cmp装置、cmp研磨方法、半導体装置及びその製造方法
US7682455B2 (en) * 2003-07-11 2010-03-23 Tec-Sem Ag Device for storing and/or transporting plate-shaped substrates in the manufacture of electronic components
US20050205209A1 (en) * 2004-03-18 2005-09-22 Aelan Mosden Replacing chamber components in a vacuum environment
JP2006120799A (ja) * 2004-10-20 2006-05-11 Tokyo Electron Ltd 基板処理装置、基板載置台交換方法、及びプログラム
TWI310974B (en) 2005-07-15 2009-06-11 Fabworx Solutions Inc An end effecter
JP4884801B2 (ja) 2005-10-06 2012-02-29 東京エレクトロン株式会社 処理システム
JP2007186757A (ja) 2006-01-13 2007-07-26 Tokyo Electron Ltd 真空処理装置及び真空処理方法
US7717481B2 (en) 2007-01-11 2010-05-18 Applied Materials, Inc. High temperature robot end effector
KR20100031681A (ko) * 2007-05-18 2010-03-24 브룩스 오토메이션 인코퍼레이티드 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템
US9002514B2 (en) * 2007-11-30 2015-04-07 Novellus Systems, Inc. Wafer position correction with a dual, side-by-side wafer transfer robot
KR101525753B1 (ko) 2008-01-13 2015-06-09 엔테그리스, 아이엔씨. 큰 지름의 웨이퍼를 취급하는 장치 및 방법
US8185242B2 (en) 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
JP4921429B2 (ja) 2008-07-03 2012-04-25 信越ポリマー株式会社 基板収納容器
US8652260B2 (en) * 2008-08-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for holding semiconductor wafers
JP2010123733A (ja) * 2008-11-19 2010-06-03 Tokyo Electron Ltd 基板処理装置及びその処理方法、並びに記憶媒体
JP2010153585A (ja) * 2008-12-25 2010-07-08 Ebara Corp 基板保持具および基板保持方法
TWI346638B (en) 2008-12-26 2011-08-11 Gudeng Prec Industral Co Ltd A purging valve and a wafer container having the purging valve
JP5208800B2 (ja) * 2009-02-17 2013-06-12 東京エレクトロン株式会社 基板処理システム及び基板搬送方法
JP5650935B2 (ja) * 2009-08-07 2015-01-07 東京エレクトロン株式会社 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
US8409995B2 (en) 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
JP5363277B2 (ja) 2009-11-11 2013-12-11 信越ポリマー株式会社 基板収納容器、及び支持部材
JP5575507B2 (ja) * 2010-03-02 2014-08-20 株式会社日立国際電気 基板処理装置、基板搬送方法、半導体装置の製造方法および基板処理装置のメンテナンス方法
JP5548163B2 (ja) 2010-09-14 2014-07-16 株式会社日立国際電気 基板搬送機構、基板処理装置および半導体装置の製造方法
US8840754B2 (en) * 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
US20170236737A1 (en) 2010-10-20 2017-08-17 Entegris, Inc. Wafer container with door guide and seal
JP6003011B2 (ja) * 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
KR102185752B1 (ko) * 2011-10-26 2020-12-02 브룩스 오토메이션 인코퍼레이티드 반도체 웨이퍼 취급 및 이송
KR102072872B1 (ko) * 2012-04-26 2020-02-03 인테벡, 인코포레이티드 진공 처리용 시스템 아키텍처
TWI494174B (zh) * 2012-05-16 2015-08-01 Kern Energy Entpr Co Ltd 基板表面處理設備
TWM539571U (zh) 2015-07-27 2017-04-11 應用材料股份有限公司 基板材升降杆致動器
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220118561A (ko) * 2019-05-20 2022-08-25 어플라이드 머티어리얼스, 인코포레이티드 프로세스 키트 링 어댑터
US11264291B2 (en) 2019-06-26 2022-03-01 Samsung Electronics Co., Ltd. Sensor device and etching apparatus having the same
KR20220040558A (ko) * 2020-09-23 2022-03-31 세메스 주식회사 기판 처리 장치
KR20220072746A (ko) * 2020-11-23 2022-06-02 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드. 차이나 하부 전극 어셈블리, 플라즈마 처리 장치 및 포커스링을 교체하는 방법
KR20230063099A (ko) 2021-11-01 2023-05-09 세메스 주식회사 소모품 교체가 가능한 기판 처리 장치
KR20240014258A (ko) 2022-07-25 2024-02-01 엘지전자 주식회사 에칭 장비

Also Published As

Publication number Publication date
TW201715565A (zh) 2017-05-01
TWI784924B (zh) 2022-12-01
KR20230130597A (ko) 2023-09-12
JP2021077916A (ja) 2021-05-20
US20170115657A1 (en) 2017-04-27
JP2017085072A (ja) 2017-05-18
SG10202003587PA (en) 2020-05-28
SG10201601912YA (en) 2017-05-30
US20180032062A1 (en) 2018-02-01
CN106611727A (zh) 2017-05-03
US11112773B2 (en) 2021-09-07
CN110828337A (zh) 2020-02-21

Similar Documents

Publication Publication Date Title
KR20230130597A (ko) 반도체 프로세스 모듈로부터 소모성 부품들을 인시츄 제거하고 교체하기 위한 시스템들
KR102617052B1 (ko) 인터페이싱 챔버들을 사용하는 소모성 부품들의 자동화된 교체
KR102664316B1 (ko) 플라즈마 프로세싱 시스템과 인터페이싱하는 엔드 이펙터들을 사용한 소모성 부품들의 자동화된 교체
JP7383665B2 (ja) 正面開口式リングポッド
KR20210154867A (ko) 자동화된 프로세스 모듈 링 포지셔닝 및 교체

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X601 Decision of rejection after re-examination
A107 Divisional application of patent