SG10201601912YA - Systems for removing and replacing consumable parts from a semiconductor process module in situ - Google Patents

Systems for removing and replacing consumable parts from a semiconductor process module in situ

Info

Publication number
SG10201601912YA
SG10201601912YA SG10201601912YA SG10201601912YA SG10201601912YA SG 10201601912Y A SG10201601912Y A SG 10201601912YA SG 10201601912Y A SG10201601912Y A SG 10201601912YA SG 10201601912Y A SG10201601912Y A SG 10201601912YA SG 10201601912Y A SG10201601912Y A SG 10201601912YA
Authority
SG
Singapore
Prior art keywords
situ
systems
process module
semiconductor process
consumable parts
Prior art date
Application number
SG10201601912YA
Inventor
David D Trussell
Alan J Miller
John Daugherty
Alex Paterson
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of SG10201601912YA publication Critical patent/SG10201601912YA/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32212If parameter out of tolerance reject product
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/50Machine tool, machine tool null till machine tool work handling
    • G05B2219/50291Multi-tool, several tools
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Automation & Control Theory (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
  • Drying Of Semiconductors (AREA)
SG10201601912YA 2015-10-22 2016-03-11 Systems for removing and replacing consumable parts from a semiconductor process module in situ SG10201601912YA (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/920,090 US20170115657A1 (en) 2015-10-22 2015-10-22 Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ

Publications (1)

Publication Number Publication Date
SG10201601912YA true SG10201601912YA (en) 2017-05-30

Family

ID=58561558

Family Applications (2)

Application Number Title Priority Date Filing Date
SG10201601912YA SG10201601912YA (en) 2015-10-22 2016-03-11 Systems for removing and replacing consumable parts from a semiconductor process module in situ
SG10202003587PA SG10202003587PA (en) 2015-10-22 2016-03-11 Systems for removing and replacing consumable parts from a semiconductor process module in situ

Family Applications After (1)

Application Number Title Priority Date Filing Date
SG10202003587PA SG10202003587PA (en) 2015-10-22 2016-03-11 Systems for removing and replacing consumable parts from a semiconductor process module in situ

Country Status (6)

Country Link
US (2) US20170115657A1 (en)
JP (2) JP2017085072A (en)
KR (2) KR20170047149A (en)
CN (2) CN106611727A (en)
SG (2) SG10201601912YA (en)
TW (1) TWI784924B (en)

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
SG10202002601QA (en) 2014-10-17 2020-05-28 Applied Materials Inc Cmp pad construction with composite material properties using additive manufacturing processes
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
CN108369922B (en) 2016-01-26 2023-03-21 应用材料公司 Wafer edge ring lifting solution
US10204795B2 (en) 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10763081B2 (en) 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
CN110506326B (en) * 2017-07-24 2024-03-19 朗姆研究公司 Removable edge ring design
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
KR102182298B1 (en) * 2017-11-21 2020-11-25 램 리써치 코포레이션 Bottom and middle edge rings
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10600623B2 (en) 2018-05-28 2020-03-24 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10347500B1 (en) 2018-06-04 2019-07-09 Applied Materials, Inc. Device fabrication via pulsed plasma
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
CN111312633A (en) * 2018-07-27 2020-06-19 上海华力集成电路制造有限公司 Silicon etching machine and operation method thereof
CN112654655A (en) 2018-09-04 2021-04-13 应用材料公司 Advanced polishing pad formulations
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
JP7126466B2 (en) * 2018-12-12 2022-08-26 東京エレクトロン株式会社 SUBSTRATE PROCESSING SYSTEM, TRANSFER METHOD, AND TRANSFER PROGRAM
CN113169026B (en) 2019-01-22 2024-04-26 应用材料公司 Feedback loop for controlling pulse voltage waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
US11279032B2 (en) 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US11515127B2 (en) 2019-05-14 2022-11-29 Beijing E-Town Semiconductor Technology Co., Ltd End effectors for moving workpieces and replaceable parts within a system for processing workpieces under vacuum
US10964584B2 (en) * 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
US11626305B2 (en) 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
KR20210002175A (en) 2019-06-26 2021-01-07 삼성전자주식회사 Sensor module and etching apparatus having the same
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
US11823937B2 (en) * 2019-08-19 2023-11-21 Applied Materials, Inc. Calibration of an aligner station of a processing system
US11469123B2 (en) * 2019-08-19 2022-10-11 Applied Materials, Inc. Mapping of a replacement parts storage container
JP7412124B2 (en) * 2019-10-18 2024-01-12 東京エレクトロン株式会社 How to replace the substrate processing system and edge ring
US11370114B2 (en) 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
JP7471106B2 (en) 2020-02-28 2024-04-19 東京エレクトロン株式会社 Parts transport device
JP7378318B2 (en) 2020-02-28 2023-11-13 東京エレクトロン株式会社 How to replace parts
US11766782B2 (en) 2020-03-17 2023-09-26 Applied Materials, Inc. Calibration of an electronics processing system
JP7419154B2 (en) * 2020-05-01 2024-01-22 東京エレクトロン株式会社 Parts replacement system and equipment
CN113644005A (en) * 2020-05-11 2021-11-12 中微半导体设备(上海)股份有限公司 Semiconductor processing system
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
US20220020615A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Multiple process semiconductor processing system
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
KR102523365B1 (en) * 2020-09-23 2023-04-21 세메스 주식회사 Apparatus for treating substrate
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
CN114530361A (en) * 2020-11-23 2022-05-24 中微半导体设备(上海)股份有限公司 Lower electrode assembly, plasma processing apparatus and method of replacing focus ring
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11755001B2 (en) * 2021-05-18 2023-09-12 Ford Global Technologies, Llc Modular systems for industrial machinery
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
JP2022185689A (en) * 2021-06-03 2022-12-15 東京エレクトロン株式会社 Component replacement method, component replacement device, and component replacement system
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
KR102615218B1 (en) 2021-11-01 2023-12-15 세메스 주식회사 Replacing consumables apparatus, substrate processing system and method for replacing consumables
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
KR20240014258A (en) 2022-07-25 2024-02-01 엘지전자 주식회사 Etching apparatus

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4930634A (en) 1987-09-29 1990-06-05 Fluoroware, Inc. Carrier for flat panel displays
US5223112A (en) * 1991-04-30 1993-06-29 Applied Materials, Inc. Removable shutter apparatus for a semiconductor process chamber
JPH04346247A (en) 1991-05-23 1992-12-02 Fujitsu Ltd Semiconductor manufacturing apparatus, wafer transfer arm and wafer mounting stand
JP3909608B2 (en) * 1994-09-30 2007-04-25 株式会社アルバック Vacuum processing equipment
US6776289B1 (en) 1996-07-12 2004-08-17 Entegris, Inc. Wafer container with minimal contact
US5788082A (en) 1996-07-12 1998-08-04 Fluoroware, Inc. Wafer carrier
US6010008A (en) 1997-07-11 2000-01-04 Fluoroware, Inc. Transport module
US6267245B1 (en) 1998-07-10 2001-07-31 Fluoroware, Inc. Cushioned wafer container
US6092981A (en) 1999-03-11 2000-07-25 Applied Materials, Inc. Modular substrate cassette
JP2001179672A (en) 1999-12-21 2001-07-03 Mitsubishi Electric Corp Robot hand
JP4389424B2 (en) * 2001-12-25 2009-12-24 東京エレクトロン株式会社 To-be-processed object conveyance mechanism and processing system
US7121414B2 (en) 2001-12-28 2006-10-17 Brooks Automation, Inc. Semiconductor cassette reducer
JP2004288727A (en) * 2003-03-19 2004-10-14 Seiko Epson Corp Cmp device, cmp polishing method, semiconductor device, and its manufacturing method
US7682455B2 (en) * 2003-07-11 2010-03-23 Tec-Sem Ag Device for storing and/or transporting plate-shaped substrates in the manufacture of electronic components
US20050205209A1 (en) * 2004-03-18 2005-09-22 Aelan Mosden Replacing chamber components in a vacuum environment
JP2006120799A (en) * 2004-10-20 2006-05-11 Tokyo Electron Ltd Substrate processing apparatus, substrate placement stage replacing method, and program
TWI310974B (en) 2005-07-15 2009-06-11 Fabworx Solutions Inc An end effecter
JP4884801B2 (en) 2005-10-06 2012-02-29 東京エレクトロン株式会社 Processing system
JP2007186757A (en) 2006-01-13 2007-07-26 Tokyo Electron Ltd Vacuum treatment apparatus and vacuum treatment method
US7717481B2 (en) 2007-01-11 2010-05-18 Applied Materials, Inc. High temperature robot end effector
KR20100031681A (en) * 2007-05-18 2010-03-24 브룩스 오토메이션 인코퍼레이티드 Compact substrate transport system with fast swap robot
US9002514B2 (en) * 2007-11-30 2015-04-07 Novellus Systems, Inc. Wafer position correction with a dual, side-by-side wafer transfer robot
TWI562940B (en) 2008-01-13 2016-12-21 Entegris Inc Wafer container and method of manufacture
US8185242B2 (en) 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
JP4921429B2 (en) 2008-07-03 2012-04-25 信越ポリマー株式会社 Substrate storage container
US8652260B2 (en) * 2008-08-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for holding semiconductor wafers
JP2010123733A (en) * 2008-11-19 2010-06-03 Tokyo Electron Ltd Substrate processing apparatus and processing method thereof, and storage medium
JP2010153585A (en) * 2008-12-25 2010-07-08 Ebara Corp Tool and method for holding substrate
TWI346638B (en) 2008-12-26 2011-08-11 Gudeng Prec Industral Co Ltd A purging valve and a wafer container having the purging valve
JP5208800B2 (en) * 2009-02-17 2013-06-12 東京エレクトロン株式会社 Substrate processing system and substrate transfer method
US8409995B2 (en) 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
JP5650935B2 (en) * 2009-08-07 2015-01-07 東京エレクトロン株式会社 Substrate processing apparatus, positioning method, and focus ring arrangement method
JP5363277B2 (en) 2009-11-11 2013-12-11 信越ポリマー株式会社 Substrate storage container and support member
JP5575507B2 (en) * 2010-03-02 2014-08-20 株式会社日立国際電気 Substrate processing apparatus, substrate transport method, semiconductor device manufacturing method, and substrate processing apparatus maintenance method
JP5548163B2 (en) 2010-09-14 2014-07-16 株式会社日立国際電気 Substrate transport mechanism, substrate processing apparatus, and semiconductor device manufacturing method
US8840754B2 (en) * 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
US20170236737A1 (en) 2010-10-20 2017-08-17 Entegris, Inc. Wafer container with door guide and seal
JP6003011B2 (en) * 2011-03-31 2016-10-05 東京エレクトロン株式会社 Substrate processing equipment
WO2013072760A2 (en) * 2011-10-26 2013-05-23 Brooks Automation, Inc. Semiconductor wafer handling and transport
SG11201406893XA (en) * 2012-04-26 2014-11-27 Intevac Inc System architecture for vacuum processing
TWI494174B (en) * 2012-05-16 2015-08-01 Kern Energy Entpr Co Ltd Equipment for surface treatment of substrate
TWI674168B (en) 2015-07-27 2019-10-11 美商應用材料股份有限公司 Lift pin actuator,substrate support assembly, and method for utilizing substrate support assembly
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system

Also Published As

Publication number Publication date
CN106611727A (en) 2017-05-03
US11112773B2 (en) 2021-09-07
KR20170047149A (en) 2017-05-04
JP2021077916A (en) 2021-05-20
JP2017085072A (en) 2017-05-18
SG10202003587PA (en) 2020-05-28
US20180032062A1 (en) 2018-02-01
US20170115657A1 (en) 2017-04-27
TWI784924B (en) 2022-12-01
TW201715565A (en) 2017-05-01
CN110828337A (en) 2020-02-21
KR20230130597A (en) 2023-09-12

Similar Documents

Publication Publication Date Title
SG10201601912YA (en) Systems for removing and replacing consumable parts from a semiconductor process module in situ
HK1223886A1 (en) Method and device for machining a substrate
GB2533017B (en) A method and system for scalable job processing
GB201412121D0 (en) A method for processing data quality exceptions in a data processing system
GB2557520B (en) Method and system for processing a fluid produced from a well
PL3386655T3 (en) Method for waste processing
SG11201610818XA (en) Inspection for multiple process steps in a single inspection process
PL3090794T3 (en) Device for removing impurities from a liquid, and method for cleaning such a device
GB2546221B (en) Process and method for removing heavy metals from fluids
GB2524746B (en) Locking apparatus for a nut
GB201522893D0 (en) Method and apparatus for a high throughput
HK1216165A1 (en) A system and method for extracting electronic components
HUE039081T2 (en) Device and method for setting a connecting element on a workpiece
PL3362321T3 (en) Crossmember and method for manufacturing a crossmember
PL3154931T3 (en) Method for removing impurities from dinitrotoluenes
PL3096298T3 (en) Method for operation a locking system and locking system
ZA201802366B (en) Process and device for removing lead from a liquid
SG11201709067TA (en) Removal method for earth-retaining member
SG11201701625VA (en) Method and system for conducting a cash-on-delivery (cod) transaction
PL3067329T3 (en) Process for removing selenium
PL2942169T3 (en) Method for separating plate-shaped workpieces from a plate-shaped semi-finished product
GB2532956B (en) Apparatus and method for removing a tank from the ground
GB201405982D0 (en) A system and method for removal of contaminants from refrigerants
HK1252869A1 (en) Method for removing dimethoxybenzyl group
EP3356652A4 (en) Method and system for removing material from a workpiece