KR20140087023A - 반도체 웨이퍼 취급 및 이송 - Google Patents

반도체 웨이퍼 취급 및 이송 Download PDF

Info

Publication number
KR20140087023A
KR20140087023A KR1020147014085A KR20147014085A KR20140087023A KR 20140087023 A KR20140087023 A KR 20140087023A KR 1020147014085 A KR1020147014085 A KR 1020147014085A KR 20147014085 A KR20147014085 A KR 20147014085A KR 20140087023 A KR20140087023 A KR 20140087023A
Authority
KR
South Korea
Prior art keywords
transfer
vertically stacked
vacuum
robot
chambers
Prior art date
Application number
KR1020147014085A
Other languages
English (en)
Other versions
KR102185752B1 (ko
Inventor
로버트 티. 카베니
Original Assignee
브룩스 오토메이션 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브룩스 오토메이션 인코퍼레이티드 filed Critical 브룩스 오토메이션 인코퍼레이티드
Publication of KR20140087023A publication Critical patent/KR20140087023A/ko
Application granted granted Critical
Publication of KR102185752B1 publication Critical patent/KR102185752B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G47/00Article or material-handling devices associated with conveyors; Methods employing such devices
    • B65G47/74Feeding, transfer, or discharging devices of particular kinds or types
    • B65G47/90Devices for picking-up and depositing articles or materials
    • B65G47/901Devices for picking-up and depositing articles or materials provided with drive systems with rectilinear movements only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67715Changing the direction of the conveying path
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67733Overhead conveying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2201/00Indexing codes relating to handling devices, e.g. conveyors, characterised by the type of product or load being conveyed or handled
    • B65G2201/02Articles
    • B65G2201/0297Wafer cassette

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Abstract

기판 프로세싱 시스템은 적어도 2 개의 수직으로 적재된 이송 챔버들 및 이송 챔버 모듈들 각각에 배치된 이송 로봇을 구비하는데, 수직으로 적재된 이송 챔버들 각각은 수직으로 적재된 프로세스 모듈들에 결합되도록 구성된 개구들의 수직 적재들을 형성하게끔 배치된 복수개의 개구들을 구비하고, 수직으로 적재된 이송 챔버들중 적어도 하나는 선형 이송 챔버를 형성하도록 다른 이송 챔버 모듈에 결합되게끔 배치된 적어도 하나의 이송 챔버 모듈을 구비하고, 적어도 2 개의 적재된 이송 챔버들중 다른 하나는 다른 선형 이송 챔버를 형성하도록 다른 이송 챔버 모듈에 결합되게끔 배치된 적어도 하나의 이송 챔버 모듈을 구비하고, 이송 로봇의 조인트는 개별의 선형 이송 챔버에 의해 형성된 선형 경로를 따라서 위치가 고정된다.

Description

반도체 웨이퍼 취급 및 이송{Semiconductor Wafer Handling And Transport}
본 출원은 정규 출원으로서 2011 년 10 월 26 일에 제출된 미국 가출원 61/551,779 의 우선권을 주장하며, 상기 문헌은 본원에 참조로서 포함된다.
여기에 개시된 본 발명은 전체적으로 반도체 프로세싱 시스템에 관한 것으로서, 상세하게는 진공 반도체 프로세싱 작업물 취급 및 이송에 관한 것이다.
현재의 반도체 제조 장치는 몇가지 상이한 형태를 취하며, 상기 형태들 각각은 현저한 단점을 가진다. 중심의 로봇 아암 둘레의 반경에 반도체 프로세싱 모듈들의 그룹을 배치시킨 기계인, 클러스터 툴들은 큰 공간을 점유하고, 상대적으로 느리며, 그들의 구조에 의하여 통상적으로 최대 대략 5 또는 6 개인 소수의 반도체 프로세스 모듈들에 제한된다. 선형 툴(linear tool)은 클러스터 툴(cluster tool)보다 빠른 속도를 위하여 훨씬 큰 융통성 및 잠재성을 부여하지만, 대부분의 현재 반도체 조립 설비들의 현재 구조와 잘 맞지 않는다. 더욱이, 반도체 제조의 전형적인 진공 환경내에 있는 장치 구성 요소들의 선형 움직임은 구성 요소들 사이의 마찰에 의해 발생되는 허용 불가능한 입자들의 레벨과 같은, 현재 선형 시스템의 문제들에 이르게 된다. 반경 방향 프로세스 모듈 구성 및 선형 구성의 조합을 이용하는 몇가지 하이브리드 구조들이 존재한다.
반도체 제조는 복잡하게 성장하므로, 다수의 상이한 프로세스 모듈들 또는 프로세스 모듈들의 클러스터들 사이에서, 그리고 때때로 현저한 거리로 분리된 툴들과 모듈들 사이에서 웨이퍼들을 전달할 필요성이 증가한다. 이것은 여러가지 어려움에 봉착하게 하는데, 특히 웨이퍼들이 분리된 진공 프로세싱 설비들 사이에서 전달될 때 그러하다. 진공 환경들 사이에서 또는 진공과 다른 프로세싱 환경들 사이에서의 전달은 종종 웨이퍼들이 전달중에 가열되거나 냉각되어야 하는 높은 열적 예산(thermal budget) 뿐만 아니라 (로드 락(load lock) 안에서 웨이퍼들의 펌핑(pumping) 및 벤팅(venting)에 기인하여) 입자 오염의 증가된 위험성을 초래한다.
반도체 제조 환경에서 사용되기 위한 향상된 웨이퍼 이송 및 취급 시스템에 대한 필요성이 있다.
본 발명의 목적은 종래 기술의 문제점을 해결할 수 있는 웨이퍼 이송 및 취급 시스템을 제공하는 것이다.
향상된 반도체 제조 취급 및 이송을 위하여 이용된 방법들 및 시스템들이 여기에 제공된다. 모듈화된 웨이퍼 이송 및 취급 설비들이 진공 반도체 프로세싱 시스템에서 높은 레벨의 융통성, 이용성, 효율 및 기능성을 산출하는 다양한 방법으로 조합된다. 다양한 프로세싱 및 다른 모듈들이 터널(tunnel)-및-카트(cart) 이송 시스템들과 상호 연결될 수 있어서 진공 환경의 거리 및 다능성(versatility)을 확장시킨다. 바이패스 열적 조절기(bypass thermal adjuster), 버퍼링 정렬기, 배취 프로세싱 다기능 모듈, 낮은 입자 배출, 클러스터 프로세싱 셀등과 같은 다른 향상점들이 포함되어 기능성을 확장시키고 프로세싱 효율을 향상시킨다.
여기에서 사용되는 바로서, "로봇"은 기계적 성능 및 제어 성능을 구비하는 공지의 로봇, 유사한 장치 또는 설비의 그 어떤 종류라도 포함하며, 이것은 콘트롤러, 프로세서, 콤퓨터, 또는 유사한 설비, 모터 또는 유사한 설비들의 세트, 하나 또는 그 이상의 리졸버(resolver), 엔코더 또는 유사한 설비, 아암, 휘일, 다리, 링크, 클로우(claw), 연장부(extender), 그립, 노즐, 스프레이, 단부 작동체, 액튜에이터등과 같은 하나 또는 그 이상의 기계적 설비 또는 작동 설비, 상기의 임의의 것의 임의의 조합을 포함할 수 있다. 일 실시예는 로봇 아암이다.
여기에서 사용되는 바로서, "구동부"는 운동(motion)을 유발하기 위한 구동 메카니즘 또는 설비의 그 어떤 형태라도 포함한다. 실시예들에서, 이는 로봇의 모터/엔코더 섹션을 포함한다.
여기에서 사용되는 바로서, "축"은 링크, 벨트 또는 유사한 설비를 통하여 아암 부재와 같은 기계적 부재에 연결된 모터 또는 구동부를 포함한다. "N-축 구동부"는 N 개의 축들을 포함하는 구동부를 포함한다; 예를 들어, "2 축 구동부"는 2 개의 축들을 포함하는 구동부이다.
여기에서 사용되는 바로서, "아암"은 취급되어야 하는 재료를 유지하거나 파지하는 하나 또는 그 이상의 단부 작동체(end effector), 베어링 및 하나 또는 그 이상의 아암 또는 다리 부재들을 구비할 수 있는 수동 또는 능동(모터/엔코더들을 포함하는 것을 의미함) 링크를 구비한다.
여기에서 사용되는 바로서, "SCARA 아암"은 당업자에게 공지된 하나 또는 그 이상의 형태들인 Selectively Compliant Assembly Robot Arm (SCARA) 로봇 아암을 의미하며, 이것은 구동부에 연결된 하나 또는 그 이상의 상부 링크들, 구동부의 부분인 모터에 대하여 벨트 또는 메카니즘을 통해 연결된 하나 또는 그 이상의 하부 링크들 및, 단부 작동체 또는 액튜에이터와 같은 하나 또는 그 이상의 단부 유닛(end unit)들로 이루어진 아암을 포함한다.
여기에서 사용되는 바로서, "회전 반경"은 아암이 완전히 수축되었을 때 아암이 그 안에 맞는 반경을 의미한다.
여기에서 사용되는 바로서, "도달(reach)"은 로봇 아암에 대하여 아암이 완전하게 연장되었을 때 얻어지는 최대 도달 거리를 포함한다. 항상 기계적인 한계는 실제의 유효 도달 거리보다 약간 멀리 나가게 되는데, 왜냐하면 (완전 연장 거리에서 좌측/우측의 특이성이 있어서 제어하기 곤란할 수 있는 실시예들에서) 완전하게 연장되지 않은 아암을 제어하는 것이 더 용이하기 때문이다.
여기에서 사용되는 바로서, "포괄(contaiment)"은 가상의 원이 최소 반경으로 아암/단부 작동체/재료의 둘레에 그려질 수 있도록 아암이 최적으로 수축되는 상황을 의미한다.
여기에서 사용되는 바로서, "도달-대-포괄 비율(reach-to-containment ratio)"은 로봇 아암에 대하여 최대 도달 대(對) 최소 포괄을 의미한다.
여기에서 사용되는 바로서, "로봇 대(對) 로봇"의 거리는 2 개의 상이한 로봇 구동부들의 기계적인 중심 회전축 사이의 수평 거리를 포함한다.
여기에서 사용되는 바로서, (진공 챔버의 펌프 다운(pump down)을 제어하는 진공(격리) 밸브와는 반대로) "슬롯 밸브"는 로봇 아암이 통과하는 것을 허용하도록 개폐되는 사각 형상 밸브를 포함한다. 예를 들어, SEMI E21.1-1296 표준(반도체 제조를 위한 공개 표준)에서 특정의 반도체 제조 프로세스 모듈들에 있는 300 mm 웨이퍼들을 위한 슬롯 밸브는, 장착 볼트 및 정렬 핀들을 설명하는 표준들과 함께 336 mm 의 개구 폭, 50 mm 의 개구 높이 및 60 mm 의 전체 밸브 두께를 가진다.
여기에서 사용되는 바로서, "전달 평면"은 재료가 슬롯 밸브를 통하여 로봇 챔버로부터 프로세스 모듈 챔버로 통과되는 평면(높이)을 포함한다. 반도체 제조 장치에 대한 SEMI E21.1-1296 표준을 따르면, 전달 평면은 슬롯 밸브 중심선 보다 14 mm 위에 있고 공장 바닥의 평면 보다 1100 mm 위에 있다.
여기에서 사용되는 바로서, "섹션(section)"은 하나 또는 그 이상의 로봇 구동부들이 그 안에 있는 진공 챔버를 구비한다. 이것은 선형 시스템에서 가장 작은 반복 가능 요소이다.
여기에서 사용되는 바로서, "링크(link)" 는 로봇 아암의 기계적 부재를 포함하며, 이것은 양쪽 단부들에서 다른 링크, 단부 작동체 또는 로봇 구동부에 연결된다.
여기에서 사용되는 바로서, "L1", "L2", "L3" 또는 그와 유사한 것은 구동부로부터 시작하여 단부 작동체로 향하는 아암 링크들의 번호를 포함한다.
여기에서 사용되는 바로서, "단부 작동체"는 로봇 아암의 작용 단부에 있는 요소를 포함하며, 이것은 로봇 구동부로부터 말단이고 로봇 아암이 작용할 물품에 대하여 기단에 있다. 단부 작동체는 로봇의 손일 수 있으며, 이것은 반도체 프로세스 또는 로봇 아암의 단부상에 배치된 일부 다른 액튜에이터에서 이송될 재료를 능동적으로 또는 수동적으로 유지한다.
여기에서 사용되는 바로서, "SCARA 아암"은 하나 또는 그 이상의 링크들 및 단부 작동체를 구비하는 로봇 아암을 지칭하는데, 아암은 제어하에서 선형으로 움직여서 대상물과 맞물릴 수 있다. SCARA 아암은 3 개, 4 개 또는 그 이상의 다양한 수의 링크들을 가질 수 있다. 여기에서 사용되는 바로서, "3-링크 SCARA 아암"은 3 개의 부재들: 링크 1 (L1), 링크 2 (L2) 및 단부 작동체를 가지는 SCARA 로봇 아암을 포함한다. 3 링크 SCARA 아암을 위한 구동부는 항상 3 개의 모터들을 가진다: 하나는 L1 에 연결되고, 하나는 벨트 시스템에 연결되며, 이것은 다시 풀리 및 Z (리프트)를 통하여 단부 작동체에 연결된다. 제 4 모터를 단부 작동체에 연결시킬 수 있으며, 이것은 3 개의 모터들만으로 불가능한 일부 특이한 움직임을 허용한다.
여기에서 사용되는 바로서, "2 중 SCARA 아암"은 공통의 구동부에 선택적으로 연결된 (2 개의 3 링크 또는 4 링크 SCARA 아암들(통상적으로 A 및 B 로 표시됨)과 같은) 2 개의 SCARA 아암들의 조합을 포함한다. 실시예들에서 2 개의 SCARA 아암들은 완전히 독립적이거나 또는 공통의 링크 부재(L1)를 공유한다. 2 중의 독립적인 SCARA 아암을 위한 구동부는 5 개의 모터들을 가지는데, L1-A 에 연결된 모터, L1-B 에 연결된 모터, 아암(A)의 벨트 시스템에 연결된 모터, 아암(B)의 벨트 시스템에 연결된 모터, 그리고 공통의 Z(리프트) 모터이다.
여기에서 사용되는 바로서, "4-링크 SCARA 아암"은 4 개의 부재들을 가진 아암을 포함하는데, L1, L2, L3 및 단부 작동체를 포함한다. 4 링크 SCARA 아암을 위한 구동부는 4 개의 모터들을 가질 수 있는데, L1 에 연결된 모터, L2 및 L3 에 연결된 벨트 시스템들에 연결된 모터, 단부 작동체에 연결된 모터 및 Z 모터를 가진다. 실시예들에서 오직 3 개의 모터들이 필요한데; L1 에 연결된 모터, L2, L3 및 단부 작동체에 연결된 벨트 시스템에 연결된 모터 및, Z 모터이다.
여기에서 사용되는 바로서, "프로그 레그 스타일 아암(Frog-leg style arm)"은 5 개의 부재들인 L1A, L1B, L2A, L3B 및 단부 작동체를 가진 아암을 포함한다. 프로그 레그 아암을 위한 구동부는 3 개의 모터들을 가질 수 있는데, L1B 에 대하여 기어 또는 그와 유사한 것에 의해서 기계적으로 연결된 LIA 에 연결된 모터와, 전체 아암 조립체를 회전시키는 터릿(turet)에 연결된 모터와, Z 모터를 포함한다. 실시예들에서 구동부는 3 개의 모터들을 포함하며, 하나는 LIA 에 연결되고, 하나는 LIB 에 연결되고, 하나는 Z 모터이며, 구동부는 모터들 사이의 조화를 통하여 소망의 움직임을 달성한다.
여기에서 사용되는 바로서, "2 중 프로그-레그 스타일 아암(Dual Frog-leg style arm)"은 8 개 부재(L1A, L1B, L2A-1, L2A-2, L2B-1, L2B-2) 및 2 개의 단부 작동체들을 가지는 아암을 구비한다. 제 2 링크 부재(L2A-1 및 L2B-1)는 단일의 프로그-레그 스타일 아암을 형성하는 반면에, 제 2 링크 부재(L2A-2 및 L2B-2)들은 단일의 프로그-레그 스타일 아암을 형성하지만, 반대 방향을 향한다. 2 중 프로그 아암을 위한 구동부는 단일 프로그 아암을 위한 것과 같을 수 있다.
여기에서 사용되는 바로서, "리이프 프로그-레드 스타일 아암(Leap Frog-leg style arm)"은 8 개의 부재(L1A, L1B, L2A-1, L2A-2, L2B-1, L2B-2) 및 2 개의 단부 작동체들을 가진 아암을 구비한다. 제 1 링크 부재(L1A, L1B)들은 말단 단부들 보다는 실질적으로 중심들에 의해 모터들중 하나에 각각 연결된다. 제 2 링크 부재(L2A-1, L2B-1)는 단일의 프로그-레드 스타일 아암을 형성하는 반면에, 제 2 링크 부재(L2A-2, L2B-2)들도 단일의 프로그-레그 스타일 아암을 형성하지만, 동일한 방향을 향한다. 2 중 프로그 아암을 위한 구동부는 단일 프로그 아암을 위한 것과 동일할 수 있다.
여기에 개시된 것은 진공에 있는 하나 또는 그 이상의 웨이퍼들을 프로세스 모듈들 사이에서 운반하기 위한 가동의 카트들을 이용하여, 링크 가능하고 유연성이 있는 로봇 시스템을 진공 터널 시스템과 조합하기 위한 방법 및 시스템들이다. 진공 터널 카트가 웨이퍼들을 프로세스 모듈들 또는 클러스터들 사이에서 전달하도록 채용될 수 있는 반면에, 링크 가능한 로봇 시스템은 국부적인 웨이퍼 취급을 위하여 각각의 모듈 또는 클러스터내에 채용된다. 카트들은 진공 환경을 위하여 적절한 그 어떤 이송 매체라도 채용할 수 있으며, 예를 들어 자기 부양/추진과 같은 것이다.
여기에 개시된 것은 진공 이송 시스템들의 다양한 구성으로서, 여기에서는 이종의 취급 시스템들이 모듈화된 방식으로 조합되어 단일 프로세스 환경내에서 더 많은 다양한 기능성을 허용한다. 전체적으로, 로봇들은 상대적으로 멀리 있는 프로세스 셀들 사이에서 웨이퍼들의 신속하고 편리한 이송을 허용하면서, 프로세스 모듈들 안에서 그리고 그 사이에서 웨이퍼 취급을 위하여 제공될 수 있다. 그러한 이종의 취급 시스템은, 예를 들어 SCARA 아암들과 같은 로봇 아암들이 프로세스 모듈 또는 클러스터 안에서 웨이퍼들을 취급하도록 이용되는 시스템을 포함할 수 있는 반면에, 카트 또는 유사한 설비들은 프로세스 모듈들 또는 클러스터들 사이에서 웨이퍼들을 이송하도록 이용된다. 카트 또는 유사한 설비는, 여기에 개시된 실시예들을 포함하는, 그 어떤 광범위의 카트 또는 레일웨이(railway) 시스템, 부양 카트(levitated cart), 레일상의 카트, 또는 튜브 시스템을 포함할 수 있다.
여기에 개시된 방법들 및 시스템들은 카트 시스템들과 조합된 로봇 취급 시스템들의 다양한 구성들을 포함하는데, 여기에서 카트 시스템들은 "U" 및 "T" 형상, 회로, 라인, 2 중 선형 구성(나란하고 위 아래의 구성을 포함함) 및 그와 유사한 것을 형성한다.
여기에 개시된 것은 진공 반도체 프로세싱 시스템에서 모듈들을 진공 프로세싱하고 취급하는 것을 지원하는 방법 및 시스템들이다. 여기에 개시된 다리 지지 시스템들은 인접한 모듈들 사이에서 적절한 진공 밀봉을 용이하게 하도록 진공 모듈들을 정확하게 위치시킬 수 있다. 실시예들에서, 다리(pedestal)의 실린더형 형상은 지지된 진공 모듈에 대한 안정성에 작은 영향 범위(footprint)를 제공하면서 편리한 제조 방법을 위한 기회를 부여한다.
실시예들에서, 다리 지지 시스템은 진공 모듈 안에서 작동하는 로봇을 위한 로봇 메카니즘을 포함할 수 있어서, 진공 프로세싱 시스템의 전체 크기 및 비용을 더욱 감소시킨다.
롤링 베이스(rolling base)를 가진 다리 지지 시스템은 프로세싱 및 취급 모듈들을 신속하고 비용 효과적으로 재구성하는데 있어서 필요한 융통성을 제공할 수도 있다.
본 발명의 상기 및 다른 시스템, 방법, 목적, 특징들 및 장점들은 바람직한 실시예에 대한 다음의 상세한 설명으로부터 당업자에게 명백해질 것이다. 여기에 언급된 모든 문헌들은 여기에 참조로서 포함된다.
본 발명의 다음의 목적 및 다른 목적은 첨부된 도면을 참조하여 다음의 상세한 설명으로부터 보다 완전하게 이해될 것이다.
도 1 은 다양한 제조 장치 유형들에 대한 장치 구조를 도시한다.
도 2 는 반도체 제조 프로세스에서 물품들을 취급하기 위한 통상적인, 클러스터 유형의 구조를 도시한다.
도 3a 및 도 3b 는 2 개와 6 개의 프로세스 모듈들 사이에서 수용되는 일련의 클러스터 유형 시스템들을 도시한다.
도 4 는 제조 프로세스에서 물품들을 취급하기 위한 선형 프로세싱 구조의 높은 레벨의 구성 요소들을 도시한다.
도 5 는 선형 프로세싱 시스템의 평면도로서, 도 4 에 도시된 바와 유사한 구조를 가진 것이다.
도 6a 및 도 6b 는 3-링크 SCARA 아암 및 4 링크 SCARA 아암을 도시한다.
도 7 은 SCARA 아암의 도달 및 포괄 특성을 도시한다.
도 8 은 로봇 시스템의 높은 레벨의 구성 요소들을 도시한다.
도 9 는 취급 시스템에서 사용되는 로봇 아암 시스템을 위한 2 중 아암 구조의 구성 요소들을 도시한다.
도 10 은 4 링크 SCARA 아암의 도달 및 포괄 성능을 도시한다.
도 11a 및 도 11b 는 4 링크 SCARA 아암의 인터페이스 특성들을 도시한다.
도 12 는 전동 메카니즘으로서 벨트들을 이용하는 4 링크 SCARA 아암들의 2 중 아암 세트에 대한 측면도이다.
도 13a, 도 13b, 도 13c 는 전동 메카니즘으로서 스플라인 링크를 이용하는 4 링크 SCARA 아암들의 2 중 아암 세트를 도시한다.
도 14 는 선형의 구조를 가진 취급 시스템을 위한 외부 복귀 시스템을 도시한다.
도 14a 는 선형 취급 시스템을 위한 U 형상 구성을 도시한다.
도 15 는 도 14 의 취급 시스템을 위한 외부 복귀 시스템의 특정한 상세를 도시한다.
도 16 은 도 14 의 취급 시스템을 위한 외부 복귀 시스템의 추가적인 상세를 도시한다.
도 17 은 도 14 의 복귀 시스템에서 출력 운반부(output carrier)의 움직임을 도시한다.
도 18 은 도 14 의 복귀 시스템에서 비워진 운반부의 취급을 도시한다.
도 19 는 도 14 의 복귀 시스템에 있는 비워진 운반부가 로드 락 위치로 움직이는 것을 도시한다.
도 20 은 도 14 의 복귀 시스템에서 비워진 운반부가 내려져서 배출되고 파지부가 움직이는 것을 도시한다.
도 21 은 채워진 운반부가 도 14 의 복귀 시스템에서 비워지고 있을 때 재료를 수용하는 비워진 운반부를 도시한다.
도 22 는 도 14 의 복귀 시스템에서 새로운 복귀 사이클을 시작하는, 유지 위치로 가져간 비워진 운반부를 도시한다.
도 23 은 제조 프로세스를 위한 취급 설비의 구조로서, 선형의 구조로 2 중 아암 로봇 아암 시스템 및 복귀 시스템을 가진 것을 도시한다.
도 24 는 본 발명의 취급 방법 및 시스템에 대한 전체적인 시스템 구조의 대안의 실시예를 도시한다.
도 25a 및 도 25b 는 통상적인 클러스터 시스템과 비교된 선형 시스템의 영향 범위에 대한 비교를 도시한다.
도 26 은 본 발명의 실시예들에 따른 취급 시스템에서 과도 크기의 프로세스 모듈들을 가지고 전개된 선형 구조를 도시한다.
도 27 은 본 발명의 실시예들에 따른 취급 시스템의 후방 출구 구조를 도시한다.
도 28a 및 도 28b 는 본 발명의 다양한 실시예들에 따라서 선형 취급 시스템들을 채용한 제조 설비의 다양한 배치 가능성들을 도시한다.
도 29 는 로봇이 다수의 구동부들 및/또는 다수의 콘트롤러들을 구비할 수 있는 본 발명의 실시예를 도시한다.
도 30 은 본 발명의 실시예들에 대한 전달 평면 및 슬롯 밸브 특성들을 도시한다.
도 31 은 웨이퍼들의 중심 찾기를 위한 텀블 파지부(tumble gripper)를 도시한다.
도 32 는 웨이퍼들의 중심 찾기를 위한 수동의 미끄럼 램프를 도시한다.
도 33 은 중간 입구 설비를 구비하는 제조 설비를 도시한다.
도 34a, 도 34b 및 도 34c 는 중간 입구 설비를 포함하는 제조 설비를 평면도로 도시한다.
도 35 는 본 발명의 실시예들에 따른 로봇 아암 위치 및 재료들의 검출을 위한 광학 센서들의 배치를 포함하는 제조 설비를 도시한다.
도 36a, 도 36b, 도 36c 는 광학적인 비임 경로들 및 대안의 비임 경로들을 도시하는 측부 단면으로서 제조 설비를 도시한다.
도 37a 및 도 37b 는 로봇 아암에 의해 취급되는 재료의 중심을 결정하도록 광학 센서들이 어떻게 이용될 수 있는지를 도시한다.
도 38 은 통상적인 3 축 로봇 진공 구동 구조를 도시한다.
도 39 는 본 발명의 실시예들에 따른 3 축 로봇 진공 구동 구조를 도시한다.
도 40 은 본 발명의 실시예들에 따른 수직으로 배치된 로드 락 조립체를 도시한다.
도 40b 는 본 발명의 실시예들에 따른 웨이퍼 제조 설비의 양측에서 수직으로 배치된 로드 락 조립체를 도시한다.
도 41 은 본 발명의 실시예들에 따른 수직으로 적재된 프로세스 모듈들 및 수직으로 배치된 로드 락을 도시한다.
도 42 는 본 발명의 실시예들에 따른 측부 단면도에서 수직으로 적재된 프로세스 모듈들을 가진, 선형 배치의, 2 개 레벨 취급 구조를 도시한다.
도 43 은 도 42 의 취급 배치를 평면도로 도시한다.
도 44 는 본 발명의 실시예들에 따라서, 목표물에 대한 대상물의 근접을 검출하는 센서들을 가진 로봇 아암상의 도구화된 목적물을 도시한다
도 45 는 목표물에 걸친 센서들의 움직임이 어떻게 로봇 아암들로 하여금 장애물에 대한 위치를 검출할 수 있게 하는지를 도시한다.
도 46 은 도구화된 대상물이 위치를 중앙 콘트롤러에 통신하도록 진공 환경에서 어떻게 라디오 주파수 통신을 이용할 수 있는지를 도시한다.
도 47 은 위치의 함수로서 일련의 센서들의 출력을 도시한다.
도 48 은 본 발명의 실시예들에 따라서 가열 요소들이 어떻게 대상물의 열처리를 위하여 로드 락에 배치될 수 있는지를 도시한다.
도 49a 및 도 49b 는 2 차원으로 테이퍼진 단부 작동체를 도시하며, 이것은 단부 작동체에서 활성 진동 모드를 감소시킨다.
도 50a 및 도 50b 는 수직의 적재 높이에 현저하게 영향을 미치지 않으면서 로봇의 평탄 아암을 위한 로봇 아암 요소의 수직 테이퍼가 어떻게 아암 세트에서 진동을 감소시키도록 이용될 수 있는지를 도시한다.
도 51a 및 도 51b 는 2 중의 독립적인 SCARA 로봇 아암을 도시한다.
도 52a 및 도 52b 는 2 중의 종속적인 SCARA 로봇 아암을 도시한다.
도 53a 및 도 53b 는 프로그 레그 유형의 로봇 아암을 도시한다.
도 54a 및 도 54b 는 2 중의 프로그 레그 유형의 로봇 아암을 도시한다.
도 55a 는 가동 카트상에 장착된 4 링크 SCARA 아암 및 도립의 가동 카트상에 장착된 4 링크 SCARA 아암을 도시한다.
도 55b 는 도 55a 의 평면도를 도시한다.
도 56 은 실질적으로 선형의 축을 따라서 웨이퍼들을 통과시키도록 3 링크의 단일 또는 2 중 SCARA 아암 로봇 시스템을 이용하는 것을 도시한다.
도 57 은 2 레벨 진공 취급 로봇 시스템을 도시하며, 여기에서 상부 및 저부 프로세스 모듈들은 로봇 아암들에서 수직 축에 의해 접근될 수 있다.
도 58a 는 2 레벨 프로세싱 설비를 도시하며, 여기에서 기판들은 2 레벨들중 하나에서 실질적으로 선형의 축을 따라서 통과된다.
도 58b 는 도 58a 의 변형을 도시하며, 여기에서 기판들은 시스템의 후방으로부터 제거된다.
도 59a 는 실질적으로 선형의 축에서 매우 거대한 프로세싱 모듈들을 수용하는 제조 설비를 도시한다. 프로세스 모듈들의 내부로 접근을 허용하는 정비 공간이 이용될 수 있다.
도 59b 는 4 개의 대형 프로세스 모듈들 및 하나의 소형 프로세스 모듈을 위한 콤팩트한 배치를 도시한다.
도 60a 및 도 60b 는 시스템의 동일측에 기판들을 가진 2 중의 프로그-레그 유형 로봇 매니퓰레이터를 도시한다.
도 61 은 진공 터널 카트가 전달 로봇을 통하여 프로세스 모듈과 함께 구성되는 바람직한 실시예의 평면도이다.
도 62 는 진공 터널 카트가 복수개의 전달 로봇들을 통하여 복수개의 프로세스 모듈들과 함께 구성되는 바람직한 실시예의 평면도이다.
도 63 은 진공 터널의 양측을 따라서 프로세스 모듈들을 더 포함하는 도 62 의 실시예를 도시한다.
도 64 는 진공 터널 카트가 전달 로봇을 통하여 클러스터 프로세스 셀과 함께 구성되는 바람직한 실시예의 평면도이다.
도 65 는 진공 터널의 양측을 따라서 복수개의 전달 로봇들 및 복수개의 클러스터 프로세스 셀들을 더 구비하는 도 64 의 실시예를 도시한다.
도 66 은 진공 터널 카트가 전달 로봇을 통하여 선형의 프로세스 셀과 함께 구성되는 바람직한 실시예의 평면도이다.
도 67 은 복수개의 선형 프로세스 셀들을 더 구비하는 도 66 의 실시예를 도시한다.
도 68 은 복수개의 클러스터 프로세스 셀 및 복수개의 선형 프로세스 셀이 터널 전달 카트와 함께 구성된, 바람직한 실시예의 평면도이다.
도 69 는 복수개의 전달 카트들을 더 구비하는 도 68 의 실시예를 도시한다.
도 70 은 대안의 클러스터 프로세싱 셀들이 터널 이송 카트 시스템 및 선형 프로세싱 그룹 양쪽과 조합된, 대안의 실시예에 대한 평면도이다.
도 71 은 터널이 "L"의 형상을 형성하는 대안의 실시예의 평면도이다.
도 72 는 터널이 "T" 의 형상을 형성하는 대안의 실시예의 평면도이다.
도 73 은 터널이 "U" 의 형상을 형성하는 대안의 실시예의 평면도이다.
도 74 는 긴 지속 기간의 프로세스 및 짧은 프로세스가 필요한, 대안의 실시예의 평면도이다.
도 75 는 이송 터널들 안에 복수개의 이송 카트들을 가진 도 74 의 실시예를 도시한다.
도 76 은 복수개의 터널 이송 카트 시스템들이 작업물 취급 진공 모듈들에 의해 상호 연결된 대안의 실시예이다.
도 77 은 이송 카트 시스템이 완성 루프(complete loop)를 형성하는 도 76 의 실시예를 도시한다.
도 78 은 완성 프로세스 그룹을 도시하는 대안의 실시예를 도시한다.
도 79 는 진공 프로세싱 시스템에 있는 작업물 버퍼 영역의 실시예를 도시한다.
도 80 은 진공 터널에 있는 2 중의 나란한 독립적인 이송 카트들을 도시한다.
도 81 은 진공 터널에 있는 2 중의 수직으로 대향되는 독립적인 이송 카트들의 측면도를 도시한다.
도 82 는 작업물 취급을 위한 전달 로봇들을 구비하는 프로세싱 시스템에서 로봇 아암을 가진 이송 카트의 실시예를 도시한다.
도 83 은 2 중의 독립적인 이송 터널들의 실시예를 도시하며, 그 각각은 이송 카트를 가진다.
도 84 는 작업물 엘레베이터가 하부 터널로부터 상부 터널로 작업물을 움직이도록 이용되는, 도 83 에 도시된 실시예를 도시한다.
도 85 는 2 개 유형의 프로그-레그 유형 로봇들이 작업물 취급 전달 로봇들로서 구성된 시스템의 실시예이다.
도 86 은 여기에 설명된 시스템들의 다른 실시예를 도시한다.
도 87 내지 도 91 은 수직의 리프터 및/또는 엘리베이터를 이용하는 추가적인 실시예를 도시한다.
도 92 는 계측 또는 리소그래피 하드웨어를 공유하기 위한 시스템을 도시한다.
도 93 은 터널 안의 카트, 작업물 취급 진공 모듈, 프로세싱 모듈 및, 프로세싱 흐름에 직선이고 평행한 다기능 모듈을 조합시킨 선형 프로세싱 시스템을 도시한다.
도 94 는 작업물 취급 진공 모듈 접근부를 가진 바이패스 가능한 열 조절 모듈의 측부 단면도를 도시한다.
도 95 는 반도체 진공 프로세싱 시스템에서 사용되는 것으로서 구성 가능한 다기능 반도체 진공 모듈의 사시도이다.
도 96 은 진공 프로세싱 시스템에서 복수개의 진공 연장 터널들을 도시한다.
도 97 은 4 개의 저장된 반도체 작업물들을 가진 버퍼 정렬기 모듈을 도시한다.
도 98 은 도 97 의 정렬기의 정렬 작용을 도시한다.
도 99 는 도 97 의 정렬기에서 제 2 작업물의 정렬을 도시한다.
도 100 은 도 97 의 정렬기로부터 전달되고 있는 정렬된 작업물들의 배취(batch)를 도시한다.
도 101 은 진공 프로세싱 시스템 환경에서 진공 모듈 지지 다리를 도시한다.
도 102 는 모듈화된 유틸리티 전달 모듈들을 포함하는 반도체 프로세싱 시스템의 일부에 대한 분해 사시도이다.
도 103 은 프로세스 챔버들 및 상승된 진공 취급 모듈들을 가진 적용예에서 모듈화된 유틸리티 전달 시스템의 측면도이다.
도 104 는 모듈화된 진공 프로세싱 시스템에 부착된 모듈화된 유틸리티 전달 모듈을 도시한다.
도 105 는 반도체 진공 모듈을 가진 저 입자 벤트 시스템(low particle vacuum system)의 실시예에 대한 측면도를 도시한다.
도 106 은 배취 프로세싱 시스템(batch processing system)을 도시한다.
도 107 은 배취 프로세싱 시스템에서 이용되는 로봇 아암을 도시한다.
도 108 은 배취 프로세싱 시스템에서 이용되는 다수 선반(multi-shelf)의 버퍼를 도시한다.
도 109 는 일 실시예의 양상에 따라서 예시적인 기판 프로세싱 시스템의 일부를 도시한다.
도 110a 내지 도 110d 는 도 109 의 실시예의 양상에 따라서 예시적인 기판 프로세싱 시스템의 부분들을 도시한다.
도 111 은 프로세싱 셀들의 일부가 제거된 도 109 의 예시적인 기판 프로세싱 시스템의 부분을 도시한다.
도 112 는 일 실시예의 양상에 따른 기판 프로세싱 시스템의 측면도를 도시한다.
도 113 은 도 109 의 실시예의 양상에 따른 예시적인 기판 프로세싱 시스템의 일부를 도시한다.
도 114 는 도 109 의 실시예의 양상에 따른 예시적인 기판 프로세싱 시스템의 일부를 도시한다.
도 1 은 다양한 제조 장치 유형들에 대한 장치 구성(100)을 도시한다. 제조 장치의 각각의 유형은 화학적 증기 증착 프로세스, 에칭 프로세스등과 같은 다양한 프로세스들 사이에서, 반도체 웨이퍼들과 같은 물품들을 취급한다. 반도체 제조 과정들은 입자 및 휘발성 유기 화합물과 같은 오염물에 통상적으로 극히 민감하므로, 프로세스들은 통상적으로 특정의 프로세스들에 전용된 하나 또는 그 이상의 프로세스 모듈(processes modules)들 안에 있는 진공 환경 안에서 발생된다. 반도체 웨이퍼들은 칩과 같은 최종 제품을 제조하도록 다양한 프로세스들중에서 핸들링 시스템에 의해 움직인다. 핸들링 시스템에 대한 다양한 구성(1000)이 존재한다. 보편적인 시스템은 클러스터 툴(cluster tool, 1002)이며, 여기에서 프로세스 모듈들은 로봇 아암(robotic arm)과 같은 중앙의 핸들링 시스템 둘레에 반경 방향으로 위치된다. 다른 실시예들에서, 취급 시스템은 실시예(1004)에서와 같이 물품(item)을 수평으로 회전시킬 수 있다. 각각의 유형의 툴(too)의 중요한 양상은 "영향 범위(footprint)"이거나 또는 반도체 제조 기능에서 장치가 차지하는 영역이다. 영향 범위가 클수록, 제조 기능에서 다수의 기계들을 수용하도록 더 많은 공간이 요구된다. 또한, 더 큰 영향 범위는 통상적으로 더 큰 진공 시스템에 대한 필요성과 관련되는데, 이는 크기를 증가시키므로 비용을 크게 증가시킨다. 구조(1004)는 물품을 "회전판(lazy susan)" 기능으로 회전시킨다. 구조(1006)는 물품을 프로세스 모듈의 내부 및 외부로 움직이는데, 여기에서 프로세스 모듈들은 서로에 대하여 다음에 배치된다. 구조(1008)는 프로세스 모듈들을 구조(1002)와 유사한 클러스터로 위치시키는데, 중심의 로봇이 2 개의 웨이퍼들을 나란히 취급한다는 점이 다르다. 이들 시스템들 각각은 클러스터 툴의 많은 난제를 공유하는데, 이것은 하나의 웨이퍼가 주어진 프로세스 모듈로 들어가고 다른 하나는 그것의 밖으로 나오므로 교환 시간(swap time)이 현저하게 지체되고, 더 많은 웨이퍼들이 시스템을 통하여 움직이므로 주어진 프로세스 모듈의 진공 환경의 청결성을 유지하는데 있어 상당한 곤란해지는 것을 포함한다.
도 2 는 반도체 제조 프로세스에서 물품을 취급하기 위한 통상적인 클러스터 유형 구성(2000)을 도시한다. 로봇 아암(2004)은 로봇 아암(2004) 둘레의 클러스터에 위치된 다양한 프로세스 모듈(2002)들 사이에서 웨이퍼들과 같은 물품들을 움직인다. 일단 프로세싱이 완료되면, 대기(atmospheric)의 기판 취급 미니 환경 챔버(2008)는 장치에 의한 취급을 위하여 재료들을 수용하여 재료들을 유지한다. 추가의 프로세스 모듈(2002)들을 더하는 것이 얼마나 어려운 것인가를 주목하여야 한다. 모듈(2002)을 잠재적으로 하나 더 맞추겠지만, 실제의 구성은 5 개의 프로세스 모듈(2002)에 제한된다. 제 6 의 모듈은 장치의 유용 가능성(serviceability)에 현저한 충격을 가할 수 있으며, 특히 로봇 아암(2004)에 대하여 그러하다.
도 3a 및 도 3b 는 진공에 기초한 제조 프로세스를 위한 유연성 구조 시스템으로부터 툴 모듈, 대기의 미니 환경 취급 챔버, 진공 취급 챔버 및 다른 구성 요소(3000)들을 도시한다. 상이한 모듈들은 소망되는 프로세스 기술의 제조를 용이하게 하도록 함께 조립될 수 있다. 예를 들어, 주어진 칩은 다른 프로세스 모듈들에서의 에칭뿐만 아니라, 상이한 프로세스 모듈들에서 (예를 들어, 티타늄, 질소화물, 텅스텐등과 같은) 상이한 화학 성분의 화학적 증기 증착을 필요로 할 수 있다. 상이한 프로세스 모듈들에서의 프로세스들의 시퀀스는 특이한 최종 제품을 생산한다. 반도체 구성 요소들의 복잡성이 증가한다면, 제작업자들에게 더 많은 프로세스 모듈들을 추가할 수 있게 하는 유연성 구조를 가지는 것이 종종 소망스럽다. 그러나, 위에서 설명된 클러스터 툴은 공간 제한적이다; 따라서, 더 많은 프로세스 모듈들을 추가하는 것은 불가능할 수 있으며, 이는 더 복잡한 반도체 웨이퍼를 완성하기 위하여 제작을 제 2 클러스터 툴로 옮길 필요성이 있을 수 있음을 의미한다. 도 3a 및 도 3b 에 도시된 바와 같이, 클러스터 툴은 단계화된 진공 격리를 가진 2개의 프로세스 모듈(2002), 3 개의 프로세스 모듈(3004), 4 개의 프로세스 모듈(3006), 5 개의 프로세스 모듈(3008, 3010) 또는 6 개의 프로세스 모듈(3012)을 포함할 수 있다. 다른 구성 요소들이 장치와 연관되어 제공될 수 있다.
도 4 는 제조 프로세스에서 물품을 취급하기 위한 선형의 프로세싱 구조(4000)의 높은 레벨의 구성 요소들을 도시한다. 구조는 선형 방식으로 배치된 2 개 이상의 정지 상태 로봇(4002)들을 이용한다. 로봇(4002)들은 시스템의 저부에 장착될 수 있거나, 또는 챔버 뚜껑으로부터 아래로 매달릴 수 있거나, 또는 동시에 양쪽이 이루어질 수 있다. 선형의 시스템은 로봇 둘레에 진공 챔버(4012)를 이용한다. 시스템은 다수의 연결된 진공 챔버(4012)들을 포함할 수 있으며, 그 각각은 선형 방식으로 배치된 자체 로봇을 포함하는 진공 챔버(4012)이다. 실시예들에서, 구조의 하나 이상의 섹션들을 취급하도록 단일의 콘트롤러가 설정될 수 있다. 실시예들에서 진공 챔버(4012)들의 섹션들은 확장 가능하다; 즉, 제조업자는 용이하게 추가적인 섹션/챔버(4012)를 추가할 수 있으며, 따라서 클러스터 조직을 가지는 것보다 훨씬 더 용이하게 프로세스 용량을 추가할 수 있다. 각각의 섹션은 독립적인 로봇 구동부(4004) 및 아암(4002)들을 이용하기 때문에, 추가적인 섹션 및 따라서 로봇들이 추가될 때 산출량은 높게 유지될 수 있다. 대조적으로, 클러스터 툴에서, 제조업자가 프로세스 챔버(2002)들을 더할 때, 시스템은 단일 로봇의 부하를 증가시키며, 비록 그 로봇이 2 중 아암을 구비할지라도, 결국에는 로봇의 속도가 제한 인자가 될 수 있다. 실시예들에서, 시스템들은 추가적인 로봇 아암(4002)들을 단일 구동부에 더함으로써 이러한 문제를 해결한다. 다른 제조업자들은 2 개의 완전 독립 아암들을 가진 4 축 로봇을 이용하였는데, 이것은 2 중 스카라(SCARA) 또는 2 중 프로그-레그(Frog-leg) 로봇과 같은 것이다. 여기에 개시된 선형 시스템은 로봇 용량에 의해 제한되지 않을 수 있는데, 왜냐하면 각각의 섹션(4012)은 로봇을 포함하고, 따라서 각각의 섹션(4012)은 클러스터 툴보다 훨씬 큰 체적의 재료를 이송할 수 있기 때문이다.
실시예들에서 시스템의 구성 요소들은 소프트웨어 콘트롤러에 의해 제어될 수 있으며, 이것은 실시예들에서 구성 요소들 각각을 제어하는 중심 콘트롤러일 수 있다. 실시예들에서 구성 요소들은 소프트웨어의 제어하에 연계 가능 취급 시스템(linkable handling system)을 형성하는데, 여기에서 소프트웨어는 각각의 로봇을 제어하여 재료를 다른 로봇과 주고 받거나 또는 다음 로봇이 집어올리도록 버퍼로 전달하게 한다. 실시예들에서 소프트웨어 제어 시스템은 프로세스 모듈 또는 로봇과 같은 새로운 구성 요소가 시스템에 플러그 결합될 때 새로운 구성 요소의 추가를 인식할 수 있는데, USB, 이더넷(Ethernet), 파이어와이어(firewire), 블루투스, 802.11a, 802.11b, 802.11g 또는 다른 네트워크와 같은 네트워크를 통하여 구성 요소를 인식하는 것과 같다. 그러한 실시예들에서, 다음의 로봇, 프로세스 모듈 또는 다른 구성 요소가 플러그 결합되자마자, 웨이퍼와 같이 취급되어야만 하는 재료의 흐름을 위한 소프트웨어 스케쥴러(software scheduler)는 자동적으로 재구성됨으로써 재료들이 시스템에 있는 새로운 링크(link)로 경로를 정할 수 있다. 실시예들에서 소프트웨어 스케쥴러는 신경망(neural net)에 기초하거나, 또는 그것이 규정에 기초한(rule-based) 스케쥴러일 수 있다. 실시예들에서 프로세스 모듈들은 그러한 네트워크에 걸쳐 자신들을 알릴 수 있어서, 소프트웨어 콘트롤러는 어떤 새로운 프로세스 모듈, 로봇 또는 다른 구성 요소들이 연결되었는지를 인식한다. 새로운 프로세스 모듈이 비어있는 면(facet)에 플러그 결합될 때, 시스템은 그것을 인식할 수 있고 그것이 재료 취급의 흐름으로 스케쥴을 맞출 수 있게 한다.
실시예들에서 소프트웨어 시스템은 사용자가 시스템의 시뮬레이션을 가동할 수 있게 하는 인터페이스를 구비할 수 있다. 인터페이스는 사용자가 다양한 링크, 로봇 아암 및 다른 구성 요소들의 구성 및 링크 연결 및 구성을 관찰할 수 있게 하여, (다양한 구성 요소들을 통한 재료들의 흐름을 움직이거나, 프로세스 모듈들을 움직이거나, 로봇들을 움직이거나, 또는 그와 유사한 것을 움직이는 것과 같은 것으로써) 구성을 최적화시키고, 공급자로부터 어떤 구성을 구매해야 하는지 판단할 수 있게 한다.
여기에 개시된 방법들 및 시스템은 로봇 구동부들 사이의 선택적인 버퍼 스테이션(4010)들을 이용할 수 있다. 로봇들은 서로 직접적으로 주고 받을 수 있었지만, 그것은 기술적으로 최적화하기 곤란하며, 2 개의 로봇들을 점유하게 되는데, 왜냐하면 이들은 모두 주고 받음(handoff)을 수행하도록 동시에 이용 가능하여야 하기 때문이며, 이는 만약 이들 로봇들이 그들 사이에 있는 더미 위치(dummy location, 4010)에 내려놓아서 그곳에서 준비되었을 때 다른 로봇이 집어올릴 수 있는 것보다 더 제한적이다. 버퍼(4010)는 또한 더 높은 산출량을 허용하는데, 왜냐하면 시스템은 양쪽 로봇들이 이용 가능하게 되는 것을 기다리지 않아도 되기 때문이다. 더욱이, 버퍼(4010)들은 가열, 냉각, 정렬, 검사, 계측(metrology), 시험 또는 세정과 같은 웨이퍼상의 일부 작은 프로세싱 단계들을 수행할 수 있는 좋은 기회를 부여할 수도 있다.
실시예들에서, 여기에 개시된 방법들 및 시스템들은 로봇 영역/세그먼트(robot area/segment, 4012)들 사이에 선택적인 진공 격리 밸브(4006)들을 이용한다. 각각의 세그먼트(4012)는 다른 그 어떤 세그먼트(4012)로부터 완전하게 격리될 수 있다. 만약 로봇이 그것의 세그먼트(4012)에서 극단적으로 청결하고 민감한 재료(예를 들어, 웨이퍼)들을 취급한다면, 그 세그먼트(4012)를 시스템의 나머지로부터 격리시키는 것은 불결한 세그먼트(4012)로부터 청결한 세그먼트(4012)로의 교차 오염을 방지할 수 있다. 또한 제조업자는 이제 세그먼트(4012)들을 상이한 압력들에서 작동시킬 수 있다. 제조업자는 단계화된 진공 레벨들을 가질 수 있으며, 여기에서 진공은 기계 안으로 갈수록 더 좋아진다. 세그먼트(4012)들 사이에서 진공 격리 밸브(4006)들을 이용하는 큰 장점은, (세정 단계들 이후에 만들어지고 환경으로부터의 오염 없이 프로세스 모듈들 사이에서 이송될 필요가 있는) 극단으로 청결한 웨이퍼들의 취급이 격리 챔버 세그먼트(4012)로 진입하는 시스템의 다른 부분들에서 재료 또는 웨이퍼들로부터의 개스 방출(out-gassing) 없이 이루어질 수 있다는 점이다.
실시예들에서, 버퍼 모듈(4010), 미니 프로세스 모듈 또는 검사 모듈(4010)과 같은 것을 이용하여 로봇들 사이의 재료 버퍼링(material buffering)이 이루어지는 것과 같이, 로봇들 사이의 진공 격리가 가능하다.
도 5 는 도 4 에 도시된 바와 유사한 선형 구조를 가지는, 선형 프로세싱 시스템(4000)의 평면도를 도시한다.
도 4 및 도 5 와 관련하여 개시된 것과 같은 클러스터 툴이든 또는 선형 프로세싱 기계이든, 로봇들의 상이한 형태들은 반도체 제조 장치에서 이용될 수 있으다.
도 6a 및 도 6b 는 3-링크 SCARA 아암(6002) 및 4 링크 SCARA 아암(6004)을 도시한다. 3 링크 또는 4 링크 SCARA 아암(6002,6004)들은 로봇 구동부에 의해 구동된다. 3 링크 아암(6002)은 산업용으로 공통적으로 이용된다. 2 링크 SCARA 아암(6002)이 이용될 때, 도달-대(對)-포괄(reach-to-containment) 비율이 매우 좋지 않다는 점에서 시스템은 최적화되지 않는다. 따라서, 진공 챔버들은 더 클 필요가 있으며, 진공 챔버의 크기와 함께 비용이 극적으로 상승하기 때문에 3 링크 SCARA 아암(6002)을 가지는 것은 시스템의 비용을 증가시킬 수 있다. 또한 시스템의 전체적인 영향 범위는 3 링크 SCARA 아암(6002)으로써 더 커질 것이다. 더욱이, 3 링크 SCARA 아암(6002)의 범위(reach)는 4 링크 아암(6004)의 범위보다 작다. 일부 경우에, 제조업자는 프로세스 모듈 안으로의 넓고 깊은 주고 받음(handoff)을 달성하기를 희망할 수 있으며, 4 링크 아암(6004)은 그것의 포괄 비율(containment ratio)을 넘어서 훨씬 멀리 도달한다. 이것은 일부 SEMI-표준 프로세스 모듈들이 아닌 경우에 장점을 가진다. 이것은 또한 제조업자가 세그먼트들 사이의 먼 거리를 망라(cover)하기를 원할 때 장점을 가진다.
4 링크 아암(6004)은 3 링크 SCARA 아암(6002) 보다 훨씬 작은 포괄 비율로 접혀진다는 점에서 유리하지만, 그것은 동일한 포괄 직경에 대하여 통상적인 3 링크 SCARA 아암(6002) 보다 더 멀리 도달한다. 시스템의 상부에 제 2 의 4 링크 아암(6004) 및 제 2 구동부를 장착되게 하는 성능과 조합되어, 그것은 프로세스 모듈에서 신속한 재료의 교환을 허용할 수 있다. 4 링크 SCARA 아암(6004)은 예를 들어 도시된 바와 같은 정지 상태 구동부의 상부에 장착될 수 있거나, 또는 이동 카트(moving cart)의 상부에 장착될 수 있으며, 이동 카트는 회전 운동의 전동을 제공하여 아암 및 벨트를 작동시킨다. 어느 경우에나, 선택적으로는 제 2 의 4 링크 아암(6004)과 함께, 4 링크 아암(6004)은 콤팩트하고 긴 거리에 도달하는 아암을 제공할 수 있으며, 이것은 개구의 가장자리에 충돌하지 않으면서 작은 개구를 통과할 수 있다.
도 7 은 4 링크 SCARA 아암(7004)의 도달 및 포괄 특성을 도시한다. 실시예에서, 4 링크 SCARA 아암(7004) 링크 길이는 일부 다른 시스템들에서와 같이 도달-대-포괄 비율의 최적화에 의하여 강제되지 않는다. 도달-대-포괄 비율의 최적화는 제 1 아암 부재가 너무 길어지게 될 수 있다. 아암이 최소 포괄 직경에 대하여 실제적일 정도로 가깝게 배치된 슬롯 밸브를 통하여 도달할 때, 제 2 아암 부재는 슬롯 밸브의 내측 가장자리와 충돌할 수 있다. 따라서 제 2 링크(그리고 제 3 링크)들은 아암이 도달하도록 설계된 슬롯 밸브와의 충돌 회피에 기초하여 치수가 정해질 수 있다. 이것은 L1, L2 및 L3 사이에서 매우 상이한 비율들을 초래한다. L2 의 길이는 L3 의 길이를 제한할 수 있다. 최적 아암 길이에 대한 방정식은 반복적 해법(iterative solution)들에 순응하는 4 승 방정식일 수 있다.
도 8 은 로봇 시스템(8002)들에 대한 높은 레벨의 구성 요소들을 도시하며, 이것은 콘트롤러(8004), 구동부/모터(8008), 아암(8010), 단부 작동체(8012) 및 취급되어야 하는 재료(8014)를 포함한다.
도 9 는 취급 시스템에서 이용되는 로봇 아암 시스템의 2 중 아암(9002) 조직의 구성 요소들을 도시한다. 하나의 아암은 저부(9004)로부터 장착되고 다른 아암은 상부(9008)로부터 장착된다. 실시예에서 양쪽 아암은 4 링크 SCARA 아암들이다. 상부에 제 2 아암을 장착하는 것이 유리하다. 일부 다른 시스템들에서 아암들은 챔버의 상부를 통해 장착된 구동부에 연결되었지만, 하부 및 상부 구동부들이 통상적으로 기계적으로 결합된다. 실시예들에서, 도 4 및 도 5 와 관련하여 개시된 선형 시스템에 있는 2 개 구동부들 사이의 기계적인 연결이 없다; 대신에, (충돌을 피하는) 2 개 아암들의 조화(coordiantion)는 소프트웨어 시스템 또는 콘트롤러에서 이루어질 수 있다. 제 2 (상부) 아암(9008)이 선택적으로는 산출량의 이유 때문에 필요할 경우에만 선택적으로 포함될 수 있다.
다른 특징은, 통상적인 SCARA 아암과 같이, 오직 2 개의 모터들만이 4 링크 아암을 구동하는데 필요할 수 있다는 것이다. 아암에 있는 벨트들은 평행성(parallelism)을 유지할 수 있다. 평행성 또는 다른 조화된 움직임은 예를 들어 벨트 대신에 평행한 바아(bar)들을 이용하여 달성될 수도 있다. 일반적으로, 오직 2 개의 모터들만을 이용하는 것은 실질적인 비용의 장점을 제공할 수 있다. 동시에, 3 개의 모터들은 마지막(L4) 링크가 독립적으로 조향될 수 있다는 점에서 기능적인 장점을 제공할 수 있지만, 추가적인 벨트, 베어링, 연결부, 샤프트 및 모터가 시스템을 훨씬 더 비싸게 할 수 있다. 더욱이, 가외의 벨트들은 현저한 두께를 아암 메카니즘에 추가할 수 있어서, 아암이 (SEMI 표준의) 슬롯 밸브를 통과하는 것을 어렵게 한다. 또한, 더 적은 모터들의 이용은 일반적으로 관련된 제어 소프트웨어를 단순화시킨다.
여기에 개시된 4 링크 SCARA 아암의 다른 특징은 손목부(wrist)가 중심선으로부터 오프셋(offset)될 수 있다는 점이다. 이상적인 시스템은 저부(9004) 장착 4 링크 아암 뿐만 아니라 상부 장착부(9008)를 가지기 때문에, 아암 부재들의 수직 배치는 만약 제조업자가 SEMI 표준에 순응해야만 한다면 부착하기가 곤란할 수 있다. 요약하면, 상기 표준들은 슬롯 밸브(4006)를 통하여 프로세스 모듈로 크기 및 도달 요건들을 지정한다. 이들은 또한 웨이퍼가 운반되어야만 하는 중심선 위의 레벨을 지정한다. 많은 현존의 프로세스 모듈들은 이들 표준에 순응한다. 순응하지 않는 시스템들에서, 비록 전달 평면(transfer plane)의 한정(definition)뿐만 아니라 개구의 크기가 약간 상이할 수 있을지라도, 슬롯 밸브(4006)들은 매우 유사한 형상이다. SEMI 표준의 치수 제한은 아암들의 매우 콤팩트한 팩키지(packaging)를 필요로 한다. 오프셋 손목부(offset wrist)를 이용하는 것은 상부 아암(9008) 및 저부 아암(9004)이 서로 근접하는 것을 허용하여, 그들이 슬롯 밸브(4006)를 용이하게 통과하게 한다. 손목부가 오프셋되지 않으면, 아암들은 수직으로 더 멀리 떨어져서 머무를 필요가 있고 웨이퍼 교환기들은 시간이 더 소요될 수 있는데, 왜냐하면 구동부들이 수직 방향에서 더 움직일 필요가 있기 때문이다. 상부 아암의 제안된 설계는 손목부 오프셋이 있어야 하는 것을 필요로 하지 않지만, 손목부 오프셋이 유리하게는 시스템들의 회전 반경을 감소시킬 수 있으며, 더 낳은 기계적 아암의 배치(layout)을 허용하여, 간섭이 발생되지 않는다.
도 10 은 4 링크 SCARA 아암(6004)의 도달 및 포괄 성능을 도시한다.
도 11 은 4 링크 SCARA 아암(6004)의 간섭 특성(1102)을 도시한다. 손목부 오프셋은 다르게 가능한 것보다 더 작은 공간에서 아암을 접는 것을 도울 수 있다.
도 12 는 4 링크 SCARA 아암(6004)의 2 중 아암 세트의 측면도를 도시한다. 특히 상부 아암의 팩키지 제한(packaging restriction) 때문에, 일부 독특한 특징들을 가진 아암을 구성할 필요성이 있을 수 있다. 실시예들에서, 하나의 링크는 수축시에 부분적으로 다른 아암 링크에 있는 절제부에 진입한다. 벨트들은 단일 벨트보다는 중복되게 설정될 수 있어서, 하나의 벨트는 절제부 위의 벨트(12004)이고 다른 하나는 절제부 아래의 벨트(12008)이다. 그것이 4 링크 아암이라는 사실과 독립적인 하나의 해법은 L2 를 12002 에서 현저하게 낮게 하고 L1 에 대하여 수직의 간극을 가짐으로써, L3 및 L4 가 내부에서 접힐 수 있게 하는 것이다. 12002 에서 L2 를 낮추는 것은 L3 및 L4 가 전달 평면에 도달하는 것을 허용하고 더 우수한 포괄 비율을 허용할 수 있다. 전달 평면의 한정 때문에, 12002 에서 L2 를 낮추는 것이 필요할 수 있다.
도 13 은 벨트 및 링크들의 조합이 이용되는 실시예를 도시한다. 13002 에서의 L1 및 13006 에서의 L3 를 통한 움직임의 전동(transmisssion)은 단일 벨트 또는 2 중 벨트 구성에 의해서 달성될 수 있다. 대조적으로, 13004 의 L2 에서의 움직임 전동은 기계적인 연결부(스플라인)(13010)에 의해 달성될 수 있다. 그러한 구성의 장점은 감싸인 조인트(enclosed joint)들이 이용될 수 있다는 점이며, 이것은 아암 조립체의 수직 치수를 감소시켜서 아암이 SEMI 표준 슬롯 밸브를 보다 용이하게 통과하는 것을 허용할 수 있다.
도 14 는 선형 구조(14000)를 가지는 취급 시스템에 대한 외부 귀환 시스템을 도시한다. 귀환 메카니즘(return mechanism)이 선택적으로는 선형 진공 챔버의 상부에 있다. 통상적인 진공 취급 시스템들에서, 귀환 경로는 종종 입구 경로과 같은 영역을 통한다. 이것은 교차 오염의 가능성을 가지고 있는데, 이는 프로세스 단계들 사이에서 움직이고 있는 청결한 웨이퍼들이 아직 세정되지 않은 불결한 웨이퍼들로부터 시스템에 들어가는 잔류물에 의해서 오염될 때 발생된다. 이것은 또한 로봇(4002)이 밖으로 나올 뿐만 아니라 안으로 들어가는 재료들을 취급할 필요가 있게 하며, 진공 환경을 제어하기 어렵게 한다. 상부에 있는 웨이퍼들을 공기 터널(14012) 안에서 전방으로 움직이고 후방에서 진공 시스템을 빠져나감으로써, 일부 현저한 장점들이 있다: 공기의 귀환(air return)이 상대적으로 저렴하다; 진공 로봇들이 밖으로 나가는 재료들을 취급할 필요가 없기 때문에 공기의 귀환은 진공 로봇(4002)들을 자유롭게 한다; 공기의 귀환은 청결하고 마무리된 재료를 유입 영역에서 벗어나게 유지할 수 있어서, 교차 오염의 위험성을 낮춘다. 후방에서 작은 로드 락(load lock, 14010)을 채용하는 것은 일부 비용을 추가할 수 있으며, 공기 터널(14012)도 그럴 수 있으며, 따라서 짧고(short) 진공 레벨 및 교차 오염이 그렇게 중요하지 않은 시스템에서 공기의 귀환은 가치를 덜 가질 수 있지만, 많은 통합된 프로세스 단계들을 가지는 긴 시스템(long system)에서 상기 시스템의 공기의 귀환은 현저한 장점을 가질 수 있다. 귀환 시스템은 진공 귀환(vacuum return)일 수도 있지만, 이것은 구현하는 것이 더 비싸고 더 복잡하다. 일부 실시예들에서 로드 락(14010)은 도 14 에 도시된 바와 같이 선형 시스템의 단부에 위치될 수 있는 반면에, 로드 락(14010)은 다른 곳에 위치될 수 있으며, 예를 들어 시스템의 중간에 위치될 수 있다는 점이 이해되어야 한다. 그러한 실시예에서, 제조되는 물품은 공기의 귀한으로 시스템을 빠져나가는 것과 같이, 시스템에 있는 다른 지점에서 시스템에 진입하거나 시스템을 빠져나갈 수 있다. 시스템 중간 출구 지점의 장점은 부분적인 시스템의 고장의 경우에, 재료들 및 웨이퍼들이 복구될 수 있다는 것이다. 시스템 중간 입구 지점의 장점은 웨이퍼들이 시스템의 다수의 장소들에서 삽입될 수 있어서, 현저하게 더 융통성 있는 프로세스 흐름을 허용한다는 것이다. 실제로, 실제로, 시스템 중간 입구 및 출구 위치는 시스템 중간에 의해 함께 연결된 2 개의 기계들과 같이 거동함으로써, EFFM 위치를 효과적으로 제거한다. 또한 이해되어야 하는 바로서, 도 14 및 후속의 도면들에 있는 실시예가 직선이 시스템이지만, 선형 시스템이 곡선형일 수 있다; 즉, 제조 설비의 형상에 맞도록, 제조업자가 어떠한 포맷(format)을 소망하든, 시스템은 곡선, U-형상, V-형상, S-형상 또는 이러한 곡선 또는 그 어떤 다른 곡선의 경로의 조합을 가질 수 있다. 각각의 경우에 시스템은 선택적으로 입구 지점 및, (비록 선택적으로는 직선이 아닐지라도) 라인(line)에서 상기 입구 지점으로부터 아래에 있는 출구 지점을 구비한다. 선택적으로는 공기의 귀환이 물품을 출구 지점으로부터 입구 지점으로 귀환시킨다. 선택적으로는 시스템이 하나 이상의 출구 지점을 포함할 수 있다. 각각의 경우에 여기에 설명된 로봇 아암은 다른 선형 시스템들의 문제 없이 물품들을 라인으로 효율적으로 움직이는 것을 도울 수 있다. 도 14a 는 U 형상 선형 시스템의 예를 도시한다.
도 14 를 참조하면, 시스템의 실시예는 2 중 운반 메카니즘(14008)을 이용함으로써, 마무리된 웨이퍼들이 시스템의 전방으로 신속하게 귀환될 수 있지만, 채워진 운반부가 방금 제거되었던 곳에 비어 있는 운반부(14008)가 배치될 수도 있다. 실시예에서 공기의 귀환은 N 개의 웨이퍼들을 포함하는 운반부(14008)를 특징으로 할 것이다. N 은 산출량 및 비용 요건들에 따라서 최적화될 수 있다. 실시예들에서 공기의 귀환 메카니즘은 비어 있는 운반부(14008)들을 포함할 수 있어서, 채워진 운반부(14018)가 진공 로드 락(14010)으로부터 제거될 때, 새로운 비워진 운반부(14008)가 즉시 배치될 수 있고 로드 락(14010)은 더 많은 재료들을 수용하도록 비워질 수 있다. 실시예들에서 공기 귀환 메카니즘은 웨이퍼들을 시스템의 전방으로 움직일 수 있다. 내려놓는 지점(drop-off point)에서 수직 리프트(14004)가 채용되어 EFEM(장치 전방 단부 모듈(equipment front end module)) 로봇이 도달할 수 있는 레벨로 운반부를 내린다. 로드 락 지점(들)에서 수직 리프트(14004)가 하강하여 로드 락으로부터 운반부(14008)를 집어올릴 수 있다.
실시예들에서 공기 귀환 메카니즘은 비워진 운반부(14008)들을 위한 저장 영역(14014)들을 특징으로 할 수 있으며, 이것은 아마도 로드 락(14010)의 위치 뒤에서 가장 끝에 위ㅊ된다. 이는 로드 락(14010)이 운반부(14018)을 해제시킬 때, 파지부(14004)가 운반부(14018)를 파지할 수 있고 그것을 전방으로 약간 움직일 수 있기 때문이다. 파지부(14004)는 다음에 채워진 운반부(14018)를 해제시킬 수 있고, 뒤로 멀리 움직여서 비워진 운반부(14008)를 회수하며, 그것을 로드 락(14010)에 배치한다. 이러한 지점에서 로드 락(14010)은 비워질 수 있다. 파지부(14004)는 이제 다시 채워진 운반부(14018)로 되돌아갈 수 있고 그것을 시스템의 전방으로 멀리 움직일 수 있다. 알단 운반부(14018)가 EFEM 에 의해서 비워졌다면, 그것은 다음 주기(cycle)를 대기하는 곳인 뒤로 귀환될 수 있다.
파지부에서의 수직 움직임을 이용하기보다는 로드 락에 리프트(lift)를 둘 수도 있지만, 그것은 더 값이 비싸다. 이것은 또한 덜 융통성을 가질 것이다. 제조 업자는 몇군데의 장소에서 운반부(14018)의 수직 움직임을 원할 수 있으며, 그것을 파지부(14004) 안에 두는 것이 더욱 경제적일 것이며, 왜냐하면 제조업자는 다만 하나의 수직 메카니즘을 필요로 하기 때문이다.
도 15 는 도 14 의 취급 시스템을 위한 외부 귀환 시스템의 특정의 추가적인 상세를 도시한다.
도 16 은 도 14 의 취급 시스템을 위한 외부 귀환 시스템의 추가적인 상세를 도시한다.
도 17 은 도 14 의 귀환 터널에서 출력 운반부(14018)의 움직임을 도시한다.
도 18 은 도 14 의 귀환 시스템에서 비워진 운반부(14008)의 취급을 도시한다.
도 19 는 도 14 의 귀환 터널(14012)에서 비워진 운반부(14008)가 로드 락(14010)의 위치로 움직이는 것을 도시한다.
도 20 은 도 14 의 귀환 시스템에서 파지부(14004)의 움직임 및 내려지고 비워진 운반부(14008)를 도시한다.
도 21 은 도 14 에서 채워진 운반부(14018)가 귀환 터널(14012) 안에서 비워지고 있을 때, 비워진 운반부(14008)가 재료를 수용하는 것을 도시한다.
도 22 는 유지 위치(holding position)로 가져간 비워진 운반부(14008)를 도시하며, 이것을 도 14 의 귀환 터널(14012)에서 새로운 귀환 사이클을 시작한다.
도 23 은 제조 프로세스를 위한 취급 설비에 대한 구성을 도시하며, 이것은 선형의 구조로서 귀환 시스템 및 2 중 아암의 로봇 아암 시스템(23002)을 가진다.
도 24 는 본 발명의 취급 방법 및 시스템의 전체적인 시스템 구조의 대안의 실시예를 도시한다.
도 25 는 통상적인 클러스터 시스템(25004)과 비교된 선형 시스템(25002)의 영향 범위에 대한 비교를 도시한다. 선형 시스템(25002)으로써, 제조업자는 시스템 산출량에 영향을 미치지 않으면서 추가적인 모듈들을 가지고 기계를 용이하게 확장시킬 수 있다는 점이 주목되어야 한다. 예를 들어, 도 25a 에 도시된 바와 같이, 오직 진공 섹션에 대해서만 W=2*750+2*60+440=2060 이다. 마찬가지로, D=350*2 +440*1.5+3*60+745/2=1913 및 A=3.94m2 이다. 도 25b 와 관련하여, 진공 섹션에 대해서만, W=2*750+2*60+1000=2620 이다. 마찬가지로, D=920+cos(30)*(500+60+750)+sin(30)*745/2=2174 이다; 따라서 A=6.9m 이고, 이것은 45 % 더 크다.
도 26 은 본 발명의 실시예들에 따른 취급 시스템에서 과다 크기의 프로세스 모듈(26002)들로써 전개된 선형 구조를 도시한다.
도 27 은 본 발명의 실시예들에 따른 취급 시스템을 위한 후방 출구 조직을 도시한다.
도 28 은 본 발명의 다양한 실시예들에 따른 선형 취급 시스템을 치용한 제조 설비의 다양한 배치 가능성들을 도시한다.
도 29 는 로봇(29002)이 다수의 구동부(29004)들 및/또는 다수의 콘트롤러(29008)들을 구비할 수 있는 본 발명의 실시예를 도시한다. 실시예에서 콘트롤러(29008)는 슬롯 밸브, 진공 게이지와 같은 다른 주위 장치들 뿐만 아니라 다수의 구동부(29004)들을 제어할 수 있으며, 따라서 로봇(29002)은 다수의 구동부(29004)들을 가진 하나의 콘트롤러(29008)일 수 있거나 또는 다수의 구동부(29004)들을 가진 다수의 콘트롤러(29008)들일 수 있다.
도 30 은 본 발명의 실시예들과 관련된 전달 평면(30002) 및 슬롯 밸브(30004) 특성들을 도시한다.
도 31 은 웨이퍼들의 중심을 잡기 위한 텀블 파지부(tumble gripper,31002)를 도시한다. 도 32 의 수동적인 센터링 파지부(passive centering gripper, 32002)보다 낳은 텀블 파지부(31002)의 장점은 웨이퍼(31008)의 배면과 텀블러(31004) 사이에 상대적인 움직임이 덜 있다는 것이다. 텀블러((31004)들은 웨이퍼(31008)가 단부 작동체상에 중심을 맞추도록 부드럽게 밀 수 있어서, 그것이 아래로 움직일 때 양측면을 지지한다. 특정의 제조 프로세스들에서 진공 환경과 같은 것에 웨이퍼(31008)들의 중심을 맞추는 것이 소망스러울 수 있다. 텀블러 파지부(31004)는 로봇 아암의 단부에 단부 작동체를 채용할 때와 같이 매우 취약한 웨이퍼(31008)들의 취급을 허용할 수 있으며, 왜냐하면 그것이 취급중에 웨이퍼의 양쪽 단부들을 지지하기 때문이다.
도 32 는 웨이퍼(31008)들 유지하기 위한 단부 작동체(32002)를 수동적으로 중심 맞추는 것을 도시한다. 웨이퍼(31008)는 단부 작동체가 들리워져 있을 때 (또는 웨이퍼(31008)가 내려져 있을 때) 통상적으로 약간 중심 이탈(off-center)되어 있다. 이것은 웨이퍼(31008)가 경사면 아래로 미끄러져서 절제부(32004)로 떨어지는 결과를 가져온다. 이것은 웨이퍼(31008)가 갑작스럽게 떨어지거나 움직이는 결과를 가져올 수 있어서, 입자를 발생시킬 수 있다.
여기에 개시된 방법들 및 시스템들은 제조 프로세스 동안에 재료들 또는 물품들의 취급에 많은 장점들을 제공한다. 다른 것들중에서, 로봇들 사이의 재료의 버퍼링 작용 뿐만 아니라, 로봇들 사이의 진공 격리가 가능할 수 있다. 제조업자는 진공을 통하여 가지 않으면서 시스템의 상부에 걸쳐 마무리된 웨이퍼들을 귀환시킬 수 있으며, 이는 매우 실질적인 장점이 될 수 있는데, 이는 필수적인 취급 단계들을 오직 절반만 필요로 하고, 마무리된 재료와 마무리되지 않은 재료 사이의 교차 오염을 제거하고, 현존하는 클린 룸(clean room) 디자인과 양립되게 유지된다. 제조업자가 시스템으로 진입하는 상대적으로 불결한 웨이퍼들을 가질 때, 제조업자는 그것들이 세정되고 있는 동안 기계의 나머지로부터 그들을 격리시키기를 원할 수 있으며, 이는 통상적으로 프로세스의 첫번째 단계이다. 이것은 마무리되거나 또는 부분적으로 마무리된 재료들을 기계의 청결 부분으로부터 떨어져서 유지하는데 유리할 수 있다.
다른 장점들이 여기에 개시된 시스템들 및 방법들에 의해 제공될 수 있다. (상부 장착 및 하부 장착된) 2 중 아암들이 조화된 방식으로 작동할 수 있어서, 매우 신속한 재료 교환을 허용한다. 정확한 아암 설계(3-링크, 4 링크 또는 다른 링크)에 관계 없이, 저부에서 아암에 기계적으로 연결되지 않은 뚜껑에 아암을 장착하는 것이 유리할 수 있다. 여기에 제공된 4 링크 SCARA 아암의 링크 길이들이 상당히 유리할 수 있는데, 왜냐하면 통상적인 아암들과는 다르게 이들은 슬롯 밸브들 및 챔버 반경의 기계적인 제한에 의해 결정되기 때문이다. 여기에 개시된 4 링크 SCARA 아암들은, 3 개의 모터에 Z 모터를 더하기 보다는, 링크들을 위한 2 개의 모터들과 Z 모터를 함게 이용할 수 있다는 점에서 유리하다.
재료들이 후방에서 배출되는 선형 진공 시스템은 실질적인 장점을 부여할 수 있다. 입구 시스템 및 출구 시스템을 2 개의 대향하는 벽들을 통해 설치하는 다른 구현이 이루어질 수 있다.
여기에 개시된 4 링크 SCARA 아암은 링크(L3)가 상부 로봇 구동을 위한 링크(L2)로 그리고 그것을 넘어서 회전하는 것을 허용할 수 있다. 이것은 3 링크 SCARA 를 가지고도 또는 4 링크 SCARA 아암들의 현존하는 버젼(version)을 가지고도 용이하게 이루어질 수 없는데, 왜냐하면 이들이 잘못된 링크 길이들을 가지기 때문이다.
선형 시스템에 있는 다수의 운반부 위치들 및 운반부들을 위한 파지부는 선형 제조 구성에서의 재료 취급에 있어 실질적인 혜택을 부여할 수도 있다. 파지부 및/또는 후방 로드 락에 수직 움직임을 포함시키는 것이 혜택을 부여할 수 있다.
본 발명은 특정의 바람직한 실시예들과 관련하여 설명되었지만, 당업자는 여기에 내포된 다른 실시예들을 인식할 것이다.
도 33 은 중간 입구 지점(33022)을 포함하는 제조 설비를 도시한다. 실시예에서, 제조 설비는 웨이퍼(31008)들을 꺼낼 수 있거나 또는 진입하게 할 수 있는 로드 락(14010)의 중간 흐름(midstream, 33002)을 포함할 수 있다. 그러한 시스템에 대하여 현저한 장점들이 있을 수 있는데, 그것은 2 중 프로세싱 성능들을 제공하는 프로세싱 설비를 제공하는 것을 포함한다 (예를 들어, 2 개의 기계들을 서로 뒤에서 연결하지만, 하나의 EFEM 을 사용할 필요만 있다). 실시예에서, 공기 귀환 시스템(14012)은 새로운 웨이퍼(31008)들을 중간 지점(33022)으로 가져가서 그곳에서 웨이퍼(31008)들을 진입시킨다.
도 34 는 중간 진입 지점(33003)들을 가진 제조 설비의 평면도를 몇개 도시한다. 도면은 또한 중간 진입 지점의 조합이 어떻게 효율적으로 기능하여 EFEM(34002)들중 하나를 제거하는지를 도시한다.
도 35 는 일련의 센서(35002)들을 포함하는 제조 설비를 도시한다. 많은 제조 설비들에서 그러한 센서(35002)들은 재료(35014)가 여전히 로봇 아암(35018)에 여전히 존재하는지의 여부를 검출하도록 공통적으로 이용된다. 그러한 센서(35002)들은 각각의 진공 챔버(4012) 입구 및 출구 지점에 공통적으로 배치될 수 있다. 그러한 센서(35002)들은 수직의 광학적 비임으로 이루어질 수 있는데, 이것은 에미터(emitter) 및 검출기(detector)를 채용하거나, 또는 에미터/검출기 및 반사기(reflector)의 조합을 채용한다. 진공 취급 설비에서, 로봇 스테이션들의 훈련(training)은 로봇 아암 및 재료의 위치를 관찰하고 로봇 위치를 조절하는 숙련된 작업자에 의해 공통적으로 수행되어 재료(35014)가 정확한 위치에 놓이는 것을 보장한다. 그러나, 종종 이러한 위치들은 관찰이 매우 곤란하며, 시차(parallax) 및 다른 광학적 문제들은 로봇 시스템을 적절하게 훈련시키는데 현저한 장애를 나타낸다. 따라서 훈련 과정은 오랜 장비 비가동 시간이 걸릴 수 있다.
몇가지 자동화된 훈련 적용예가들이 개발되었지만, 이들은 벽 또는 가장자리와 같은 물리적인 장애물로 로봇 아암을 주행시키는 것을 포함할 수 있다. 이러한 접근 방식은 그에 대한 현저한 불리한 면이 있다: 로봇을 장애물에 물리적으로 닿게 하는 것은 로봇 또는 장애물에 손상을 일으킬 위험이 있으며, 예를 들어 많은 로봇 단부 작동체들은 부숴지기 집지만 매우 높은 웨이퍼 온도를 견딜 수 있는 세라믹 재료를 이용하여 구성된다. 마찬가지로, 많은 프로세스 모듈들 내부에는 취약하고 쉽게 손상되는 대상물들이 있다. 더욱이, 로봇 단부 작동체상에 존재하는 웨이퍼(31008)와 같은 특정의 재료들을 가지고 이들 자동 훈련 과정들을 채용할 수 없다. 더욱이, 수직 위치의 결정은 더욱 곤란한데, 왜냐하면 장애물로 주행함으로써 야기되는 상방향 또는 하방향의 힘은 검출하기가 훨씬 더욱 어렵기 때문이다.
여기에 설명된 시스템들에서, 일련의 센서(35002-35010)들은 수평 센서(35004-35010)들 및 수직 센서(35002)를 포함할 수 있다. 센서(35002-35010)들의 이러한 조합은 예를 들어 로봇 단부 작동체, 아암 또는 취급된 목적물의 광학적 비임 파괴(optical beam breaking)를 통하여 검출을 허용할 수 있다. 수직 센서(35002)는 로봇 아암(35018)이 수축 위치에 있을 때 웨이퍼(31008) 영역의 약간 외측에 배치될 수 있다. 수직 센서(35002)는 웨이퍼 안의 지점(35012)과 같은 위치에 배치될 수도 있거나 또는 대신 배치될 수 있는데, 상기 위치는 로봇이 완전하게 수축될 때 웨이퍼에 의해 덮혀지고 진입 개구의 앞에서 중심이 맞춰지는 곳이다. 이러한 지점에서 센서는 주위 모듈로부터 웨이퍼(31008)를 성공적으로 집어올렸음을 로봇 콘트롤러에 전달할 수 있다.
수평 센서(35004-35010)들도 유리하게 채용될 수 있다. 진공 클러스터 툴에서, 수평 센서(35004-35010)들은 때때로 진공 챔버의 큰 직경 때문에 실용적이지 않으며, 이는 수평 센서(35004-35010)들의 정렬을 더욱 복잡하게 만들 수 있다. 위에서 설명된 시스템들에서, 챔버 크기는 현저하게 감소될 수 있고, 따라서 하나 또는 그 이상의 수평 센서(35004-35010)들을 포함하는 것을 실용적이지 않게 한다. [00205] 도 36 은 수평 센서(35004-35010)들 및 수직 센서(35002)들의 다른 가능한 위치들을 도시하는데, 상기 위치는 예를 들어 챔버(36002 및 36008)를 가로지르고 그리고/또는 진공 시스템 내부에 배치된 미러(36006)들을 통한 직선과 같은 것이다.
도 37 은 로봇 아암이 완전히 수축되었을 때 웨이퍼(37001) 반경의 약간 외측에 센서(35002)를 배치하는 것의 가능한 장점을 도시한다. 수축 움직임 동안에, 센서(35002)는 지점 "a"(37002)에서 웨이퍼(37001)의 선단 가장자리를 검출하고, 지점 "b"(37004)에서 종단 가장자리를 검출한다. 이러한 결과들은 웨이퍼(37001)가 성공적으로 검색되었던 것을 나타낼 수 있지만, 센서(35002)가 로봇 구동부에 존재하는 엔코더, 리졸버(resolver) 또는 다른 위치 요소들로의 신호를 시도함으로써, 웨이퍼(37001)가 단부 작동체에 대하여 중심이 맞춰졌는지 여부를 계산할 수 있다. 웨이퍼(37001)의 원형인 기하 형상 때문에, 라인 세그먼트"a-b" (37002, 37004)의 중간 지점은 단부 작동체의 중심에 대응하여야 한다. 만약 웨이퍼(37001)가 단부 작동체상에서 미끄러진다면, 일관되지 않은 길이 측정치들이 미끄러짐(slippage)을 드러낼 수 있다.
추가적으로, 차후의 회전 및 움직임 동안에, 제 2 라인 세그먼트 "c-d" (37008, 37010)는 웨이퍼(37001) 가장자리들이 센서를 통과할 때 검출될 수 있다. 다시, "c" (37008)와 "d"(37010) 사이의 중간 지점은 단부 작동체의 중심과 일치되어야 하며, 웨이퍼 중심 잡기의 확인 또는 측정을 허용할 수 있다.
상기 방법은 로봇이 단부 작동체상의 예상 위치로부터 웨이퍼(37001)가 오프셋되었는지 여부를 판단할 뿐만 아니라 웨이퍼(37001)를 검출하는 것을 허용할 수 있다.
수평 및 수직 센서(35002-35010)들의 조합은 비접촉 방법을 이용하여 시스템이 매우 신속하게 배우는 것을 허용할 수 있다: 로봇 아암 및 단부 작동체들은 기계적 접촉에 대한 필요성 없이 광학적으로 검출될 수 있다. 더욱이, 매번의 웨이퍼(37001) 취급 움직임 동안에 웨이퍼(37001)들이 정확한 위치에 있는지를 검중하도록 광학 비임들이 실시간 웨이퍼(37001) 취급 동안에 이용될 수 있다.
도 38 은 통상적인 진공 구동부(38000)을 도시하며, 이것은 2 개의 회전 축(38020, 38018) 및 수직(Z) 축(38004)을 가진다. 벨로우즈(38016)는 수직의 Z 축(38002) 움직임을 허용할 수 있다. 벨로우즈(18016)의 저부에 고정된 얇은 금속 실린더(38024)는 모터(38010, 38014)의 고정자와 회전자 사이에 진공 격벽을 제공할 수 있다. 이러한 구성은 많은 구성 요소들, 즉, 전기 와이어 및 피드쓰루(feedthrough), 엔코더, 신호 LED 및 픽업(pick-up, 38008), 베어링(38012) 및 자석(38006)들의 진공 내부 배치를 필요로 할 수 있다. 자석(38006), 베어링(38012), 와이어 및 커넥터, 그리고 엔코더들은 진공 환경에 존재하는 잔류 프로세싱 개스에 민감할 수 있다. 더욱이, 실린더(38024)의 저부에 포착된 개스를 제거하는 것이 곤란할 수 있는데, 왜냐하면 개스는 배기될 때 회선 경로(convoluted path, 38022)를 따라야만 하기 때문이다.
도 39 는 여기에 설명된 시스템들과 이용될 수 있는 진공 로봇 구동부(39000)를 도시한다. 회전 구동력들은 2 개의 모터 카트리지(39004, 39006)에 의해 제공될 수 있다. 각각의 카트리지는 일체형의 엔코더(39008), 베어링(39018) 및 자석(39020)들을 가질 수 있다. 이들 구성 요소들의 일부 또는 전부는 진공 엔벨로프 외부에 위치될 수 있다. 동일 중심의 2 중 샤프트 로타리 밀봉 유닛(39016)은 예를 들어 립 시일(lip-seal) 또는 페로풀루이드 시일(ferrofluidic seal)을 이용하여 회전 움직임을 위한 진공 격리를 제공할 수 있다. 이러한 접근 방식은 진공 시스템 내부의 구성 요소들의 수를 감소시킬 수 있다. 이것은 또한 진공을 파괴하지 않으면서 모터(39004,39006)들 및 엔코더(39008)의 정비(servicing)를 허용할 수도 있으며, 그에 의해 구동 유닛의 정비 가능성을 증가시킨다.
도 40 은 재료들을 진공 환경으로 진입시키게 하는 적재된 진공 로드 락(4008, 40004)을 도시한다. 웨이퍼(31008)를 진공 시스템으로 가져갈 때의 한가지 제한 인자는 로드 락이 고진공으로 비워질 수 있는 속도이다. 만약 로드 락이 너무 빠르게 펌핑된다면, 로드 락 챔버 안의 공기에서 응축이 발생될 수 있으며, 이는 웨이퍼(31008) 표면상에 핵의 침전을 초래하여, 그것이 입자들을 초래할 수 있으며 결함 및 또는 불량한 소자 성능을 야기할 수 있다. 클러스터 툴은 2 개의 로드 락을 나란히 채용할 수 있으며, 그 각각은 번갈아서 비워진다. 따라서 각각의 로드 락의 펌프 속도는 느릴 수 있어서, 시스템의 향상된 성능을 초래한다. 수직으로 적재된 2 개의 로드 락(4008,40004)을 가지고, 장치의 영향 범위는 매우 작게 머물지만, 느린 펌프 속도의 혜택을 보유한다. 실시예들에서, 로드 락(40004)은 선택으로서 추가될 수 있다. 실시예들에서 로봇 아암(4004, 40006)은 2 개의 로드 락(4008, 40004)들중 하나에 각각 접근할 수 있다. 실시예들에서 나머지의 주고 받는 모듈(handoff module, 7008)은 단일 레벨의 주고 받는 모듈일 수 있다.
도 40b 는 다른 로드 락의 배치를 도시한다. 상기 도면에서 웨이퍼(31008)는 시스템의 양측에서 2 개의 레벨로 진입할 수 있고 빠져나올 수 있지만, 시스템의 나머지에서는 공유된 레벨을 따른다.
도 41 은 적재된 로드 락(4008, 40004)들의 이전 개념(concept)이 2 개의 프로세스 모듈(41006,41008)들을 적재함으로써 프로세스를 통해 어떻게 구현될 수 있는지를 나타낸다. 비록 그러한 모듈들이 SEMI 표준과 순응하지 않을지라도, 그러한 구조는 장치의 영향 범위 및 산출량에서 현저한 혜택을 제공할 수 있다.
도 42 는 2 개의 취급 레벨(4008, 40004, 4010, 42004)들을 가진 시스템을 도시한다: 웨이퍼들은 상부 링크(40006) 또는 저부 링크(4004)를 이용하여 모듈들 사이에서 독립적으로 전달될 수 있다. 선택적으로, 각각의 취급 레벨은 위에서 주목된 감소된 배기 속도의 장점을 제공하도록 2 개의 로드 락들을 가질 수 있다. 따라서, 추가적인 로드 락 및 취급 레벨들을 가진 시스템들과 같이, 4 개의 입력 로드 락, 2 개의 취급 레벨 및, 선택적으로 4 개의 출력 로드 락들을 가진 시스템은 여기에 제공된 설명에 의해 고려된다.
도 43 은 도 42 의 시스템의 평면도를 도시한다.
도 44 는 웨이퍼와 같은 특별히 도구화된 대상물(44014)을 도시한다. 하나 또는 그 이상의 센서(44010)들이 대상물(44014)로 통합될 수 있으며, 대상물(44014) 둘레의 환경 인자들을 검출할 수 있다. 센서(44010)들은 용량 센서, 광학 센서 또는 자기 근접 센서들과 같은 근접 센서들을 구비할 수 있다. 센서(44010)들은 증폭기/송신기(44012)에 연결될 수 있으며, 이것은 배터리 전력을 이용하여 라디오 주파수 또는 802.11b 표준에 따르는 신호들과 같은 다른 센서 신호들을 수신기(44004)로 송신할 수 있다.
많은 예에서 로봇을 훈련시키도록 이용된 대상물(44014)상에 도구화(instrumentation)를 하는 것은 곤란하거나 어려울 수 있는데, 왜냐하면 도구 및 센서들에 전력을 공급하고 통신을 하는데 필요한 와이어들이 적절한 로봇 움직임 또는 로봇이 움직이는 환경과 간섭되기 때문이다. 대상물에 대한 무선 연결을 채용함으로써, 대상물에 부착된 와이어들의 문제가 해소될 수 있다.
대상물(44014)에는 상이한 유형의 다양한 센서들이 상이하게 기하학적으로 유리한 패턴으로 설치될 수 있다. 이러한 예에서, 센서 1 내지 6 (44010)은 목표 대상물(44008)의 반경과 같은 반경으로 배치된다. 실시예들에서 이러한 센서들은 근접 센서들이다. 예를 들어 센서 1 및 센서 6 과 같은 센서(44010)들로부터의 일시적인 신호들을 비교함으로써, 대상물(44014)이 정확한 방위로 목표물(44008)에 접근하고 있는지 여부를 판단할 수 있다. 만약 목표물(44008)이 정확하게 접근되고 있지 않다면, 2 개의 센서(44010)들중 하나는 정상보다 이른 트리거(premature trigger)를 나타낼 수 있다. 다수의 센서(44010)들을 모니터함으로써, 대상물(44010)은 주고 받음(hand off)에 영향을 미치기 전에 목표물(44008) 위에 적절하게 중심을 맞추는지 여부를 판단할 수 있다. 센서(44010)들은 예를 들어 신호 해석의 효율 또는 그 어떤 다른 제한에 따라서 그 어떤 패턴으로도 배치될 수 있다. 라디오 주파수 신호들도 진공 환경에서 유리하게 작동된다.
도 45 는 도 44 의 시스템을 측방향으로 도시하는데, 이것은 도구화된 대상물(44014)을 목표물(44008)로 지향시키는 비접촉 특성을 나타낸다. 센서(44010)들은 온도와 같은 목표물(44008)의 특성을 측정하기 위한 다른 센서들을 포함할 수 있다.
도 46 은 하나 이상의 센서들과의 라디오 주파수 통신을 도시한다. 라디오 주파수 센서 신호(44016)는 진공내에서 안테나(46002)로 송신될 수 있다. 파장들의 적절한 선택은 완전히 금속성인 진공 엔크로져를 가지고 신호의 전파를 향상시킬 수 있다. 외부 수신기 및 콘트롤러와의 무선 통신에서 센서들의 이용은 현저한 장점을 제공한다. 예를 들어, 이러한 기술은 목표물의 중심을 찾는 것과 같이 작동에 필요한 시간을 감소시킬 수 있고, 센서(들)로부터의 정보는 작업자에게 시각적 피드백을 제공하거나 또는 로봇 아암을 이용하여 특정의 작동들을 자동화하는데 채용될 수도 있다. 더욱이, 하나 또는 그 이상의 센서들의 이용은 챔버내의 측정을 허용할 수 있으며, 그렇지 않으면 진공을 대기로 개방하여 해제시켜서 챔버를 물리적으로 검사할 필요가 있을 것이다. 이것은 (이슬 또는 수증기를 배출시키는) 베이킹(baking) 및 감압과 같이, 챔버 내부를 조절하기 위한 시간 소모적이고 비용이 드는 단계들을 회피시킬 수 있다.
도 47 은 로봇 움직임의 함수로서 다수의 센서(44010)로부터의 출력을 도시한다. 로봇이 목표물(44008) 너머로 움직일 때, 만약 센서들이 근접 센서(proximity sensor)들 이라면 그 움직임은 예를 들어 목표물(44008)까지의 거리에 관한 정보를 센서들이 제공하는 결과를 가져올 수 있다. 신호들은 센서들에 대한 목표물(44008)의 위치를 판단하도록 개별적으로 또는 집합적으로 해석될 수 있다. 센서(들)를 2 개의 상이한 방향들로 움직이고 센서 신호들을 모니터함으로써, 목표물(44008)에 물리적으로 접촉하지 않으면서, 위치 또는 형상이 상이한 방향들에서 결정될 수 있다.
도 48 은 진공 시스템에 웨이퍼(48008)들을 삽입 및 제거하는 기술을 도시한다. 가열 요소(48002, 48004, 48006)들의 세트와 같은 하나 또는 그 이상의 가열 요소들이 개별적으로 또는 조합으로 채용되어, 챔버(4008) 및 기판 재료(48008)를 상승된 온도인 50℃ 내지 400℃ 또는 그 이상으로 가열할 수 있다. 개시 온도의 이러한 상승은 응축을 완화시킬 수 있는데, 그렇지 않으면 챔버 안에서 압력 감소가 발생될 것이며, 개시 온도의 상승은 더욱 신속한 펌프 다운 시퀀스(pump down sequence)를 허용하여 진공을 발생시킬 수 있다. 가열된 웨이퍼(48008)들이 로봇 아암(4002)에 의해 로드 락(4008)으로 움직일 때, 이들은 가열 유닛(48004, 48006)들보다 현저하게 따뜻할 수 있어서, 가열 유닛(48004, 48006)들은 접촉시에 웨이퍼를 냉각시킬 수 있다. 가열 전력 공급은 가열 유닛(48004, 48006)들로 공급되는 열을 조절할 수 있어서 유닛들 및/또는 웨이퍼들을 가열하기 위한 소망의 온도를 유지시킨다. 가열 유닛(48004, 48006)들에 대한 적절한 재료의 선택은 시스템이 신속하게 가열 전력의 변화에 반응하는 결과를 가져올 수 있어서, 예를 들어 챔버(4008)의 펌프 다운(pump down) 동안의 높은 온도 설정 및 챔버(4008)의 벤트(vent) 작용 동안의 낮은 온도 설정과 같은, 상이한 조건들에 대한 상이한 온도 설정의 가능성을 초래한다.
웨이퍼(48008)들을 예열하는 것은 프로세스 시간을 감소시키면서 응축 및 입자들을 감소시킬 수 있다. 동시에, 웨이퍼(48008)들은 시스템에서 나갈때 너무 고온일 수 있어서, 웨이퍼들은 안전상 위험성을 나타내거나, 또는 플라스틱과 같은 취급 및 지지 재료를 용해시킨다. 대략 80℃ 내지 100℃ 도의 내부 온도 및 대략 50℃ 또는 그 미만의 외부 온도들이 예를 들어 이러한 일반적인 우려와 조우할 수 있다.
도 49 는 로봇의 단부 작동체(49002)를 도시한다. 로봇 단부 작동체(49002)는 그것이 하나 또는 그 이상의 축들을 통하여 균일하지 않은 두께를 가지도록 테이퍼질 수 있다. 예를 들어, 로봇 단부 작동체(49002)는 측면으로부터 또는 상부로부터 볼 때 테이퍼를 가질 수 있다. 테이퍼는 작동체(49002)를 다른 공진의 진동을 완화시킬 수 있다. 동시에, (측부로부터 볼 때) 상대적으로 좁은 단면 프로파일은 웨이퍼(49006)들 사이의 용이한 기동(maneuvering)을 허용할 수 있다. 측부의 테이퍼는 연삭 또는 기계 가공에 의하여, 또는 테이퍼를 가진 작동체(49002)의 캐스팅 프로세스에 의해 달성될 수 있다. 알루미늄 실리콘 카바이드(AlSiC 9)와 같은 재료들이 이러한 형상으로 유리하게 캐스팅되어 차후의 기계 가공 또는 다른 마무리 단계들을 회피시킬 수 있다. 캐스팅 프로세스는 추가적인 장점을 제공하는데, 캐스팅 프로세스 동안에 웨이퍼 지지 재료(49004)들은 몰드 안으로 캐스팅될 수 있어서 물리적인 조립을 필요로 하는 구성 요소들의 수가 감소되는 장점을 부여한다.
도 50 에 도시된 바와 같이, 로봇 아암 세그먼트(50002, 50004)들에 유사한 기술들이 적용될 수 있다. 위에서 설명된 바와 같은 아암 세그먼트(50002, 50004)에서의 공진 진동을 감쇠시키도록 동일한 감쇠 효과가 달성될 수 있다. 테이퍼진 형상은 다양한 공지의 프로세스들을 이용하여 달성될 수 있으며, 결과적인 로봇 아암 세그먼트에 걸쳐서 더욱 신속한 움직임 및 더욱 정확한 제어를 허용할 수 있다.
도 51 은 5 개의 모터(51014)들을 채용하는 2 중의 독립적인 SCARA 아암을 도시한다. 각각의 하부 아암(51002, 51008)은 모터(51014)들에 의해 독립적으로 작동될 수 있다. 아암들은 말단 단부에서 상부 아암(51004, 51010)에 연결된다. 상기 구성은 상대적으로 작은 수축 반경을 제공하지만, 다소 제한된 연장(extension)을 제공한다.
도 52 는 4 개의 모터(52010)들을 채용하는 2 중의 종속적인 SCARA 아암을 도시한다. 링크(52002, 52004)들은 단부 작동체(52006, 52008)들에 공통적일 수 있다. 하부 아암(52002)의 연장 움직임 동안에, 소망의 단부 작동체(즉, 52008)가 프로세싱 모듈들로 연장될 수 있는 반면에, 비활성의 단부 작동체(즉, 52006)은 프로세싱 모듈로부터 이탈되게 지향될 수 있는 방식으로 모터(52010)들이 단부 작동체(52006, 52008)들을 제어할 수 있다.
도 53 은 프로그-레그(frog-leg) 형태의 로봇 아암을 도시한다. 상기 아암은 여기에 설명된 다양한 실시예들과 연결하여 이용될 수 있어서, 반도체 웨이퍼들과 같은 작업물을 그러한 일련의 아암들에서 아암으로부터 아암으로 통과시킬 수 있으며, 따라서 작업물들을 반도체 프로세스 모듈들 사이에서 움직인다.
도 54 는 평면형 로봇 시스템에서 채용될 수 있는 2 중 프로그-레그 아암을 도시하며, 이것은 본원에 개시된 선형의, 아암-대(對)-아암 시스템들중 하나와 같은 것이다.
도 55a 는 카트(cart, 55004)에 장착된, 본원에 설명된 4 링크 SCARA 아암을 도시한다. 상기 카트는 안내 레일 또는 자기 부양 트랙(55008)에 의해 선형의 방식으로 움직일 수 있고 시스템의 내부 또는 외부에 있는 모터(55002)에 의해 구동된다. 4 링크 SCARA 아암은, 아암이 도달하여야 하는 개구와의 충돌을 계속 회피하면서 프로세스 모듈과 같은 주위 모듈로의 긴 연장을 달성하지만 3 링크 SCARA 아암보다 작은 수축 반경으로 접혀지는 장점을 가진다. 역전된 카트(inverted cart, 55006)가 기판들을 카트(55004) 위로 통과시키도록 이용될 수 있다.
도 55b 는 도 55a 에 설명된 시스템의 평면도를 도시한다.
도 56 은 2 중의 독립적이고 단일의 SCARA 로봇 아암들의 조합을 이용하는 본원에 설명된 선형 시스템을 도시한다. 그러한 시스템은 4 링크 SCARA 아암 로봇 시스템을 채용하는 시스템과 같이 콤팩트하지 않을 수 있다
도 57 은 4 링크 SCARA 로봇 아암을 채용하는 수직으로 적재된 취급 시스템을 도시하며, 여기에서 아암은 주위 프로세스 모듈(5002)들중 모두에 도달할 수 있고 그 어느 것에도 도달할 수 있다. 대략 45 도로 상부 레벨(57004)의 프로세스 모듈들을 회전시키고 상부 레벨 구성 요소들을 저부 레벨 챔버(57002)들에 장착시킴으로써, 프로세스 모듈들 각각의 상부 및 저부는, 펌프, 전극, 개스 라인등과 같은 구성 요소들의 장착에 대해서뿐만 아니라 정비를 위한 접근에 대하여 노출되게 유지될 수 있다. 제안된 배치는 매우 콤팩트한 공간에서 7 개의 프로세스 모듈(5002)들의 조합을 허용할 수 있다.
도 58a 는 도 57 의 변형을 도시하는데, 여기에서 시스템의 저부 레벨(58002)은 본원에 설명된 복수개의 로봇 시스템들로 구성되고, 상부 레벨 시스템(58004)은 주 시스템 축에 대하여 45 도 각도로 지향된 프로세스 모듈(5002)들을 채용한다. 제안된 배치(layout)는 매우 콤팩트한 공간에서 9 개의 프로세스 모듈(5002)들의 조합을 허용한다.
도 58b 는 도 58a 의 변형을 도시하며, 시스템으로부터 반도체 웨이퍼들과 같은 기판들을 제거하는 후방 배출 로드 락 설비의 이용을 나타낸다.
도 59a 는 정비 접근(service access, 59002)을 허용하면서 대형의 기판 프로세싱 모듈(59004)들을 수용하고, 2 개의 표준 크기 프로세스 모듈(5002)을 위한 위치들을 동시에 제공하는 선형 취급 시스템을 도시한다.
도 59b 는 프로세스 모듈(5002)들의 내부로의 정비 접근(59002)을 여전히 허용하면서 4 개의 대형 프로세스 모듈(59004)들 및 표준 크기 프로세스 모듈(5002)을 수용하는 시스템 배치를 나타낸다.
도 60 은 실질적으로 로봇 구동 구성 요소의 동일측에 아암들을 가지는 2 중 프로그 로봇을 도시한다. 하부 아암(60002)들은 2 개 세트의 상부 아암(60004)들을 지지하며, 이들은 모터 세트(54010)에 기계적으로 결합된다.
다양한 기술들이 이용되어 위에서 설명된 것과 같은 반도체 제조 설비내에서 웨이퍼들을 취급하고 이송한다. 특정의 프로세싱 모듈들, 로봇 구성 요소들 및 관련 시스템들이 위에서 설명되었지만, 다른 반도체 프로세싱 하드웨어 및 소프트웨어가 아래에서 설명되는 이송 및 취급 시스템과 조합되어 적절하게 채용될 수 있다는 점이 이해될 것이다. 당업자에게 명백해질 모든 그러한 변형 및 수정은 본원의 청구 범위에 속하는 것으로 의도된다.
도 61 을 참조하면, 진공 프로세싱 시스템에서, 프로세스 그룹(6100)은 교환 영역(6120)에 연결된 장치 전방 단부 모듈과 같은 취급 인터페이스(6110)를 구비할 수 있고, 작업물을 교환 영역(6120)으로부터 이송 터널(6150) 내부의 이송 카트(6140)으로 전달하는 작업물 취급 진공 모듈(6130)에 더 연결될 수 있다.
다양한 이송/취급 설계의 설명을 용이하게 하도록, 이송 로봇(6131)과 하나 이상의 프로세스 모듈(2002)들과의 조합은 여기에서 프로세스 셀(process cell, 6170)로서 지칭된다. 프로세스 셀들은, 웨이퍼들을 전달하기 위한 관련 로봇 또는 추가 로봇들과 함께, 광범위한 프로세스들을 수행하는 클러스터 툴 및/또는 통상적이거나 비통상적인 프로세스 모듈들을 포함하는 많은 구성들을 가질 수 있다는 점이 이해되어야 한다. 이것은 웨이퍼 이송 시스템으로부터 웨이퍼들을 수용할 수 있거나 또는 웨이퍼 이송 시스템으로 웨이퍼들을 제공할 수 있는 그 어떤 다른 하드웨어 또는 하드웨어의 조합, 버퍼, 히터 또는 계측 스테이션(metrology station) 뿐만 아니라, 상업적으로 이용 가능한 프로세스 모듈, 주문 프로세스 모듈(custom process module)등을 포함할 수 있다. 프로세스 모듈(2002)들 및/또는 프로세스 셀(6170)들은 다양한 구성으로 배치될 수 있는데, 예를 들어 선 또는 곡선의 측부를 따라서 정렬된 클러스터(cluster)로, 정사각형 또는 직사각형의 구성으로, 수직으로 적재되는 등으로 배치될 수 있다. 마찬가지로, 프로세스 셀(6170)들에 서비스하는 하나 이상의 로봇(6131)들은 다양한 방식으로 구성될 수 있어서, 프로세스 모듈들의 상이한 구성들을 수용하는데, 이것은 수직으로 적재된 위치 또는 대향하는 위치, 서로 직선을 이루는 등을 포함한다.
프로세스 그룹(6100)은 슬롯 밸브 또는 그와 유사한 밸브들과 같은 하나 이상의 격리 밸브(6180)들을 더 포함할 수 있으며, 이들은 그룹(6100) 안의 진공 영역들을 선택적으로 격리시키고 진공 영역들 사이에서 작업물의 상호 교환을 용이하게 한다. 격리 밸브(6180)들은, 진공 영역들 사이의 작업물의 간헐적인 움직임을 허용하면서, 하나 또는 그 이상의 단계들 동안에 각각의 작업물에 대한 적절한 진공 환경을 유지하도록 제어를 제공할 수 있다.
도 61 의 실시예에서, 작업물 취급 진공 모듈(6130, 6131)들은 그룹(6100)의 다른 구성 요소들 사이에서 작업물들의 전달하며, 보다 상세하게는 이송 카트(6140)와 다양한 행선지들 사이에서 작업물을 전달한다. 이송 카트(6140)는 작업물을 행선지로부터 행선지로 움직이는 것을 담당하며, 예를 들어 직업물 취급 진공 모듈(6130, 6131)들 사이에서 움직이게 된다. 제조 설비의 다양한 배치에서, 프로세스 모듈등은 도 61 에 도시된 로봇(6130,6131)들과 같은 로봇을 이용하는 직접적이거나 또는 편리한 작업물 전달에 대하여 너무 멀리 분리될 수 있다. 이것은 여러가지 이유 때문에 발생될 수 있는데, 예를 들어 프로세싱 모듈들의 크기 또는 형상, 프로세스 모듈들에 대한 입구 지점 및 출구 지점의 위치들, 특정의 제조 배치에서의 프로세스 모듈들의 개수등과 같은 이유 때문에 발생될 수 있다. 현저한 장점으로서, 중간 이송 시스템로서의 하나 또는 그 이상의 이송 카트(6140)들의 이용은 다양한 모듈들 및 장치의 융통성 있는 상호 연결이 복잡하고 다중 목적의 프로세싱 설비로 되는 것을 허용한다.
이송 카트(6140)는 작업물 취급 진공 모듈(6130)에 의해 접근 가능한 위치로 반도체 웨이퍼와 같은 작업물을 이송시킬 수 있으며, 웨이퍼 또는 다른 작업물과 같은 물품들을 프로세싱을 위한 프로세스 모듈(2002)로 선택적으로 이송시킬 수 있다. 이송 카트(6140)는 여러가지 실시예들로 구현될 수 있는데, 자기 부양 및/또는 구동 카트, 레일웨이(railway)상의 카트, 아암 또는 연장 부재를 가진 카트, 바퀴 위의 카트, 신축 자재의 부재에 의해 추진되는 카트, 전기 모터로 추진되는 카트, 기울임 또는 뒤집힘이 가능한 카트, 작업물 또는 작업물들을 하나의 높이로부터 다른 높이로 옮기도록 경사 터널을 가로지를 수 있는 카트, 이송 트랙으로부터 매달린 역전된 카트, 이송하는 동안 작업물상에 몇가지 기능들중 하나 또는 프로세싱을 수행하는 카트 또는 그와 유사한 것을 포함한다.
카트(6140)는 짐벌(gimbal)상에 있을 수 있거나 또는 곤돌라(gondola)로서 매달릴 수 있어서, 카트(6140) 경로의 수평 정렬에서의 변형을 수용한다. 마찬가지로, 카트는 웨이퍼 홀더(wafer holder)(예를 들어, 지지부, 선반, 파지부 또는 그와 유사한 것)를 포함할 수 있으며, 웨이퍼 홀더는 짐벌에 있거나, 또는 와이어 또는 그와 유사한 것에 매달려서, 웨이퍼 홀더는 카트가 경사를 가로지르는 동안 실질적으로 수평의 방위를 유지한다. 따라서, 특정의 실시예들에서, 카트는 실질적으로 균일한, 평평한 수평 정렬로 웨이퍼 또는 작업물을 유지하면서, 경사, 내리막 또는 직접적인 수직 경로들을 가로지를 수 있다. 그러한 카트는 선택적으로 고정된 수평의 정렬을 가질 수 있어서 수평 평면에서의 가속 또는 감속과 같은 움직임은 작업물의 뒤집힘(tipping)을 야기하지 않는다. 다른 실시예들에서, 카트(6140)상에서 작업물의 위치를 안정화시키기 위하여 가속 또는 감속중에 카트는 뒤집힘이 허용될 수 있다.
카트(6140)는 진공에서 사용하기에 적절한 재료로 만들어질 수 있으며, 이것은 낮은 기체 배출(outgassing) 특성을 가진 소망스럽지 않은 입자 또는 물질의 생성을 완화시키는 재료들과 같은 것이다. 실시예에서, 카트(6140)는 로봇 아암이 없는 단순 카트이다. 현저한 장점으로서, 아암이 없는 카트를 이용하는 것은 카트를 기계적으로 단순화시키고, 따라서 유지 관리, 수리 및 진공 환경의 물리점 오염에서 절감이 이루어진다. 그러한 실시예들에서, 카트 경로로부터의 각각의 입구/출구가 바람직스럽게는 카트상에 작업물을 배치하고 회수하도록 로봇 또는 유사한 장치를 포함한다.
가능성이 있는 다양한 구현예들 사이를 구분하기 위하여, 다음의 설명에서는 "수동적 카트(passive cart)"라는 용어를 채용하여, 웨이퍼들을 로딩 및 언로딩하기 위한 로봇 아암 또는 다른 메카니즘이 없는 카트를 지칭한다. 위에서 지적된 바와 같이, 이러한 구성은 설계의 단순성 및 내부 진공의 구현과 관련하여 다수의 장점을 제공하며, 기계적인 작용으로부터의 오염 발생을 완화시키는 추가적인 장점을 제공한다. "능동적 카트"라는 용어는 로봇 아암을 구비하는 카트를 나타내도록 채용된다. 능동적 카트는 상이한 장점을 나타내는데, 특히 터널(6150)의 각각의 포트(6180)에서 웨이퍼 취급 하드웨어에 대한 대응 요건의 완화 및 로봇 아암이 카트와 함께 항상 이용될 수 있는 아암을 가진 향상된 다기능성의 장점을 가진다. 로봇이 있는 카트와 로봇이 없는 카트 사이의 구분을 위한 유용한 어휘를 제공하지만, 소위 "수동적 카트"는 휘일(wheel), 센서등과 같은 다른 기계적 또는 능동적 구성 요소들을 가질 수 있다는 점이 이해될 것이다.
카트(6140)는 단일 웨이퍼 또는 그와 유사한 것을 위한 공간을 포함할 수 있다. 일부 실시예들에서, 카트(6140)는 복수개의 선반을 구비할 수 있어서 다수의 웨이퍼들이 카트에 의해 이송될 수 있다. 선반들은 고정된 높이의 로봇에 의해 웨이퍼들중 상이한 것들에 대한 접근을 수용하기 위하여 제어 가능한 높이등을 가질 수 있거나, 또는 선반들이 Z 축 제어부를 가진 로봇 핸들러(robotic handler)와 사용되는 고정된 높이를 가질 수 있다. 다른 실시예들에서, 카트(6104)는 다수의 웨이퍼들에 대한 공간을 가진 단일 표면을 포함할 수 있다. 다수 웨이퍼의 변화는 (각각의 카트상에서 웨이퍼에서 있을 수 있는 다수의 위치를 담당하도록) 추가적인 프로세싱 제어도(degree of processing control)를 필요로 하지만, 이것은 또한 여기에 설명된 시스템들에 대한 증가된 융통성 및 용량을 제공한다. 다른 실시예들에서, 카트(6140)는 다수 웨이퍼 운반부를 운반하도록 적합화되거나 또는 다수 웨이퍼들의 동시 취급 및/또는 프로세싱에 대하여 적합화될 수 있다.
카트(6140)는 보충적인 기능(supplemental functionality)을 제공할 수 있다. 예를 들어, 카트(6140)는 웨이퍼 냉각 또는 가열 시스템을 구비하여 이송 동안에 웨이퍼 온도를 제어할 수 있다. 카트(6140)는 또한 웨이퍼 중심 찾기 센서, 웨이퍼 계측 센서(metrology sensor) 및 그와 유사한 것을 포함할 수 있거나, 이들을 다른 것 대신으로 포함할 수 있다. 가능한 보충적 기능의 범위가 카트(6140)에 의해 지지될 수 있지만, 견실한 상태의 감지(solid state sensing) 및 프로세싱을 채용하는 이들 기능들이 바람직스럽게는 청결한 프로세싱 환경의 보존을 용이하게 하도록 채용될 수 있다.
터널(6150)은 이송 카트(6140) 및 그 어떤 관련 유효 하중(payload)을 수용하기에 적절한 임의의 단면 형상 및 크기를 가질 수 있다. 일반적으로, 터널(6150)은 그것이 연결된 다양한 프로세스 셀(process cell)들과 유사하거나 또는 동일한 환경을 유지할 수 있을 것이며, 예를 들어 진공을 유지할 수 있다. 진공 환경은 예를 들어 각각의 포트(6180)의 독립적인 진공 격리를 위한 슬롯 밸브 또는 그와 유사한 것을 제공함으로써 달성될 수 있다 (포트는 웨이퍼들 및 그와 유사한 것이 통과될 수 있는 개구를 지칭하는 반면에, 슬롯 밸브는 밀봉을 개방 및 폐쇄하는 메카니즘을 나타내는 것으로 이해될지라도, 전체적으로 슬롯 밸브는 도 61 에서 슬롯 밸브(6180)와 함께 연장되는 것으로서 표시된다). 슬롯 밸브 또는 슬릿 밸브(slit valve)가 격리 장치의 통상적인 하나의 형태이지만, 많은 다른 것들이 공지되어 있으며 여기에 설명된 시스템들과 함께 채용되기에 적절할 수 있다. 따라서 만약 좁은 의미가 명시적으로 제공되거나 또는 그와 달리 문맥으로부터 명백하지 않다면, 슬롯 밸브, 슬릿 밸브 격리 장치, 격리 메카니즘 및 그와 유사한 대상과 같은 용어들은 다양한 챔버들, 프로세스 모듈들, 버퍼등을 진공 환경내에 격리시키기에 적절한 임의의 장치 또는 장치들의 조합을 지칭하도록 넓게 해석되어야 한다는 점이 이해될 것이다.
일부 실시예들에서, 터널(6150)은 중간 환경을 유지할 수 있으며, 그곳에서는 예를 들어 상이한 프로세스 셀들이 상이한 진공 레벨들을 채용하거나, 또는 프로세싱과 관련된 다른 개스를 포함한다. 직선으로 도시되었지만. 터널(6150)은 이송 카트(6140)의 이동을 수용하기에 적절한 경로에서 각도, 만곡 및 다른 변화를 포함할 수 있다. 더욱이, 터널(6150)은 위치로부터 위치로 이송 카트(6140)를 구동하는데 이용되는 추진 시스템과 양립하는 트랙 또는 다른 표면들을 포함할 수 있다. 일부 실시예들에서, 터널(6150)은 경사 또는 다른 변형을 포함할 수 있으며, 이들은 터널에 연결된 다양한 프로세스 셀들 사이의 높이 변화를 수용한다. 웨이퍼들 또는 다른 작업물들을 프로세싱 환경내에서 움직이도록 카트(6140)와 함께 이용될 수 있는 모든 그러한 변화들은 본원의 범위에 속하는 것으로 의도된다.
도 62 는 이송 시스템을 포함하는 웨이퍼 프로세싱 시스템의 다른 실시예를 도시한다. 도시된 바와 같이, 시스템(6100)은 복수개의 웨이퍼들을 동시에 취급 및/또는 프로세싱할 수 있는 복수개의 전달 로봇 및 프로세싱 모듈들을 포함할 수 있다. 시스템(6100)은 시스템(6100) 안의 다양한 프로세스들에 따른 카트(6140)의 움직임에 대한 일정을 만들도록 이송 및 프로세싱 시스템 요소들에 연결된 콤퓨터 설비(미도시)와 같은 콘트롤러를 포함할 수도 있다. 이송 카트(6140)의 위치 및 유용성이 다수의 프로세스 셀(6170)들 안에서 프로세스들의 시작 및 정지 시간과 조화되도록 각각의 작업물의 프로세싱이 제어될 수 있다. 프로세스 셀(6170)들은 동일하거나 또는 상이할 수 있다. 다양한 실시예들에서, 시스템(6100)은 한번에 복수개의 작업물들을 프로세싱하도록 직렬 프로세싱, 병렬 프로세싱 또는 이들의 조합을 수행할 수 있으며, 그에 의하여 프로세스 셀(6170) 안에서의 프로세싱 자원들의 이용을 향상시킨다.
도 63 은 웨이퍼 이송 시스템을 포함하는 반도체 프로세싱 설비의 다른 실시예를 도시한다. 도 63 에 도시된 바와 같이, 프로세스 셀(6170)들은 이송 터널(6150)의 양측에 연결될 수 있다. 위에서 도 61 및 도 62 에 도시된 것과 같은, 작업물 프로세싱에서의 다양한 변형들은 도 63 의 구성과 조합되어 채용될 수 있다. 도면에 도시된 바와 같이, 다양한 구성의 임의의 수의 프로세스 셀(6170)들은 프로세싱 셀(6170)들을 상호 연결하는 이송 카트(6140)에 의해 용이하게 수용될 수 있다. 이것은 만곡된 경로, 각이 형성된 경로, 다수의 레인(lane)을 가지는 경로 및 다른 카트 경로들 뿐만 아니라, 많은 수의 프로세싱 셀(6170)들을 포함한다. 예를 들어, 카트 경로의 일측에 있는 셀들은 우측에 있는 프로세스 셀들을 반영(mirror)할 수 있어서, 공통의 터널(6150), 이송 카트(6140), 전달 로봇(6130), 교환 영역(6120) 및 인터페이스 모듈(6110)을 가진 2 중의 3 단계 프로세스 그룹들을 제공한다.
도 64 는 클러스터 툴(6410)로서 배치된 복수의 프로세스 모듈(2002) 및 작업물 취급 진공 모듈(6131)을 이용하는 구성을 도시한다. 임의 개수의 추가적인 프로세스 셀들에 융통성 있게 상호 연결될 수 있는 카트에 기초한 이송 시스템과 함께, 이러한 배치는 클러스터 툴의 콤팩트한 영향 범위(footprint) 및 기능성을 부여한다.
도 65 는 이송 시스템을 포함하는 반도체 프로세싱 설비의 다른 실시예를 도시한다. 이러한 시스템에서, 다수의 클러스터 툴(6410)들은 위에서 전체적으로 설명된 이송 카트(6140) 및 터널(6150)을 이용하여 상호 연결된다. 이러한 구성은 크기에 무관하게 임의 개수의 클러스터 툴의 상호 연결을 허용한다. 현저한 장점으로서, 이것은 단일 또는 다수의 로봇 취급 시스템 둘레에 배치된 클러스터 툴들의 밀집된 그룹에 대한 필요성을 감소시킨다.
도 66 은 웨이퍼 이송 시스템을 이용하는 반도체 제조 설비의 다른 실시예를 도시한다. 이러한 실시예에서, 선형 프로세싱 시스템(6610)은 복수개의 프로세스 모듈(2002A-2002D)들로 구성되는데, 이들은 다수의 로봇(6131,6632,6633)들을 통하여 기능적으로 상호 연결되고, 로봇들은 선형 시스템(6610) 안에서의 웨이퍼 취급을 위하여 로봇-대(對)-로봇의 주고 받음을 채용한다. 이러한 선형 시스템(6610)은 이송 카트(6140)에 대한 인터페이스를 포함할 수 있으며, 이송 카트는 웨이퍼들을 선형 시스템(6610) 및 이송 시스템에 연결된 그 어떤 다른 프로세스 셀(6170)들에 대하여 그리고 그들로부터 움직인다. 이해되어야 하는 바로서, 도시된 실시예에서 각각의 전달 로봇은 2 개의 프로세스 모듈(2002)들을 담당하고 다른 전달 로봇으로의 작업물 전달을 취급하지만, 다른 선형의 배치들도 채용될 수 있다.
작동에서, 작업물들은 전달 로봇(6131)로써 이송 카트(6140)로부터 조작됨으로써 선형 프로세스 셀로 움직일 수 있다. 전달 로봇(6131)은 작업물을 전달 로봇(6632) 또는 2 개의 프로세스 모듈(2002A 또는 2002B)들중 하나로 전달할 수 있다. 전달 로봇(6632)은 프로세싱되어야 하는 작업물을 전달 로봇(6631)으로부터 수용할 수 있고, 그것을 전달 로봇(6633) 또는 2 개의 프로세스 모듈(2002e 또는 2002D)들중 하나로 전달한다. 전달 로봇(6633)은 처리되어야하는 작업물을 전달 로봇(6632)으로부터 수용할 수 있다. 마무리된 작업물들은 전달 로봇(6131)을 통하여 터널 이송 카트(6140)로 통과할 때까지 연속적이고, 근접한 전달 로봇들로 전달될 수 있다. 일 실시예에서, 로드 락이 선형 시스템(6610)의 일 단부에 제공될 수 있어서 선형 시스템(6610)의 대향하는 단부에서 이송 카트 인터페이스로부터 웨이퍼들의 추가 또는 감소를 허용한다.
도 67 은 이송 시스템을 포함하는 반도체 제조 설비를 도시한다. 도 67 에 도시된 바와 같이, 다수의 선형 시스템(6610)은 이송 카트(6140) 및 터널(6150)을 이용하여 상호 연결될 수 있다. 현저한 장점으로서, 다수의 상이한 선형 시스템(6610)들에 대한 단일의 진공 환경은 각각의 선형 시스템(6610)의 물리적인 치수 및 배치에 상관 없이 상호 연결될 수 있다. 추가적으로, 개별적인 프로세스 셀들에 대한 작업물의 증가된 처리량 또는 프로세싱의 긴 시퀀스들은 여기에 설명된 카트 및 터널 시스템들을 이용하여 용이하게 달성될 수 있다.
일 양상에서, 터널(6510)에 연결된 프로세스 셀들의 선택은 시스템의 범위에 걸친 처리량(system-wide throughput)을 제어하거나 균형을 맞추도록 유리하게 이루어질 수 있다. 따라서, 예를 들어 상대적으로 신속한 프로세스 시간을 가진 프로세스 셀들은 적절한 수의 병렬 프로세스 셀들과 조합될 수 있어서, 느린 프로세스 시간을 가진 상이한 프로세스를 제공한다. 이러한 방식으로, 신속한 프로세스 시간을 가진 프로세스 셀은 단일 진공 환경에 있는 다수의 하류측 또는 상류측 프로세스 셀들을 담당함으로써 보다 완전하게 이용될 수 있다. 보다 일반적으로, 이송 카트(6140) 및 터널(6150)을 이용하거나 또는 다수의 그러한 카트들 및 터널들을 이용하여, 변화하는 프로세스 시간 및 산출량의 제한을 가진 프로세스 셀들 사이에서 하중(load)의 균형을 맞추고 그리고/또는 이용성을 향상시키도록, 더 큰 설계상의 융통성이 제조 프로세스 배치들에 대하여 제공된다.
도 68 은 이송 시스템을 가진 반도체 제조 설비를 도시한다. 도시된 바와 같이, 제조 설비는 다양하고 상이한 툴 및 모듈 유형을 포함할 수 있다. 예를 들어, 설비는 작업물의 일시적인 진공내 저장을 위한 다수-웨이퍼 버퍼를 제공하는 저장 셀(6820)과 함께, 복수개의 클러스터 프로세스 셀(6410) 및 복수개의 선형 프로세스 셀(6610)을 구비할 수 있다. 도시된 바와 같이, 시스템은 하나 보다 많은 전방 단부 모듈을 포함할 수 있으며, 이것은 예를 들어 터널(6150)의 대향하는 단부들 상에 2 개의 전방 단부 모듈들을 이용한다. 다음의 설명으로부터 명백한 바로서, 다른 형상들이 가능하고, T-접합부(junction), V-접합부, X-접합부 또는 그 어떤 다른 유형의 상호 연결이라도 포함할 수 있으며, 이들중 그 어느 것이라도 또는 전부가 전방 단부 모듈에서 끝날 수 있거나 또는 하나 또는 그 이상의 추가적인 터널(6150)들에 연결될 수 있다. 이러한 방식으로, 상호 연결된 프로세싱 모듈들의 복잡한 배치들이 보다 용이하게 구현될 수 있다. 프로세싱 설비를 상이한 프로세스 요건들에 적합화시키기 위하여 개별적인 프로세스 셀들이 그러한 시스템에 더해지거나 또는 그로부터 제거될 수 있다는 점이 더 이해될 것이다. 따라서, 모듈화되고 융통성 있는 제조 배치 시스템이 달성될 수 있다.
도 69 는 이송 시스템을 가진 반도체 제조 설비를 도시한다. 도 69 의 실시예에서, 격리 밸브(6180)는 진공 터널(6150)의 직선 길이 안에 제공된다. 격리 밸브(6180)는 터널(6150)의 부분들의 격리를 허용하며, 보다 상세하게는 프로세스 셀들의 상이한 그룹에 대하여 상이한 진공 환경들이 적절한 프로세스들을 허용한다. 이러한 실시예에서, 격리 밸브(6180)가 폐쇄되는 동안 터널(6150)의 각각의 절반이 독립적인 이송 차량을 구비하도록 제 2 이송 카트(6904)가 구비된다. 이해될 바로서, 특정의 프로세스에서, 격리 밸브는 개방 상태로 유지될 수 있고 양쪽 카트들은 터널(6150)의 양쪽 절반을 담당할 수 있다. 보다 일반적으로, 이것은 다양한 상이한 프로세싱 툴들을 이용하여 복잡한 프로세스들을 수용하는 이송 시스템의 융통성을 나타낸다. 도 69 에 도시된 바와 같이, 시스템은 복수개의 작업물들의 저장을 제공하는 작업물 저장 엘리베이터(6920)를 구비할 수도 있다.
도 70 을 참조하면, 클러스터 및 선형 프로세싱 그룹들은 복수개의 터널 이송 카트 시스템들과 조합되어 복잡한 프로세스 그룹을 제공할 수 있다. 도 70 의 실시예에서, 2 개의 클러스터 프로세싱 셀들, 프로세싱 그룹의 제 1 단부에 있는 제 1 클러스터 프로세싱 셀(7010) 및, 프로세싱 그룹의 제 2 단부에 있는 제 2 클러스터 프로세싱 셀(7011)은 프로세스 셀들 사이에서의 작업물의 이송을 위하여 터널 이송 카트(6140, 6140A)과 각각 상호 연결된다. 도시된 바와 같이, 선형 프로세싱 셀(7050)은 각각의 단부에서 접근 포트를 구비할 수 있다.
도 70 의 실시에에서, 에시적인 작업물 흐름은 입력 인터페이스 모듈(6110)로부터 제 1 클러스터 프로세싱 셀(7010)에서 작업물을 수용하여, 필요에 따라서 클러스터 셀(7010)에서 작업물을 프로세싱하는 것을 포함할 수 있다. 제 1 터널 이송 카트(6140)는 작어물을 선형 프로세싱 그룹(7050)으로 이송시킬 수 있으며, 그곳에서 작업물 취급 진공 모듈(6130)에 의해 수용되어 필요에 따라 하나 또는 그 이상의 프로세스 모듈(2002)에서 프로세싱된다. 선형 프로세싱 그룹(7050)내에서 작업물은 선형 프로세싱 그룹(7050)내의 모든 프로세싱이 작업물에 대하여 완성될 때까지 인접한 전달 로봇들 사이에서 전달될 수 있으며, 그 때 작업물은 제 2 클러스터 프로세싱 셀(7011)로의 이송을 위하여 제 1 터널 이송 카트(6140A)으로 전달된다. 작업물의 추가적인 프로세싱은 필요에 따라서 제 2 클러스터 프로세싱 셀(7011)에서 수행될 수 있고, 자동화되거나 또는 수동적인 회수를 위하여 출구 인터페이스 모듈(7020)로 수용될 수 있다.
시스템은 다수의 웨이퍼들을 한번에 취급할 수 있다는 점이 이해될 것이다. 일부 실시예들에서, 웨이퍼들은 하나의 입구(예를 들어, 제 1 전방 단부 모듈(7020))로부터 하나의 출구(예를 들어, 제 2 전방 단부 모듈(6110))로 균일하게 유동할 수 있다. 그러나, 도시된 배치는 반대 방향으로 동시에 이동하는 웨이퍼들, 또는 전방 단부 모듈들중 하나를 통해서 진입 및 진출하는 웨이퍼들 또는 이들의 조합을 용이하게 수용할 수 있다. 위에서 지적된 바와 같이, 이것은 특정의 프로세싱 툴들의 이용성을 현저하게 형상시키는 제조 설비들의 전개를 허용하고, 단일 제조 시스템내에서 다양한, 상이한 프로세스들의 구현을 허용한다.
도 71 은 L 형상을 가진 2 개 단부의 터널(7110)을 도시한다. 도 72 는 T 형상을 가진 3 개 단부의 터널(7210)을 도시한다. 도 73 은 V 형상을 가진 2 개 단부의 터널(7130)을 도시한다. 터널들은 설비내의 바닥 공간으로부터 장치의 개별적인 부분들의 형상 및 크기에 이르는 설계 인자를 수용하기 위하여, 다른 형상들 및 그들의 조합 뿐만 아니라, 상기 형상들중 그 어떤 것이라도 이용할 수 있다. 도면들에 도시된 바와 같이, 다양한 상이한 프로세스 셀 유형들이 특정의 프로세스에 적절한 바에 따라 터널에 연결될 수 있다.
도 74 를 참조하면, 이송 카트(6140)는 상이한 프로세싱 시간을 가지는 시스템들을 상호 연결할 수 있다. 예를 들어, 이송 카트(6140)는 사전 청결(preclean) 프로세스(6130)를 화학적 증기 증착("CVD")과 같이 상대적으로 긴 프로세스 시간을 가지는 시스템(7410) 및, 물리적 증기 증착("PVD")과 같이 상대적으로 짧은 시간을 가지는 시스템(7420)에 연결할 수 있다.
실질적으로 상이한 지속 기간들의 프로세스 단계들을 포함하는 구성들에 대하여, 조합된 프로세싱 프로세싱 시스템(7400)에 대한 산출량의 균형을 맞추기 위하여, 느린 프로세스(7410)들은 (클러스터 또는 선형 그룹들로서 전개될 수 있는) 상대적으로 많은 수의 관련 툴(tool)들에 의해 지원될 수 있다. 따라서, 여기에 설명된 이송 시스템들을 이용하여, 복잡한 반도체 제조 프로세스들에서의 개념적인 병목(bottleneck)은 긴 프로세스들 둘레에서 단순히 용량을 확장시킴으로써 해결될 수 있으며, 그에 의해 상대적으로 짧은 프로세스들을 가진 툴들의 이용을 향상시킨다. 예들 들어 비제한적으로, 1(사전 세정):2 (PVD): 10 (CVD)의 상대적인 지속 기간(duration)을 가진 프로세스들은, 카트(6140) 및 터널(6150)에 의해 지원되는 단일의 진공 환경에서 함께 작용하는 2 개의 사전 세정 툴, 20 개의 CVD 프로세싱 툴 및, 4 개의 PVD 프로세싱 툴을 가진 설비에 의해 지원될 수 있다. 이러한 비율을 보존하면서, 각각의 툴 유형의 전체 수는 제조 설비내의 전방 단부 모듈들 또는 다른 분리 시스템들의 산출량 용량과 같은 다른 프로세스 제한들에 따라서 확장될 수 있거나 또는 감소될 수 있다.
도 75 를 참조하면, 도 74 의 구성은 대안으로서 하나의 터널(6150) 안에 복수개의 카트(6140)들을 구비할 수 있으며, 여기에서 각각의 카트는 작업물들을 터널(6150)의 일부에 걸쳐 이송한다. 공통측의 프로세스 셀에서 인접한 카트들의 충돌을 회피하도록 카트들의 조정이 이루어질 수 있다.
대안의 실시예는 루프(loop)로서 구성된 터널을 구비할 수 있는데, 이것은 최종 프로세스 셀에 도달했던 이송 카트들이 새로운 작업물을 이송을 위하여 받아들이도록 입력 인터페이스 모듈로 루프로서 계속되는 것을 허용한다. 루프는 수평 루프 또는 수직 루프로서, 또는 이들의 조합으로서 구성될 수 있다.
도 76 을 참조하면, 복수개의 터널 이송 카트들이 작업물 취급 진공 모듈들에 의해 상호 연결될 수 있다. 도 76 의 실시예에서, 전달 로봇(640)은 2 개의 분리된 터널 이송 카트(6140, 6140A) 사이에서 인터페이스로서의 역할을 할 수 있으며, 또한 작업물을 진공 환경의 안과 밖으로 전달할 목적으로 전방 단부 모듈(6110)로의 인터페이스로서의 역할을 할 수 있다. 도 76 의 실시예는 프로세스 셀들의 사용에 대한 실질적인 융통성을 수용할 수 있다. 각각의 인터페이스 모듈은 터널 이송 카트들 양쪽에 대한 접근을 가능하게 하여, 만약 각각의 터널과 관련된 프로세스 셀들이 동일하다면 용량 증가를 용이하게 한다. 대안으로서, 도 76 의 실시예는 프로세스들의 여분; 상이한 프로세스들에 대한 공통적인 인터페이스 모듈을 허용할 수 있거나, 또는 분리된 터널 이송 카트 시스템들을 하나의 프로세스 그룹으로 조합함으로써 추가적인 프로세싱 스텝들을 지원할 수 있다.
도 77 은 이송 시스템이 완전한 루프(7710)를 형성하는 시스템(6100)을 도시한다. 이러한 실시예에서, 이송 카트(6100)는 프로세스 안의 적절한 위치들에서 작업물들을 더하거나 제거하면서 루프를 따라서 단일의 방향으로 계속적으로 움직일 수 있다. 더욱이, 하나 또는 그 이상의 위치들은 작업물들을 진공 환경에 대하여 그리고 진공 환경으로부터 전달하기 위하여 장비 전방 단부 모듈에 의해 담당될 수 있다. 현저한 장점으로서, 이러한 배치는 시스템에 연결된 그 어떤 2 개의 프로세스 셀들에 의해 직접적인 전달을 허용한다. 임의 개수의 이송 카트(6140)들이 터널을 공유할 수 있고, 하나 보다 많은 이송 카트(6140)를 가지는 것은 단일 시간에 다수의 셀 내부 전달을 허용함으로써 프로세싱 선택을 증가시킨다.
도 78 은 이송 시스템을 포함하는 반도체 프로세싱 시스템을 도시한다. 시스템(7800)은 다양한 카트 및 프로세싱 모듈 구성을 포함하는 복합 시스템이다. 특히, 도 78 의 시스템(7800)은 4 개의 전방 단부 모듈들, 하나의 저장 모듈, 4 개의 독립적인 카트 이송 시스템 및, 6 개의 분리된 선형 프로세싱 모듈들을 구비한다. 도시된 바에 의하여, 선형 프로세싱 모듈(6110)들중 하나는 (각각의 단부에 하나씩) 2 개의 전방 단부 모듈들을 구비하고, 인접한 프로세싱 시스템들에 상호 연결되도록 2 개의 터널들과 교차한다는 점이 주목되어야 한다. 보다 일반적으로, 그리고 위에서 전체적으로 지적된 바와 같이, 툴, 클러스터 및 관련 하드웨어의 그 어떤 구성이라도 여기에 설명된 하나 이상의 터널 및 카트들을 이용하여 공유될 수 있다. 도 78 의 실시예는 (전방 단부 모듈들로서 도시된) 다수의 지점들에서 진공 환경으로부터 작업물이 제거될 수 있게 하여 검사, 화학적 기계적 폴리싱 또는 전기 도금과 같은 대기중의 프로세스를 겪는다. 작업물은 필요에 따라서 진공 환경으로 복귀될 수도 있다. 광범위한 가능성들이 이러한 유형의 시스템으로부터 이루어진다.
도 78 의 구성에서, 전달 로봇(6130)들은 분리된 이송 진공 터널(6150)들 상의 카트(6140)들 사이에서 작업물들을 전달할 뿐만 아니라, 작업물을 이송 카트(6140)로부터 프로세스 셀(6170)로 전달하도록 이용될 수 있다.
이러한 구성은 작업물이 진공 환경으로부터 제거될 필요 없이 이송 진공 터널들중 하나 또는 그 이상과 관련된 프로세스들중 하나 또는 그 이상에서 프로세싱되는 것을 허용한다. 전달 로봇들에 의해 이송 진공 터널들을 연결하는 것은 하나 또는 그 이상의 이송 진공 터널들의 격리를 허용하며, 따라서 상이한 진공 환경들의 인접한 이용을 허용하고 이송 진공 터널들 각각과 관련된 프로세스들의 독립적인 작동을 가능하게 한다.
도 79 는 프로세싱 모듈들 사이에 위치된 진공 튜브(7910)들을 구비하는 실시예를 도시한다. 보다 일반적으로, 이러한 진공 튜브(7910)들은 그 어떤 인접한 진공 하드웨어 사이에 배치되어 진공 환경을 물리적인 공동(physical void)을 가로질러 연장시킬 수 있다. 진공 튜브(7910)들은 그 어떤 적절한 재료로도 형성될 수 있으며, 내부가 보이게 하려면 유리 또는 유사한 재료를 포함한다. 이러한 진공 튜브(7910)들은 이전 및 이후에 설명된 것과 같은 추가적인 기능을 제공하고, 설계의 제한을 거의 가지지 않도록 의도될 수 있는데, 예외적으로 이들이 바람직스럽게는 다른 시스템 구성 요소들에 물리적으로 연결되는 진공 밀봉을 형성하고, 웨이퍼들, 작업물들 및 그 어떤 로봇 아암들 또는 이들의 취급과 관련된 유사한 것의 통과를 위한 충분한 내부 공간을 제공한다. 일반적으로, 하드웨어의 물리적인 치수들에 기인하여 직접적으로 달성될 수 없는 기능적인 결합을 허용하기 위하여 진공 튜브(7910)들은 프로세싱 모듈들(또는, 도시된 바와 같이, 단일 로봇에 의해 담당되는 모듈들의 쌍들)과 같은 인접한 하드웨어 사이의 물리적인 버퍼로서의 역할을 한다.
도 80 은 이송 시스템을 포함하는 반도체 프로세싱 시스템을 도시한다. 도 80 의 실시예는 단일의 진공 터널 안에서 2 중의 나란히 있는 독립적인 이송 카트들을 포함한다. 카트(6140, 6140A)들은 터널(6150) 안의 비 간섭적인 경로(8010, 8011)상에서 독립적으로 작동할 수 있다. 로봇(6130)들은 작업물을 제 1 카트(6140), 제 2 카트(6140A) 및 인터페이스(6110) 사이에서 전달할 수 있다. 일 실시예에서, 프로세스 셀들중 하나 또는 그 이상을 담당하는 로봇(8030)들은 터널(6150)을 가로질러 도달하도록 구성될 수 있어서 작업물들이 카트(6140A, 6140B)들중 어느 것으로부터도 집어올려질 수 있거나 또는 어느 것을 향하여서도 배치될 수 있다. 다수의 작업물 취급 진공 모듈들은 작업물을 카트(6140, 6140A)들과 그들의 개별적인 프로세스 셀들 사이에서 움직일 수 있다. 도 80 의 실시예는 단일 경로 또는 2 중의 조정된 이송 카트들을 가진 실시예에서 그러한 것보다 빠른 작업물의 전달을 프로세스 셀들 사이에서 허용한다. 다른 국면에서, 경로(8010,8011)들은 재료 취급에서의 융통성을 증가시키도록 각각의 카트(6140,6140A)가 경로(8010,8011) 사이에서 전환되는 것을 허용하는 교환부 또는 교차부를 포함할 수 있다. 하나 이상의 격리 밸브들은 터널(6150)의 다양한 세그먼트(segment)들을 격리시키도록 제공될 수 있다.
도 81 은 진공 터널 안에서 2 중의 수직으로 대향하는 독립적인 이송 카트들의 측면도를 도시한다. 도 81 의 실시예에서 터널(6150)은 2 개의 이송 카트(6140)들을 감싸는데, 이송 카트들은 레일웨이(railway) 또는 부양 시스템(8130)상에서 주행한다. 로봇(6130)은 (로드 락 또는 장치 전방 단부 모듈과 같은) 인터페이스(7410)와 이송 카트(8110) 사이에서 작업물을 로딩하고 언로딩하기 위하여 격리 밸브(7410)를 통하여 작업물들에 접근할 수 있다. 마찬가지 방식으로, 전달 로봇(미도시)들은 작업물을 카트(8110) 및 프로세스 셀(8120) 사이에서 전달할 수 있다. 전달 로봇(6130)은 로봇 리프트(8140) 또는 다른 Z 축 콘트롤러의 이용을 통하여 수직으로 조절 가능하여 상이한 카트 레벨들 사이에서의 작업물 전달을 용이하게 한다.
도 82 는 작업물 취급을 위한 전달 로봇들을 구비하는 프로세싱 시스템에서 로봇 아암을 가진 이송 카트의 실시예를 도시한다. 전달 로봇(6130,6130A)들은 카트 로봇(8120)과 조정되어 작업물의 취급을 용이하게 할 수 있다. 하나 또는 그 이상의 진공 연장부(7910)들이 제공되어 인접한 프로세스 셀들을 물리적으로 수용할 수 있다.
도 83 은 2 중의 독립적인 이송 터널(6150)을 가진 반도체 제조 시스템을 도시한다. 각각의 터널은 이송 카트(6140)를 구비할 수 있다. 도 83 의 실시예에서, 수직 움직임 성능을 가진 전달 로봇(8310)은 하부 터널 안의 이송 카트, 상부 터널 안의 이송 카트 및, 로드 락(1410) 사이에서 작업물을 전달할 수 있다. 마찬가지로, 전달 로봇(미도시)들은 상부 카트(6140), 하부 카트(6140) 및 프로세스 셀(8120)들 사이에서 작업물을 전달할 수 있다.
도 84 는 도 83 에 도시된 실시예의 대안의 실시예로서, 여기에서 작업물 엘리베이터(8410)는 하부 터널로부터 상부 터널로 작업물을 움직이도록 이용된다. 더욱이, 전달 로봇(6130)은 작업물 엘리베이터(8410)와 이송 카트(6140) 사이에서 작업물을 전달하도록 각각의 터널(6150)과 관련될 수 있다. 또한, 작업물 엘리베이터(8410)와 로드 락(1410) 사이의 작업물 전달을 용이하게 하도록 작업물 엘리베이터(8410)와 로드 락(1410) 사이에 전달 카트(6130)가 필요할 수 있다.
도 85 는 프로그-레그 유형 로봇들을 이용하는 터널 시스템의 실시예를 도시한다. 프로그-레그 유형 로봇은 주요 작업물 취급 전달 로봇일 수 있다. 전달 로봇(8510)은 작업물을 인터페이스(6110)로부터 카트(6140)로 전달하도록 이용될 수 있고, 완전하게 수축된 프로그-레그 로봇으로서 도시되어 있다. 전달 로봇(8520)은 또한 수축될 수도 있고 터널(6150)의 우측에서 클러스터 셀의 구성으로 도시되어 있다. 시스템내의 추가적인 로봇들이 프로그-레그 로봇일 수 있는데, 전체적으로 터널(6150)의 좌측에서 선형의 프로세싱 배치로 도시된 바와 같다. 선형의 프로세싱 그룹에서, 전달 로봇(8530)이 프로세스 챔버 안으로 연장될 수 있는 반면에, 전달 로봇(8540)은 전달 로봇(8550)을 향하여 연장되고, 이것은 양쪽의 관련된 프로세스 챔버들을 향해 동시에 부분적으로 연장된 2 중 프로그-레그 로봇으로서 도시된다.
도 86 은 "버킷-브리게이드(bucket-brigade)"(8610) 선형 그룹, 웨이퍼 이송 셔틀 시스템(8620) 및 통상적인 클러스터 툴 시스템(8630)의 통합 조직의 실시예를 도시한다. 보다 일반적으로, 통상적인 클러스터 툴(8630), 선형의 "버킷-브리게이드" 시스템(8610) 및 셔틀 시스템(8620)들의 그 어떤 조합이라도 가능하다. 일 적용예에서, 클러스터 툴상의 짧은 프로세스들은 버킷 브리게이드 안의 긴 프로세스들과 조합될 수 있어서 시스템 내의 툴 마다의 이용성을 향상시킨다.
반도체 취급 및 프로세싱 하드웨어의 다양한 구성들이 설명되었지만, 바닥 공간의 이용을 감소시키고 관련 프로세싱 그룹들 사이의 거리를 짧게 하도록 다양한 다른 변형들이 가능하다는 점이 이해될 것이다. 예를 들어, 진공 이송 시스템들은 바닥 아래에서, 벽 뒤에서, 상공의 레일에서 또는 다른 위치들에서 유용하게 전개될 수 있어서 제조 설비의 배치를 향상시키는데, 예를 들어 도보 통행 또는 추가적인 기계류를 위한 바닥 공간을 제거함으로써 그러하다. 일반적으로, 이러한 실시예들은 프로세싱 모듈들 사이에서 웨이퍼들 또는 다른 작업물들을 전달하거나 로딩할 때 로봇 아암들 및 다른 취급 장치와 조합된 수직 리프트들을 채용할 수 있다.
도 87 은 웨이퍼 제조에서 이용되는 통상적인 로딩/언로딩 시스템을 도시한다. 오버헤드 트랙(ovehead track, 8720)은 작업물을 가진 카트(8704)를 웨이퍼 전방 개방 통합 포드(wafer Front Opening Unified Pod; FOUP)로 전달할 수 있으며, 이것은 로드 지점(load point, 8708) 및 장치 전방 단부 모듈(equipment front end module, EFEM, 8710)을 구비할 수 있다. 로드 락(14010)은 웨이퍼들을 FOUP(8708)로부터 하나 또는 그 이상의 프로세싱 모듈들로 전달하도록 채용될 수 있는데, 이것은 예를 들어 도 87 에 도시된 작업물 취급 진공 모듈(6130)들을 이용한다. 간섭 진공 모듈(4010)들을 가진 다리(pedestal, 10110)들에 의해 지지된 복수개의 작업물 취급 진공 모듈들은 반도체 진공 프로세싱 시스템으로서 구성될 수 있다. 작업물들은 카세트(8781) 안에서 전달될 수 있는데, 카트(8704)는 엘리베이터 또는 수직 연장부(8720)를 이용하여 카세트를 FOUP(8708)로 내릴 수 있다.
도 88 은 진공 터널(6150) 안에 있는 이송 카트(6140)가 공장의 바닥 아래에 설치되어 있는 향상된 웨이퍼 취급 설비를 도시한다. 수직 리프트(8810)는 웨이퍼들 또는 하나 이상의 웨이퍼들을 운반하는 카세트를 프로세싱 레벨로 움직이도록 채용될 수 있다. 단일 터널(6150) 안에 있는 단일의 카트(6140)가 도시되었지만, 임의 개수의 터널(6150) 및/또는 카트(6140)들이 리프터(lifter, 8810)와 교차될 수 있으며, 리프터는 웨이퍼들을 저부 접근 로드 락(14010)으로 전달한다는 점이 이해될 것이다.
도 89 는 오버헤드 카트(6140) 및 진공 터널 시스템(6150)의 실시예를 도시한다. 이러한 시스템은 위에 설명된 그 어떤 배치들과도 이용될 수 있다. 도 89 에 도시된 구성은 하나 또는 그 이상의 웨이퍼들을 터널(6510)로부터 로드 락(14010)으로 운반하는 카트(6140)의 전달을 용이하게 한다. 그러나, 일반적으로, 리프터(8810)는 웨이퍼 및/또는 카트들을 (프로세싱 레벨에 있는) 상부 접근 로드 락으로부터 오버헤드 진공 터널(6150)로 움직이도록 채용될 수 있으며, 오버헤드 진공 터널에서 카트(6140)는 작업물을 레일 시스템과 같은 이송 시스템을 따라서 이송시킬 수 있다. 일 실시예에서, 리프터(미도시)의 구동 요소들은 프로세싱 레벨의 아래에 설치될 수 있거나 (예를 들어, 바닥에 또는 바닥 아래에 설치될 수 있거나), 또는 프로세싱 레벨 위에 설치될 수 있다. 프로세싱 레벨 아래에 리프터의 기계적인 부분을 전개시키는 것은 리프터에 의해 운반되는 웨이퍼들에 떨어질 수 있는 입자들의 수 및/또는 크기를 유리하게 감소시킬 수 있다.
도 90 은 2 개의 프로세싱 그룹들을 구비하는 반도체 진공 프로세싱 시스템을 도시하는데, 예를 들어 아래의 프로세싱 레벨 터널(6150)에 의해 상호 연결된 선형 프로세싱 그룹들과 같은 것이다. 터널(6150)은 위에서 설명된 진공 터널 시스템들의 그 어느 것이라도 포함할 수 있고, 예를 들어, 공장 바닥 아래에 전개될 수 있다. 터널(6150)은 먼 거리에 의해 분리된 프로세싱 모듈들의 그룹들을 연결시킬 수 있고, 예를 들어, 저장 영역들, 스위치들, 분류 시스템들등을 제공함으로써 상호 연결된 시스템의 취급 성능들을 향상시킬 수 있다. 프로세싱 그룹들은 프로세스 챔버들, 로드 락, 작업물 취급 진공 모듈(6130), 진공 모듈(4010); 다기능 모듈, 바이패스 열 조절 모듈, 리소그래피, 계측(metrology), 중간 진입 로드 락, 진공 시스템의 도달 거리를 연장시키기 위한 진공 터널 연장부 및, 광범위의 반도체 프로세싱 관련 기능들을 포함할 수 있다. 프로세싱 그룹들은 다리(pedestal)에 의해 지지되는 모듈들도 구비할 수 있다. 터널(6150) 및 카트(6140)를 구비하는 하나 또는 그 이상의 프로세싱 그룹들은 소프트웨어 프로그램을 수행하는 콤퓨터 설비와 같은, 콘트롤러에 의해 제어될 수 있다.
도 91 은 오버헤드 터널 네트워크(overhead tunnel network)에 의해 상호 연결된 2 개의 프로세싱 그룹들을 도시한다. 위에서 설명된 진공 터널 시스템들중 그 어느 것이라도 포함할 수 있는 터널 네트워크(9102)는 예를 들어 공장 바닥 위의 제 2 바닥에 전개될 수 있거나, 또는 공장 천정으로부터 매달릴 수 있다. 터널 네트워크(9102)는 먼 거리로 분리된 프로세싱 모듈들의 그룹들을 연결시킬 수 있고, 예를 들어 저장 영역들, 스위치들, 분류 시스템들등을 제공함으로써 상호 연결된 시스템의 취급 성능들을 향상시킬 수 있다.
도 92 는 계측(metrology) 또는 리소그래피 하드웨어를 공유하기 위한 시스템을 도시한다. 도시된 바와 같이, 여기에 설명된 터널 네트워크 및 다른 모듈 상호 연결 시스템은 예를 들어 공유된 계측 또는 리소그래피 자원(resources, 9250)들을 통합할 수 있는데, 여기에서 진공에 기초한 카트 시스템은 샘플 웨이퍼를 흐름으로부터 제거하고 복귀시킨다. 일반적으로 웨이퍼들은 하나의 장치 전방 단부 모듈(9230) 또는 다른 대기 인터페이스 진입 스테이션으로부터 다른 장치 전방 단부 모듈(9204)로 "흐른다(flow)". 만약 프로세스 내부 검사가 특정의 프로세스 파라미터들을 점검하도록 소망스럽다면, 그러한 검사는 인터-모듈 버퍼(inter-module buffer, 9207)과 같은 위치에서 수행될 수 있다. 본 시스템에는 몇개의 그러한 중간 위치들이 있으며 그곳에서 그러한 검사가 수행될 수 있다. 그러나, 일부 측정 시스템들은 물리적으로 상당히 클 수 있고, 크기 때문에 인터-모듈 버퍼(9207)와 같은 모듈 상호 연결부들을 수용하는 것이 곤란할 수 있다.
그러한 상황에서 여기에 전체적으로 개시된 바와 같은 진공 카트 및 터널 시스템을 제공하여 하나 또는 그 이상의 웨이퍼들을 진공하의 흐름으로부터 독립형 계측 또는 리소그래피 시스템(9205)으로 제거하는 것이 소망스러울 수 있다. 카트(9208)는 웨이퍼를 수용하는 프로세스 모듈들 사이의 위치(9201)에 있는 흐름내에 위치될 수 있다. 특정의 위치가 도 92 에서 위치(9201)에 식별되었지만, 시스템(9200)내의 임의 개수의 위치들이 소망의 프로세스 흐름, 성능, 물리적인 공간의 제한등에 따라서 유사하게 채용될 수 있다는 점이 이해될 것이다. 소프트웨어 또는 셋업 로직(setup logic)은 어느 웨이퍼가 위치(9201)에서 흐름으로부터 제거될지를 결정할 수 있다. 다른 실시예들에서, 카트는 시스템(9200)내의 모듈(9202)과 독킹(docking)될 수 있는데, 그곳에서 웨이퍼 취급 로봇은 계측 또는 리소그래피 시스템(9205)으로의 이송을 위하여 웨이퍼를 카트상에 로딩시킬 수 있다.
도 92 에 도시된 바와 같이, 계측 또는 리소그래피 시스템(9205)은 하나 이상의 작업물 프로세싱 시스템에 의하여 공유될 수 있다. 일 예에서, 제 1 로딩 시스템(9203)으로부터 비롯된 웨이퍼는 제 2 시스템(9206)으로부터 비롯된 웨이퍼들에 의해 접근될 수 있는 계측 시스템(9205)에서 평가될 수 있다. 2 개의 선형 시스템들이 도시되었지만, 프로세싱 모듈들의 다른 배치들은 도 92 를 참조하여 설명된 일반적인 원리에 따라서 계측 또는 리소그래피 시스템들과 같은 공유 자원들을 유사하게 채용할 수 있다는 점이 이해될 것이다. 예를 들어, 만곡부, 스위치등을 가진 다양한 레일 구성을 이용하여, 시스템은 임의 개수의 프로세싱 시스템들을 위한 계측 또는 리소그래피 시스템 및/또는 다른 공유 자원들을 공통의 위치에 집중시키도록 구성될 수 있다. 그러한 시스템은 계측 또는 리소그래피를 다수의 위치들 및 다수의 시스템들로부터의 웨이퍼들에게 적용시킬 수 있다. 상이한 프로세싱 시간을 가진 프로세스들과 관련하여 위에서 설명된 바와 같이, 단일의 계측 또는 리소그래피 시스템은 여러 프로세스 셀들 또는 시스템 사이에 공유될 수 있어서 반도체 제조 시스템에서 계측 또는 리소그래피 자원들의 높은 이용성을 달성한다.
위에서 지적된 바와 같이, 여기에 설명된 카트 및 작업물 취급 진공 모듈 시스템들은 작업물 취급 진공 모듈(6130)과 일직선상으로 또는 그에 인접하게 배치될 수 있는 간단한 진공 튜브 연장부들과 조합될 수 있어서, 상이한 프로세싱 하드웨어의 구성 및 상호 연결에서 우수한 수준의 융통성을 용이하게 한다. 도 93 을 참조하면, 반도체 작업물 프로세싱 시스템은 카트, 터널, EFEM, 복수개의 작업물 취급 진공 모듈들, 다양한 프로세스 챔버들 및 진공 연장 터널(9304)을 포함할 수 있다.
더욱이, 하나 또는 그 이상의 링크 모듈(9302,9308)들이 상기 하드웨어의 어느 것이라도 서로 연결하도록 제공될 수 있다. (진공 연장부와 같은 방식으로) 하드웨어 간격을 수용하는 것에 더하여, 모듈(9302,9308)은 반도체 프로세싱 시스템과 관련된 다양한 보충 기능들을 제공할 수 있다. 예를 들어, 링크 모듈(9308)은 웨이퍼 프로세스 흐름에서 버퍼로서 작동하는 저장부를 제공할 수 있다. 링크 모듈(9302)은 웨이퍼들의 계측, 측정 또는 시험을 제공할 수 있다. 링크 모듈(9308)은 작업물에 대한 작업자 접근을 제공할 수 있는데, 그 경우에 링크 모듈(9308)은 격리 밸브 및 진공 펌프를 구비할 수 있다. 링크 모듈(9302,9308)은 프로세스들 사이에서 웨이퍼를 냉각 또는 가열하는 것과 같은 것에 의하여, 열 관리를 제공할 수 있다. 링크 모듈은 아래에서 설명되는 버퍼링 정렬기 장치에 의해 제공되는 것과 같이, 단일 및/또는 다중의 웨이퍼들에 대한 버퍼링 및/또는 정렬 용량을 제공할 수 있다. 버퍼링 정렬기(buffering aligner)와 관련하여, 링크 모듈에서의 이러한 이용은 오직 예시적인 것이며, 버퍼링 정렬은, 장치 전방 단부 모듈에서와 같이, 프로세스내의 다른 지점들에서 유용하게 또 채용될 수 있거나 또는 대신 채용될 수 있다. 예를 들어, 만약 프로세스 챔버들이 2, 3, 4, 또는 5 또는 더 많은 웨이퍼들의 소량의 배취(mini-batch)에 있는 웨이퍼들을 프로세싱하면, 정렬 시간이 더 큰 프로세스에서 병목이 되는 것을 방지하도록 정렬기에서 버퍼링 시스템을 채용하는 것이 효율적일 수 있다. 일단 적절한 수의 웨이퍼들이 EFEM의 버퍼에서 준비되었다면, 대기 로봇은 이들 (정렬된) 웨이퍼들이 로드 락으로 배취 전달(batch transfer)되는 것에 영향을 미칠 수 있다.
링크 모듈은 바이패스 성능들을 제공할 수 있어서, 프로세스 모듈들 사이의 경로를 2 개 또는 그 이상의 웨이퍼들이 가로지르는 것을 허용한다. 보다 일반적으로, 링크 모듈(9302,9308)은 프로세싱 툴 사이의 진공 환경에서 유용하게 수행될 수 있는 그 어떤 기능이라도 제공할 수 있으며, 이것은 위에 설명된 기능 및 그들의 조합을 포함한다.
현저한 장점으로서, 그러한 다기능 링크 모듈들은 추가적인 프로세싱 모듈들에 대한 필요성을 감소시킬 수 있고, 프로세싱 모듈들 사이의 대기 시간을 다양한 방법으로 감소시킬 수 있다. 예를 들어, 바이패스 성능은 다른 웨이퍼를 더하기 전에 클러스터 또는 선형 프로세싱 모듈로부터의 하나의 웨이퍼를 완전히 제거할 필요성을 경감시키는데, 왜냐하면 바이패스 모듈 안에서 충돌하는 경로들이 해소될 수 있기 때문이다. 다른 예로서, 링크 모듈들 안의 11J1al 관리는 일단 웨이퍼가 특정의 툴에 도달하였다면 가열 또는 냉각을 기다릴 필요성을 감소시킬 수 있다. 다른 장점들은 당업자에게 명백할 것이다.
보다 일반적으로, 여기에서 설명된 시스템 및 방법들을 이용함으로써, 작업물은 프로세스 툴 사이의 이송 및/또는 대기 시간 동안에 프로세싱될 수 있다. 이것은 이송 카트(6150)상의 프로세싱, 터널(6150) 안에서의 프로세싱, 버퍼 안에서의 프로세싱, 로드 락 안에서의 프로세싱 또는 프로세스 툴 사이의 웨이퍼 취급 동안 임의의 다른 지점에서의 프로세싱뿐만 아니라, 위에서 설명된 링크 모듈(9302,9308)에서의 프로세싱을 포함할 수 있다.
도 94 는 열 바이패스 조절 진공 모듈을 도시한다. 이것은 반도체 제조 프로세스의 프로세스 단계들 사이에서 작업물을 가열 또는 냉각시키는데 종종 소망스럽다. 이것은 또한 다른 작업물들이, 가열되거나 냉각되고 있는 작업물을 동시에 우회할 수 있도록 하는데 소망스러울 수 있다. 작업물을 냉각 또는 가열하는 것은 대략 20 내지 60 초 또는 그 이상이 걸릴 수 있기 때문에, 냉각 또는 가열이 작업물 흐름을 차단하지 않도록 다른 작업물의 전달을 용이하게 하는 것이 유리하다. 다른 작업물의 온도 조절을 용이하게 하면서 로봇들 사이에서 작업물들이 교환될 수 있는 진공 모듈은 작업물들의 일시적인 저장을 허용할 수도 있다.
그러한 진공 모듈은, 가열 또는 냉각 프로세스 동안에 다른 작업물들의 조정된 통과를 허용하면서, 작업물이 다음의 프로세스 단계로 전달되기 전에 천이부에서 작업물을 포착하고 열적으로 조절하도록 환경 밀봉 가능한 엔크로져(enclosure)를 포함할 수 있다.
진공 반도체 프로세싱 시스템에서 프로세스 챔버와 인접하게 그러한 진공 챔버를 구비함으로써, 향상된 프로세싱을 위한 프로세스 챔버의 특정한 필요성을 충족시키도록 작업물이 가열되거나 냉각될 수 있도록 하는 것이 유리할 수 있다. 따라서, 그러한 진공 모듈을 구비하고 이용하는 것은, 제 1 작업물이 프로세싱되고 있을 때의 온도로 제 2 작업물을 가져가는 것을 허용함으로써, 시스템 안의 프로세싱 챔버들의 효과적인 이용을 용이하게 할 수 있다.
더욱이, 작업물은 그것이 프로세스 챔버로부터 꺼내진 이후에 즉시 주위 온도로 복귀될 수 있으며, 그에 의하여 다른 작업물을 프로세스 챔버로 전달하기 전에 작업물이 냉각되는 동안의 그 어떤 대기 시간이라도 제거한다.
또한 융통성, 이용성, 프로세스 효율등을 더욱 용이하게 하도록 반도체 프로세싱 시스템 안에서 카트/터널 시스템들과 조합된 바이패스 열 조절기(bypass thermal adjuster)를 구비하는 것이 유익할 수도 있다. 본원에 개시된 것은 작업물 취급 진공 모듈, 카트(6140), 터널(6150) 및 다른 프로세스 및 기능 모듈들과 조합된 바이패스 열 조절기의 유리한 구성들에 대한 예이다.
도 94 를 참조하면, 작업물 취급 진공 모듈(6130)의 단부 작동체는 작업물을 열적으로 조절할 목적으로 작업물을 열 조절 버퍼 모듈(9402)로 전달한다.
도 94 는 작업물을 지지 클립(suppor clip, 9494)상에 배치하는 작업물 취급 진공 모듈(6103)을 더 도시하는데, 지지 클립들은 가동 엔크로져의 상부 내측 표면에 장착되고, 엔크로져 안에 중심이 맞춰진 작업물의 가장자리를 지지하는 핑거(finger) 또는 유사한 것을 구비할 수 있다. 가동 엔크로져는 2 개의 부분들로 이루어지는데, 엔크로져 저부(9410) 및 엔크로져 상부(9412)로 이루어진다. 엔크로져 상부(9412)가 저부(9410)와 접촉되게 내려질 때, 지지 클립(9404)들에 의해 지지되는 작업물은 엔크로져(9408) 밖의 환경으로부터 완전하게 격리된다. 가동 엔크로져가 폐쇄되었을 때, 바이패스 열 조절기(9402)는 모듈을 통한 제 2 작업물의 전달을 용이하게 한다.
진공 연장부 및 연결 모듈들과 같은 다른 연결 하드웨어뿐만 아니라, 터널 및 카트 시스템들의 다양한 실시예들이 위에서 설명되었다. 일반적으로, 이들 시스템들은 상이한 업자들로부터의 상이한 프로세싱 시간 및 다른 특성들을 가진 반도체 프로세싱 툴의 모듈화된 사용 및 재사용을 지원한다. 일 양상에서, 그러한 시스템들은 변화를 통하여 더욱 향상될 수 있는데, 상기 변화는 상이한 터널 형상(곡선, L, U, S 및/또는 T 형상 터널) 및, 2 개, 3 개, 4 개 또는 더 많은 장치 전방 단부 모듈들을 지원하는 형상들과 같은 것이다. 다른 양상에서, 추가적인 하드웨어가 채용되어 반도체 제조 시스템들에서의 설계 및 용도에서의 융통성을 더 제공할 수 있다. 다음의 설명은 여기에 설명된 시스템들과 이용되기에 적절한 다수의 추가적인 구성 요소들을 식별한다.
도 95 를 참조하면, 반도체 작업물 취급 로봇(6130)은 진공 포트를 구성 가능 진공 모듈(configurable vacuum module, 9502)로 연결할 수 있다. 구성 가능 진공 모듈(9502)은 프로세싱 동안 이용되는 개스, 물, 공기 및 전기와 같은 이용물을 위한 포트(9504)들을 구비할 수 있다.
구성 가능 진공 모듈(9502)은 제거 가능한 저부 플레이트를 구비할 수 있으며, 이것은 취급 로봇(6130)이 작업물을 부착된 프로세싱 모듈로 전달하기 전에 작업물을 미리 가열하기 위한 작업물 히터를 구비할 수 있다.
구성 가능 진공 모듈(9502)은 복수개의 작업물들을 위한 저장부를 구비할 수 있다. 일 예로서, 작업물들은 취급 로봇(6130)에 의해 구성 가능 진공 모듈(9502) 안의 회전 플랫폼상에 배치될 수 있다. 작업물들의 최대 수는 각각의 작업물의 크기 및 회전 플랫폼의 크기에 의해 결정될 수 있다. 대안으로서, 구성 가능 진공 모듈(9502)은 반도체 작업물들을 지지하도록 적합화된 표면을 구비할 수 있는데, 이것은 복수개의 작업물들이 표면상에 겹치지 않는 배치로 놓여질 수 있을 정도로 충분하게 큰 표면을 가진다. 구성 가능 진공 모듈(9502) 안의 저장은 복수개의 작업물 지지 선반들을 가진 작업물 엘리베이터에 의해 가능할 수 있으며, 엘리베이터는 취급 로봇(6130)에 의해 접근되는 특정 선반의 선택을 위한 높이를 조절하도록 제어될 수 있다.
구성 가능 진공 모듈(9502)은 작업물 둘레의 계량(metric)을 수집할 목적으로 계측 장치(metrology device)를 구비할 수 있다. 일 예로서, 광학 센서와 같은 계측 장치는 구성 가능 진공 모듈(9502) 안의 작업물의 존재를 검출하고 기계 비젼 시스템에 의한 작업물의 자동화된 검사를 개시하도록 이용될 수 있다. 그러한 계량은 관련 프로세스 모듈들에서 작업물상에 수행되고 있는 제조 프로세스들의 품질 및 제어를 유지 및 향상시키는데 유용하다.
구성 가능 진공 모듈(9502)은 초고진공 작동을 지원할 수 있는 인터페이스 포트(9504)들을 더 구비할 수 있다. 초고진공(ultra high vacuum)은 구성 가능 진공 모듈(9502)에 의해 달성될 수 있는데, 구성 가능 진공 모듈(9502)은 초 고진공 환경을 지원하는 것으로 알려진 스테인레스 스틸과 같은 재료로 구성된다. 그러한 환경은 트레이스 개스(trace gases)를 환경에서 제거하는데 유용하고 환경내의 재료들의 기체 배출(outgassing)에 의해 야기된 개스의 도입을 감소시키는데 유용할 수 있다.
구성 가능 진공 모듈(9502)는 진공 프로세싱 환경을 위한 로드 락 기능을 제공할 수 있다. 그러한 기능은, 작업물을 구성 가능 진공 모듈(9502) 안에 밀봉하고 진공 환경을 밀봉된 작업물 주위에 발생시킴으로써, 사용자에 의해 공급된 작업물들이 진공 환경으로 도입되는 것에 의한 진공 프로세싱 환경과 사용자 주위 환경 사이의 적업물 교환에 유용할 수 있다.
구성 가능 진공 모듈(9502)은 급속한 열적 어닐링(annealing) 또는 현장의 웨이퍼 세정과 같은 작업물의 제조 프로세싱을 지원할 수 있다. 급속한 열적 어닐링은 증착된 필름의 치밀화(densifying) 및, 도펀트의 활성화와 같은 반도체 작업물에서의 특정 변화를 달성하기 위한 반도체 진공 프로세싱 환경에서 유리할 수 있다. 현장에서의 웨이퍼 세정은 챔버들 안에서 프로세싱하는 동안 침착된 입자들 또는 잔류물을 웨이퍼 표면 또는 가장자리로부터 제거하는데 필요할 수 있다.
구성 가능 진공 모듈(9502)은 반도체 제조 환경의 프로세싱 툴 사이에서 이용되기에 적절한 그 어떤 다른 성능들뿐만 아니라, 위의 그 어느 것의 조합이라도 포함할 수 있다.
일반적으로, 구성 가능 진공 모듈(9502)은 소망의 기능들과 관련된 하드웨어의 추가 또는 제거를 통하여 제조 현장에서 구성될 수 있다. 따라서, 예를 들어, 온도 센서들 및 가열 요소는 제거될 수 있고, 웨이퍼 저장을 위한 다수의 선반들로 대체될 수 있다. 고진공에 적절한 재료로부터의 구성과 같은 다른 양상들은 모듈(9502)의 제조 동안에 구현될 수 있다. 일반적으로, 여기에 설명된 구성 가능 진공 모듈(9502)은 모듈 하드웨어의 제거 가능성/교체 가능성을 특징으로 하거나, 또는 다수의 성능(예를 들어, 가열, 냉각, 정렬, 온도 감지, 세정, 계측, 어닐링, 스캐닝, 식별, 이동, 저장등)들을 제공하는 하드웨어의 조합을 이용하여 특정의 프로세스에 대한 적합화를 특징으로 한다.
위에 설명된 기능들은 터널 안의 링크 모듈로서, 또는 카트나 터널과 결합되어, 위에 설명된 카트 및 터널 시스템내에서 직접적을 구현될 수 있어서, 웨이퍼의 이송 동안에 다양한 프로세싱 기능들을 제공한다. 여기에 설명된 바와 같이, 작업물 진공 모듈들 및 카트/터널들을 조합하는 것은, 큰 거리로 분리된 국지의 프로세싱 그룹들의 상호 연결을 용이하게 함으로써, 그리고 인접한 대형 프로세싱 시스템들의 상호 연결을 용이하게 함으로써, 반도체 프로세싱 시스템에 더 큰 융통성을 제공한다. 다기능 모듈(9502)을 카트/터널 시스템과 조합하는 것은 이송 시간의 생산적인 이용을 용이하게 하여 더욱 급속한 웨이퍼 프로세싱을 달성하게 할 수 있다.
도 96 을 참조하면, 진공 연장 터널(9602)이 더욱 상세하게 설명되어 있다. 진공 연장 터널(9602)은 여기에서 진공 튜브 또는 진공 연장부로서 지칭되며, 반도체 진공 프로세싱 시스템에 있는 다양한 지점들에서 이용되어 진공 모듈들 사이의 연속적인 진공 연결을 제공할 수 있다. 진공 연장 터널(9602)은 실질적으로 사각형의 형상을 가질 수 있으며, 인터페이스 포트들이 하나 이상의 측면들에 있다. 각각의 인터페이스 포트는 다양한 진공 모듈로의 연결을 위한 진공 밀봉 가능한 산업 표준 인터페이스를 제공할 수 있다. 실시예들에서, 격리 밸브(4006)가 각각의 인터페이스 포트에 연결되어 진공 연장 터널(9602)과 연결된 진공 모듈 사이의 진공 격리 보장 수단을 제공할 수 있다.
도 96 에 도시된 바와 같이, 진공 연장 터널(9602)은 반도체 프로세싱 시스템에서 선형의 연장부를 제공하여, 변화하는 크기의 프로세스 챔버들의 이용을 용이하게 한다. 도 96 의 예로서, 프로세스 챔버(2002R)보다 실질적으로 큰 프로세스 챔버(2002L)는, 만약 그것이 진공 연장 터널(9602)을 사용하지 않고 연결되었다면 장치 전방 단부 모듈(34002)와 간섭될 것이다. 진공 연장 터널(9602)을 사용하는 것의 추가적인 장점은, 장치의 인접한 부분들 사이에서 웨이퍼 이송을 제공하는 관련 로봇 진공 챔버(4012)의 크기를 증가시키지 않으면서, 큰 프로세스 챔버가 사용될 수 있다는 점이다.
진공 터널 연장부(9602)는 진공 모듈들 사이의 정비 접근(service access)을 만들도록 로드 락(14010)과 함께 이용될 수도 있다. 도 96 에 도시된 2 가지 그러한 예는, 프로세스 챔버들의 상부와 하부의 쌍(pair) 사이의 정비 접근 및, 장치 전방 단부 모듈(34002)과 프로세스 챔버들의 상부 쌍 사이의 정비 접근을 포함한다. 정비 접근은 사용자가 프로세스 장치에 근접하게 접근하는 것을 필요로 하고 아마도 작업물 취급 장치에 직접적인 접근을 달성하는 것을 필요로 한다. 진공 터널 연장부(9602) 없이는 사용자가 정비에 충분할 정도로 가까이 쉽게 접근할 수 없었다.
진공 터널 연장부(9602)는 시스템 안의 다양한 다른 위치들에 채용될 수 있다. 예를 들어, 진공 터널 연장부(9602)는 선형 프로세싱 시스템, 클러스터 툴, 공유된 계측 시스템 또는 장치 전방 단부 모듈을 카트 및 터널 이송 시스템에 연결하도록 채용될 수 있다. 진공 터널 연장부(9602)는 반도체 프로세싱 시스템들의 다양한 배치 형상들의 형성을 용이하게 할 수 있다.
보다 일반적으로 상기 시스템들의 그 어느 것이라도 조합되어 이용될 수 있다. 예를 들어, 이송 카트(6140)와 조합된 작업물 취급 진공 모듈에 의하여 제공되는 것과 같은, 작업물 이송을 포함하는 선형 프로세싱 시스템은 바이패스 열 조절기와 관련될 수 있다. 작업물 취급 진공 모듈은 바이패스 열 조절기로부터/바이패스 열 조절기로 작업물을 전달하는 것을 용이하게 한다. 이송 카트(6140)와 조합된 작업물 취급 진공 모듈에 의하여 제공되는 것과 같은, 작업물 이송을 포함하는 선형 프로세싱 시스템은 웨이퍼 중심 찾기 방법 또는 시스템과 관련될 수 있다. 작업물 취급 진공 모듈은 작업물 취급 진공 모듈에 의해 취급되는 작업물의 데이터 수집을 용이하게 하여 웨이퍼 중심 찾기 방법 및 시스템을 지원할 수 있다. 작업물 취급 진공 모듈은 복수개의 작업물 센서들을 구비하여 웨이퍼 중심 찾기를 지원할 수 있다. 웨이퍼 센터 찾기는 작업물이 이송 카트(6140)에 의해 이송되는 동안에 수행될 수도 있다. 일 실시예에서, 웨이퍼 중심 찾기를 용이하게 하도록 적합화된 작업물 취급 진공 모듈은 이송 카트(6140)에 조립될 수 있어서 작업물 취급 진공 모듈내에 유지된 웨이퍼/작업물이 이송중에 웨이퍼 찾기 프로세스를 겪을 수 있다.
이송 카트(6140)와 조합된 작업물 취급 진공 모듈에 의해 제공된 것과 같은, 작업물 이송을 포함하는 선형의 프로세싱 시스템은 프로세스 챔버와 관련될 수 있다. 작업물 취급 진공 모듈은 프로세스 챔버로/프로세스 챔버로부터 작업물의 전달을 용이하게 할 수 있다. 여기에서 설명된 바와 같이, 다양한 유형, 크기, 기능, 성능, 유형등의 프로세싱 챔버들은 하나 또는 그 이상의 이송 카트(6140)들과 조합될 수 있어서 반도체 프로세싱 시스템의 프로세싱 융통성을 용이하게 한다. 이송 카트(6140)와 조합된 작업물 취급 진공 모듈에 의해 제공되는 것과 같은, 작업물 이송을 포함하는 선형 프로세싱 시스템은 여기에 설명된 바와 같은 로드 락(10410)과 관련될 수 있다. 일 예에서 작업물 취급 진공 모듈은 로드 락과 이송 카트(6140) 사이의 작업물의 전달을 용이하게 할 수 있다. 이송 카트(6140)와 조합된 작업물 취급 진공 모듈에 의해 제공된 것과 같은, 작업물 이송을 포함하는 선형 프로세싱 시스템은 작업물 저장 및 취급 카세트와 관련될 수 있다. 작업물 취급 진공 모듈은 도 68 및 도 69 에 도시된 바와 같이 카세트로의/카세트로부터의 작업물의 전달을 용이하게 할 수 있다. 작업물 취급 진공 모듈은 제조 웨이퍼, 시험 웨이퍼, 캘리브레이션 웨이퍼, 세정 웨이퍼, 기구화된 웨이퍼(intrumented wafer), 웨이퍼 중심 찾기 고정구등과 같은 작업물을 작업물 저장부로/작업물 저장부로부터 전달할 수 있다.
이송 카트(6140)와 조합된 작업물 취급 진공 모듈에 의해 제공되는 것과 같은 작업물 이송을 포함하는 선형 프로세싱 시스템은 장치 전방 단부 모듈(6110)과 관련될 수 있다. 작업물 취급 진공 모듈은 장치 전방 단부 모듈(6110)로/장치 전방 단부 모듈(6110)로부터 작업물의 전달을 용이하게 할 수 있다. 작업물 취급 진공 모듈은 2 개의 장치 전방 단부 모듈(6110)들 사이에서 하나 또는 그 이상의 작업물을 전달할 수 있는데, 여기에서 하나의 모듈은 입력 모듈이고 하나의 모듈은 출력 모듈이거나, 또는 모듈들중 하나는 중간 입구의 입력/출력 모듈이다. 이송 카트(6140)는 도 78 에 도시된 바와 같이 작업물 취급 진공 모듈을 통하여 장치 전방 단부 모듈(6110)과 관련될 수 있다. 도 78 의 작업물 취급 진공 모듈은 장치 전방 단부 모듈(6110)과 프로세스 챔버(2002), 다른 작업물 취급 진공 모듈 또는 이송 카트(6140) 사이에서 작업물을 전달할 수 있다. 도 78 에서 알 수 있는 바와 같이, 진공 터널(6150) 내부의 이송 카트(6140)들과 작업물 취급 진공 모듈들 및 장치 전방 단부 모듈(6110)들을 조합하는 것은 임의의 복잡하거나 또는 고도로 유연성이 있는 프로세싱 시스템들의 구성을 용이하게 할 수 있다.
이송 카트(6140)와 조합된 작업물 취급 진공 모듈에 의해 제공된 것과 같은 작업물 이송을 포함하는 선형 프로세싱 시스템은 작업물 엘리베이터와 관련될 수 있다. 작업물 취급 진공 모듈은 수직으로 분리된 작업물 취급 및/또는 프로세싱 시스템들 사이에서 하나 또는 그 이상의 작업물들을 이송시키기 위한 작업물 엘리베이트로/엘리베이터로부터 작업물의 전달을 용이하게 할 수 있다. 수직으로 분리된 진공 프로세싱 시스템들은 수직으로 분리된 작업물 복귀 레벨 및 프로세싱 레벨을 포함할 수 있다. 작업물 복귀 레벨은 진공 프로세싱 시스템의 상이한 위치로 하나 또는 그 이상의 작업물들을 이송시키도록 진공 터널 안에 작업물 이송 카트 또는 차량을 구비할 수 있다. 도 88 내지 도 91 은 작업물 취급 진공 모듈, 이송 카트(6140) 및, 리프터(8810)로 알려진 작업물 엘리베이터들을 포함하는 선형 프로세싱 시스템들의 예시적인 구성들을 도시한다.
이송 카트(6140)와 조합된 작업물 취급 진공 모듈에 의해 제공된 것과 같은, 작업물 이송을 포함하는 선형 프로세싱 시스템은 도 70 및 도 86 에 도시된 클러스터 시스템과 관련될 수 있다. 작업물 취급 진공 모듈은 클러스터 시스템으로/클러스터 시스템으로부터 작업물의 전달을 용이하게 할 수 있다. 작업물 취급 진공 모듈은 클러스터 프로세싱 셀과, 이송 카트(6140)를 포함하는 선형 프로세싱 시스템 사이의 작업물의 전달을 용이하게 할 수 있다. 작업물 취급 진공 모듈은 작업물 취급 로봇, 로드 락, 버퍼등과 같은 클러스터 시스템의 양상으로부터/클러스터 시스템의 양상으로 작업물을 전달할 수 있다. 작업물 취급 진공 모듈은 클러스터 프로세싱 시스템의 국면으로/클러스터 프로세싱 시스템의 국면으로부터 진공 연장 터널(9602)을 통하여 작업물을 전달할 수 있다.
작업물 취급 진공 모듈은 클러스터 시스템에 모듈 방식으로 연결될 수 있어서, 클러스터 프로세싱 시스템이 반도체 작업물들의 프로세싱을 제공할 수 있는 반면에 작업물 취급 진공 모듈은 작업물들의 취급을 제공할 수 있다. 작업물 취급 진공 모듈은 다기능 모듈, 수동의 단일 작업물 버퍼, 수동의 다수 작업물 버퍼, 열 바이패스 어댑터, 버퍼링 정렬기(9700)등과 같은 버퍼 모듈을 통해 클러스터 시스템에 연결될 수 있다. 버퍼 모듈은 작업물 취급 진공 모듈과 클러스터 시스템 사이에서 전달되고 있는 작업물에 대한 일시적인 저장 설비를 제공할 수 있다. 클러스터 시스템의 로봇 콘트롤러는 작업물 취급 진공 모듈이 전달하도록 버퍼 모듈에 있는 작업물에 접근하거나 또는 작업물을 버퍼 모듈에 둘 수 있다. 복수개의 클러스터 시스템들이 하나의 작업물 취급 진공 모듈에 연결될 수 있어서 작업물 취급 진공 모듈은 하나의 클러스터 시스템으로부터 다른 클러스터 시스템으로의 전달을 용이하게 한다. 그러한 구성은 작업물들과 오퍼레이터의 교환을 위하여 로드 락(1401) 및/또는 장치 전방 단부 모듈(6110)을 포함할 수 있다. 작업물 취급 진공 모듈은 작업물 취급 진공 모듈에 의해 취급되는 작업물의 중심을 결정하기 위한 설비를 더 구비할 수 있어서, 작업물은 클러스터 시스템의 중심 기준에 정확하게 중심이 맞춰져서 클러스터 시스템으로 전달될 수 있다.
이송 카트(6140)와 조합된 작업물 취급 진공 모듈에 의해 제공되는 것과 같은 작업물 이송을 포함하는 선형 프로세싱 시스템은 다른 작업물 취급 진공 모듈들과 관련될 수 있다. 작업물 취급 진공 모듈은 다른 작업물 취급 진공 모듈로/다른 작업물 취급 모듈로부터 작업물 전달을 용이하게 할 수 있다.
이송 카트(6140)와 조합된 작업물 취급 진공 모듈에 의해 제공되는 것과 같은 작업물 이송을 포함하는 선형 프로세싱 시스템은 버퍼와 관련될 수 있다. 작업물 취급 진공 모듈은 버퍼로/버퍼로부터 작업물의 전달을 용이하게 할 수 있다. 버퍼는 프로세싱되기를 대기하는 작업물들의 유지(holding)를 더욱 용이하게 할 수 있다. 버퍼는 로봇 작업물 핸들러(handler)와 관련된 병목, 프로세싱 시간의 차이, 진공 환경 변화와 관련된 지연등을 감소시키는 것을 더욱 용이하게 할 수 있다.
이송 카트(6140)와 조합된 작업물 취급 진공 모듈에 의해 제공되는 것과 같은 작업물 이송을 포함하는 선형 프로세싱 시스템은 콘트롤러와 관련될 수 있다. 콘트롤러는 반도체 프로세싱 시스템의 제 1 섹션으로부터 시스템의 제 2 섹션으로의 작업물의 전달을 용이하게 하도록 작업물 취급 진공 모듈에 명령을 내릴 수 있다. 시스템의 제 1 섹션으로부터 제 2 섹션으로의 전달은 이송 카트(6140)를 이용함으로써 달성될 수 있다. 섹션은 버퍼, 버퍼링 정렬기(9700), 다른 작업물 취급 진공 모듈, 클러스터 시스템, 작업물 저장부, 작업물 엘리베이터, 장비 전방 단부 모듈, 로드 락, 프로세스 챔버, 진공 터널 연장부, 저 입자 벤트(low particle vent)를 포함하는 모듈, 다리(pedestal)를 포함하는 모듈, 모듈화된 유틸리티 공급 설비를 포함하는 모듈, 바이패스 열 조절기, 다기능 모듈, 로봇(예를 들어, 단일 아암, 2 중 아암, 2 중 단부 작동체, 프로그 레그 등), 다양하게 형상화된 프로세스 시스템들중 하나 또는 그 이상을 포함할 수 있다.
도 97 내지 도 100 을 참조하면, 작업물은 일시적으로 버터 모듈들 안에 저장될 수 있다. 버퍼 모듈은 예를 들어 2 개의 전달 로봇 모듈들 사이에 배치될 수 있어서 취급 및 처리를 용이하게 하거나, 또는 유사한 이유로 터널(6150)과 로봇 사이에 배치될 수 있다. 버퍼 모듈은 다수의 로보트들에 의해 그리고/또는 다수의 측부들로부터 접근될 수 있다. 버퍼 모듈은 복수개의 반도체 작업물들을 유지하는 성능을 가질 수 있다. 실시예들에서, 버퍼는 버퍼 안으로 배치되는 반도체 작업물의 정렬을 수행할 수도 있다. 그러한 버퍼는 버퍼 정렬기 모듈(9700)로서 지칭될 수 있으며, 그것의 예는 도 97 에 도시되어 있다. 버퍼 정렬기 모듈(9700)은 버퍼 작업물 홀더(9702), 정렬기 플랫폼(9704) 및, 정렬기 비젼 시스템(9708)을 포함할 수 잇다. 버퍼 작업물 홀더(9702)는 다수의 반도체 작업물(9710,9712,9714,9718)들을 한번에 유지할 수 있으며, 이것은 수직으로 적재될 수 있거나 또는 홀더(9702) 안에 다르게 배치될 수 있다. 실시예들에서, 정렬기 플랫폼(9704)은 단일의 반도체 작업물을 유지할 수 있으며, 정렬기 콘트롤러에 의해 결정되는 바로서 작업물을 소망의 정렬 위치로 회전시키거나 병진시킬 수 있다. 일단 반도체 작업물이 정렬기 플랫폼(9704)상에 배치되었다면 콘트롤러는 회전 또는 병진을 개시할 수 있으며, 정렬기 비젼 시스템(9708)에 의해 제공된 신호들에 기초하여 정지 위치를 결정할 수 있다.
정렬기 비젼 시스템(9708)은 반도체 작업물상의 노취 또는 다른 마킹(marking)을 감지할 수 있고, 콘트롤러는 작업물의 정확한 정렬을 결정하도록 노취를 이용할 수 있으며, 예를 들어 노취가 특정의 위치에 있을 때 작업물의 회전을 정지시키는 것과 같이 이용한다. 정렬기 비젼 시스템(9708)은 광학적 특성 인식(optical character recognition;OCR) 성능 또는 다른 이미지 프로세싱 기술을 채용하여 반도체 작업물상에 존재하는 정보를 읽고 기록할 수 있으며, 이는 작업물에 관련된 텍스트 정보뿐만 아니라 정렬 마크들을 포함할 수 있다. 콘트롤러는 버퍼 정렬기 모듈(9700)상에 배치된 반도체 작업물의 정렬을 위하여 근접 루프 감지 및 제어(close-loop sense and control)도 제공할 수 있거나 또는 대신으로 제공할 수 있다.
도 98a 는 단일 작업물 단부 작동체를 이용하여 버퍼 정렬기 모듈(9700)의 정렬기 플랫폼(9704)상으로 반도체 작업물(9720)을 전달하는 전달 로봇(9802)를 도시한다. 도 98b 는 정렬기 플랫폼(9704)를 도시하는데 이것은 반도체 작업물이 정렬되게 회전시킨다. 정렬기 플랫폼(9704)이 회전하는 동안, 정렬기 비젼 시스템(9708)은 노취, 마킹등과 같은 일부 물리적인 표시자(indicator)를 통하여 작업물(9720)의 위치를 감지할 수 있다. 콘트롤러는 작업물이 적절하게 정렬된 것을 나타내는 정렬기 비젼 시스템(9708)으로부터의 적절한 신호에 응답하여 회전을 정지시킬 수 있다. 정렬되었을 때, 반도체 작업물(9702)은 도 98c 에 도시된 바와 같이 버퍼 작업물 홀더(9702)로 전달될 수 있다.
도 99a 는 제 2 반도체 작업물(9720)을 정렬기 플랫폼(9704)으로 전달하는 전달 로봇(9802)을 도시한다. 제 1 의 버퍼링된 작업물(9710)은 버퍼 작업물 홀더의 상부 슬롯에 이미 저장되었다. 도 99b 는 제 2 반도체 작업물(9720)이 정렬되고 있는 것을 도시한다. 도 99c 는 제 1 의 버퍼링된 작업물(9710) 및 제 2 의 버퍼링된 작업물(9712)로서 저장된 2 개의 정렬된 반도체 작업물들을 도시한다. 마지막으로, 도 100a 는 모든 정렬되고 저장된 작업물(9710, 9712, 9714, 9718)을 도시하고 있으며, 이들은 배취 단부 작동체(batch end effector, 10002)를 이용하는 전달 로봇(9802)에 의해 버퍼 정렬기 모듈(9700)로부터 전달되어 작업물(9710,9712,9714 및 9718)들을 동시에 움직인다. 도 100b 는 반도체 작업물(9710,9712,9714, 9718)들의 배취를 그들의 행선지를 향하여 배취 단부 작동체(10002)로 움직이는 전달 로봇(9802)을 도시한다.
이송 카트(6140)와 조합된 작업물 취급 진공 모듈에 의해 제공되는 것과 같은, 작업물 이송을 포함하는 선형 프로세싱 시스템은 버퍼링 정렬기(9700)와 관련될 수 있다. 작업물 취급 진공 모듈은, 장치 전방 단부 모듈, 로드 락 및 다른 반도체 제조 시스템 모듈들, 핸들러 및 프로세서들로/이들로부터와 같이, 버퍼링 정렬기(9700)로/버퍼링 정렬기(9700)로부터 작업물의 전달을 용이하게 할 수 있다. 버퍼링 정렬기(9700)은 선형 프로세싱 시스템의 다른 요소들과 유리하게 조합될 수 있어서 산출량을 향상시킨다. 일 예에서, 버퍼링 정렬기(9700)는 이송 카트(6410) 시스템과 조합될 수 있으며, 이송 카트 시스템은 진공 환경에서 복수개의 정렬된 웨이퍼들의 이송을 지공한다. 프로세스 챔버가 다수의 웨이퍼의 전달을 동시에 필요로 할 때 버퍼링 정렬기가 채용될 수 있는데, 이러한 경우에 프로세스 모듈 또는 로드 락으로의 배취 전달을 수행하고 프로세싱하는 동안에 시스템이 웨이퍼들을 배경(background)에서 정렬할 수 있게 함으로써, 정렬시의 버퍼링은 시스템 산출량을 현저하게 증가시킬 수 있다.
도 101 은 다수의 모듈화된 링크 가능 취급 모듈(6130)들을 도시한다. 각각의 링크 가능 모듈(6130)은 다리(10110)에 의해 지지될 수 있다. 다리(10110)는 진공 로봇 핸들러 및 임의의 관련된 하드웨어에 대한 단일의 지지 구조를 형성할 수 있으며, 관련된 하드웨어는 예를 들어 위에서 설명된 링크 모듈들을 포함한다. 다리(10110)는 전체적으로 실린더형이고, 로봇 및 다른 하드웨어를 물리적으로 지지하도록 적절한 외부 직경 및, 로봇 구동부, 전기 및 다른 유틸리티(utility)의 통과를 허용하는 적절한 내부 직경을 가진 형태로 이루어진다.
로봇 구동 메카니즘(10120)은 다리(10110) 안에 통합될 수 있다. 로봇 구동 메카니즘ㅁ(10120)을 지지 구조체 안으로 통합하는 것은 로봇 구동 메카니즘(10120)을 하우징하는 분리된 도관들 또는 수용부(encasement)에 대한 필요성을 유리하게 제거할 수 있다. 다리(10110) 안의 접근 포트(10125)는 모터, 증폭기, 시일(seal)등과 같은, 로봇 구동부(10120)의 다양한 구성 요소들에 대한 사용자 접근을 제공할 수 있어서, 이러한 구성 요소들이 정비등을 위하여 개별 유닛으로서 제거될 수 있다.
도 101 에 도시된 다리 구성은 추가적인 장점을 제공한다. 바닥과 모듈(6130) 사이의 현저한 미사용 공간을 보존하면서, 모듈화된 링크 가능 취급 모듈(6130)들을 실질적으로 바닥 레벨 위로 상승시킴으로써, 다리(10110)는 물, 개스, 압축 공기 및 전기와 같은 프로세스 챔버 유틸리티를 위한 물리적인 경로를 부여하며, 그 경로는 모듈화된 링크 가능 취급 모듈(6130) 아래에서 그리고 다리(10110)와 나란히 정해질 수 있다. 따라서, 유틸리티 접근에 대한 계획 없이도, 인접한 다리-기초의(pedestal based) 모듈들의 단순한 배치는 와이어, 튜브, 파이프 및 다른 유틸리티 운반체들 대한 적절한 접근을 보장한다. 이러한 결과를 달성하기 위하여, 다리(10110)가 바람직스럽게는 상부 돌출 표면 영역(즉, 상부로부터 볼 때의 형상)을 가지는데, 이것은 그 위에 지지된 모듈(6130)의 상부 돌출 표면 영역 안에 완전히 있다. 따라서, 다리 둘레 전체에 공간이 부여된다.
다리(10110)는 (상대적으로 영구적인 설치를 위한 조절 가능 받침(stand-off)을 가진 구름 베이스(rolling base, 10130)를 구비할 수 있으며, 상기 구름 베이스상에 추가적인 제어부 또는 장치(10140)가 구비될 수 있다. 구름 베이스(10130)는 모듈화된 진공 프로세싱 및 취급 시스템으로의 진공 모듈(6130)들의 통합을 더욱 용이하게 한다.
이송 카트(6140)와 조합된 작업물 취급 진공 모듈에 의해 제공되는 것과 같은, 작업물 이송을 포함하는 선형 프로세싱 시스템은 다리와 관련될 수 있다. 작업물 취급 진공 모듈은 다리에 모듈 방식으로 장착될 수 있어서 다리는 작업물 취급 진공 모듈을 위한 적어도 지지부를 제공할 수 있다. 다리는 구동 메카니즘을 더 지지할 수 있으며, 구동 메카니즘은 작업물 취급 진공 모듈에서 로봇 작업물 핸들러의 회전 및 다른 운동을 제공한다. 다리는 여기에 설명된 작업물 취급 진공 모듈과 통합될 수 있다. 다리는 작업물을 터널(6150) 안에서 이송 카트(6140)로 전달하는 것을 용이하게 하는 위치에서 작업물 취급 진공 모듈의 지지를 더욱 용이하게 할 수 있다.
진공 모듈(6130)들 사이의 링크 모듈(10149)들은, 예를 들어, 위에서 설명된 구성 가능 진공 모듈(9502)들과 관련하여 여기에 설명된 툴 또는 기능들중 그 어떤 것도 제공할 수 있다. 이것은 링크 모듈(10149)에 있는 개구(10155)에 대하여 진공 또는 다른 기능 밀봉으로 제거 가능하고 교체 가능하게 고정될 수 있는 다양한 기계 유틸리티(개스, 전기, 물 등) 뿐만 아니라, 진공 펌프, 기계 비젼 검사 툴, 가열 요소 또는 그와 유사한 것과 같은 보조 장치(10150)를 포함한다.
도 102 는 링크 모듈 둘레의 (다리 지지 구조에 의해 형성된) 비사용 공간이 반도체 제조 프로세스를 지원하도록 요구될 수 있는 다양한 유틸리티들중에서 어떻게 통일성 있게(coherently) 할당될 수 있는지를 나타낸다. 도 102 를 참조하면, 모듈화된 진공 프로세싱 시스템의 일부는 분해도로 도시되어 있다. 도 102 에 도시된 시스템의 일부는 작업물 취급 및 프로세싱 시스템(10200)을 구비하는데, 이것은 하나 또는 그 이상의 링크 가능 진공 모듈(6130)들을 포함할 수 있다. 링크 가능 모듈(6130)들은 서로에 대하여, 또는 검사 모듈(4010), 진공 연장부 또는 그 어떤 다른 진공 구성 요소와 같은 다른 모듈에 대하여 상호 연결될 수 있다. 도시된 바와 같이, 각각의 링크 가능 모듈(6130)은 다리(10130)에 장착되며, 다리는 다시 베이스(10230)상에 장착된다.
프로세싱 툴은 기능 가능 모듈(6130)들중 하나의 포트들중 그 어느 것에서도 작업물 취급 시스템(10200)에 연결될 수 있다. 프로세스 챔버 안의 유틸리티 접속 유형(hookup type) 및 위치에 대한 산업 표준을 적용함으로써, 링크 모듈들 체적 외부의 유틸리티 접속들의 위치는 링크 가능 모듈(들)(6130)의 위치에 기초하여 실질적으로 미리 결정될 수 있다. 그러나, 다리의 구성에 기인하여, 링크 모듈들 둘레에 버퍼 영역(10240, 10250, 10260)을 보장하도록 각각의 다리 둘레에 빈 공간(void space)을 할당할 수 있으며, 이것은 링크 모듈들을 이용하여 설비 전체에 걸쳐 유틸리티의 실질적으로 임의의 통로 선정(routing)을 부여한다. 프로세스 챔버들의 설치를 준비할 때, 취급 시스템(10200)은 사용자가 모듈화된 유틸리티 전달 구성 요소(utility delivery component, 10240, 10250, 10260)를 이용할 수 있게 한다.
버퍼 영역(10240, 10250, 10260)들은 링크 가능 모듈(6130)들에 연결된 임의의 프로세스 챔버들에 대한 개스, 물 및 전기의 전달(delivery)을 용이하게 한다. 이러한 버퍼 영역(10240,10250,10260)들은 구체적으로 산업 표준의 위치 선정 요건을 수용할 수 있고, 또한 용량, 인터페이싱, 청결성, 전달 압력등에 대한 그 어떤 산업 표준 요건들이라도 (물론, 버퍼 영역들 안에서 이들 표준들에 부합될 것을 요구할 필요 없이) 수용할 수 있다.
개념적으로, 버퍼 영역(10240,10250, 10260)들은 대응하는 유틸리티들의 전달에 적절한 복수개의 도관(10270)들을 지지하는 구조적 프레임을 가질 수 있다. 각각의 도관(10270)은 특정 유틸리티의 전달을 위한 특정의 요건들을 충족시키도록 선택된 적절한 재료들로 구성될 수 있고, 그 어떤 바람직한 패턴으로도 버퍼 영역들 안에 배치될 수 있다. 더욱이, 각각의 도관 장치 포트 접속부(hookup, 10280)는, 버퍼 영역들 안에서 모듈로부터 모듈로의 유틸리티 도관들의 정렬을 보장하면서 버퍼 영역들 외부의 연결을 용이하게 하도록, 미리 결정된 패턴(예를 들어, 유틸리티 접속 위치를 위한 산업 표준을 충족시키는 패턴)으로 배열될 수 있다.
장치 포트 접속부(device port hookups, 10280)는 각각의 유틸리티 유형에 대하여 선택될 수 있다. 예를 들어, 물에 대한 접속부는 물의 압력, 온도 및 유량 요건들을 견딜 수 있는 신뢰성 있는 상호 연결을 제공할 수 있는 반면에, 전기에 대한 접속부는 전기 임피던스, 안전 및 전류 용량 요건들을 충족시키는 신뢰성 있는 상호 연결 또는 도관들을 제공할 수 있다. 실시예들에서, 버퍼 영역들 안의 장치 포트 접속부(10280)들의 위치는 (예를 들어 유연성 도관에 의해) 기계적으로 식별될 수 있고 그리고/또는 조절될 수 있다.
실시예들에서, 각각의 버퍼 영역(10240,10250, 10260)에 있는 다양한 유틸리티들을 위한 도관들 및 접속부(10280)들을 포함하는 발포체 몰드(foam mold) 또는 다른 구조 프레임과 같은 물리적인 장치는 키트(kit)로서 제공될 수 있으며, 이는 상응하는 표준(들)의 제한 내에서, 도관의 높이, 폭, 위치, 장치 접속부의 위치 및, 프레임 장착과 같은 설치의 필요성을 충족시키는 다양한 구성들을 허용할 수 있다.
실시예들에서, 버퍼 영역(10240, 10250,10260)들은 특정의 사용자 설치 및 작동 필요성을 충족시키도록 완전하게 주문 제작될 수 있다. 그러한 실시예에서 사용자는 도관의 높이, 폭 및 위치, 장치 접속부들의 위치, 장착 방법과 같은 시스템의 양상들 및, 엔크로져와 베이스와 같은 선택적인 양상들을 포괄하는 세부 사항들을 제조자에게 제공할 수 있다.
실시예들에서, 버퍼 영역(10240,10250,10260)들은, 미리 결정된 패턴들의 도관(10270)들중 하나 또는 그 이상이 유틸리티들을 위한 하나 또는 그 이상의 표준 층들을 형성하고, 하나 또는 그 이상의 주문 제작 가능한 층들을 형성하면서 배치될 수 있다. 예를 들어, 표준 층들은 물 및 전기를 위한 것일 수 있는 반면에, 주문 제작 가능한 층들은 개스를 위한 것일 수 있다. 표준 층들은 물과 전기 배선을 위한 미리 결정된 도관들을 추가적으로 포함할 수 있다.
도 103 에 도시된 바와 같이, 버퍼 영역(10240, 10250, 10260)들의 전체적인 크기는 프로세스 챔버(2002) 및 취급 시스템(10200)과의 통합을 용이하게 하도록 미리 결정될 수 있다. 위에서 설명된 바와 같이, 그리고 도 103 에 도시된 바와 같이, 버퍼 영역들은 관련된 링크 가능 모듈(6130)의 체적에 의해 적어도 하나의 치수로 한정된 체적을 가질 수 있다.
유틸리티 구성 요소(utility component)들 연결 영역에서 보다 격리 밸브 연결 영역에서 더 넓은 챔버와 같이, 상이하게 형상화된 프로세스 챔버들의 실시예들에서, 버퍼 영역(10240,10260)들의 폭은 도 103 에 도시된 실시예와 상이할 수 있다. 대안으로서, 장치 포트 접속부(10280)는 길이가 늘어날 수 있어서 상이하게 형상화된 프로세스 챔버들을 수용할 수 있다.
도 103 에 도시된 실시예는 버퍼 영역(10240,10250,10260)들이 링크 가능 모듈 및 예를 들어 검사 모듈(4010) 아래에 설치될 수 있게 하여, 유틸리티들에 대한 루트 선정 성능을 보장하면서 조합된 취급 시스템(10200)의 영향 범위를 감소시킨다.
도 104 는 위에서 설명된 버퍼 영역들에 적합화된 유틸리티 도관을 이용하는 다수의 링크 가능 모듈들을 도시한다. 도시된 바와 같이, 유틸리티 전달 구성 요소(10404,10406,10408)들은 각각의 링크 가능 모듈의 베이스(10230)에 부착된다. 유틸리티 전달 구성 요소들의 각각의 하나는 위에서 일반적으로 설명된 임의의 적절한 표준들에 부합되는 도관들, 상호 연결부들 및 연결 포트들을 포함할 수 있다.
실시예들에서, 유틸리티 전달 구성 요소(10404,10406,10408)들은 각각의 유틸리티들의 양상들(예를 들어, 유체 유동, 개스 유동, 온도, 압력 등)을 감지하기 위한 센서들을 구비할 수 있고, 감지된 양상들을 표시하거나 또는 센서 데이터를 콘트롤러 또는 다른 데이터 습득 시스템으로 송신하기 위한 수단을 포함할 수 있다. 센서들 및 관련 디스플레이들은 설치, 설정(setup), 문제 해결, 모니터등에 유용할 수 있다. 예를 들어, 물을 전달하는 모듈화된 유틸리티 전달 구성 요소(10404)는 물 압력 센서, 물 유량 센서 및/또는 물 온도 센서를 구비하며, 디스플레이튼 상응하는 물리적 데이터를 표시할 수 있다. 디스플레이 또는 모니터를 위한 다른 센서들은 개스 압력, 유형, 유량, 전기 전압 및 전류를 포함할 수 있다. 더욱이, 센서들은 유틸리티 제어 콤퓨터 시스템에 의해 모니터될 수 있는 외부 검출 가능 신호를 송신할 수 있다.
이송 카트(6140)와 조합된 작업물 취급 진공 모듈에 의해 제공되는 것과 같은, 작업물 이송을 포함하는 선형 프로세싱 시스템은 모듈화된 유틸리티 전달 구성 요소(10240)과 관련될 수 있으며, 이것은 모듈화된 연결을 통하여 반도체 프로세싱 시스템의 섹션들로 공기, 물, 기체 및 전기와 같은 유틸리티들을 공급할 수 있다. 프로세스 챔버(2002), 다기능 모듈(9702), 바이패스 열 조절기(9402), 작업물 취급 진공 모듈들, 하나 또는 그 이상의 로드 락(14010), 웨이퍼 저장부등과 같이, 모듈화된 유틸리티 전달 구성 요소를 통하여 유틸리티들이 제공되고 있는 진공 모듈들의 그룹들은 이송 카트(6140)와 조합되어 말단 그룹들 사이에서 하나 또는 그 이상의 작업물들의 이송을 용이하게 할 수 있다. 도 67 을 참조하면, 선형 프로세싱 그룹(6610)들은 모듈화된 유틸리티 전달 구성 요소(10240,10250,10260)들을 가지고 국부적으로 구성될 수 있는 반면에, 이송 카트(6140)는 하나의 그룹(6610)으로부터 다른 그룹으로의 작업물 이송을 제공한다.
도 105 는 저 입자 벤트 시스템(low particle vent system)을 도시한다. 시스템(10500)은 작업물들을 진공 프로세싱 환경으로 그리고 진공 프로세싱 환경으로부터 전달하며, 일단 적절한 진공 환경이 시스템(10500) 안에 만들어지면 작업물(10510)들이 반도체 프로세싱 설비 안에 로딩(loading)되고 프로세싱을 준비되게 할 수 있다. 시스템(10500)은 개스 라인 밸브(10530), 입자 필터(10540) 및 충격파 배플(shockwave baffle, 10550)에 연결된 적합화 개스 라인(10520)을 더 구비한다.
일반적인 작동에서, 시스템(10500)은 도어(door, 10501)를 가지고 작업물을 밀봉하는데, 도어는 내부(10502)를 외부 환경으로부터 격리시키도록 당업자에게 공지된 다양한 기술들중 그 어느 것이라도 이용하여 개폐될 수 있다. 작동시에, 시스템은 챔버(10502)로의 도어(10501)를 개방 및 폐쇄하고, 개스 밸브(10530)를 개방하여 개스를 시스템(10500)의 내부(10502)로 공급하고, 개스 밸브(10530)를 폐쇄하고, 다음에 내부(10502)를 비워서 작업물(10510)을 위한 진공을 형성한다. 작업물(10510)들의 언로딩은 유사한 방식으로 수행될 수 있으며, 예외적으로 시스템(10500)은 진공 환경을 가지고 개시되고, 적합화된 개스 라인(10520) 및 개방 개스 라인 밸브(10530)를 통해 유동하는 개스에 의해 가압된다.
일단 작업물(10510)들이 내부(10502)에 배치되면, 벤팅(venting) 및 펌핑(pumping)이 수행될 수 있다. 이러한 프로세스 동안에, 적합화된 개스 라인(10520)과 일직선으로 또는 챔버 내부(10520)의 개구를 가로질러 구성된 입자 필터(10540)는 개스에 의해 이송되는 거대 입자들을 여과한다. 더욱이, 배플(10550) 및 적화된 개스 라인(10520)은 내부(10502)에 대한 진공 밀봉을 해제시킴으로부터 결과된 초음파 충격파를 흡수하도록 조합됨으로써, 내부(10520)에 있는 입자들의 혼란을 방지하거나 또는 완화한다.
통상적으로 개스를 밸브(10530)로부터 모듈로 통과시키기 위한 실린더 형상 튜브인 개스 라인은 초음파 충격파의 흡수를 용이하게 하도록 그것의 형상을 변경시킴으로써 적합화된다. 일 실시예에서, 적합화된 개스 라인(10520)은 개스 이동의 법선(normal line)에 대하여 각도가 형성된 내측 벽 표면들을 가질 수 있다는 점에서 소음기(firearm silencer)와 유사하게 형상화될 수 있다. 보다 일반적으로, 개스 라인은 임의의 불규칙적 내부 표면들을 구비할 수 있으며, 이것이 바람직스럽게는 개스 라인의 중심축에 대하여 직각이다. 그러한 표면들은 (예를 들어 진공 밀봉의 해제로부터의) 초음파 충격파의 에너지를 분산시키거나, 소거시키거나, 그리고/또는 흡수한다.
초음파 충격파의 충격을 더욱 감소시키도록, 배플(10550)은 그 어떤 남아 있는 충격파의 이동을 저해하며 작업물(10510)을 교란에 대하여 보호하는데, 그렇지 않으면 상기 교란은 입자 오염을 운반할 수 있다. 배플(10550)은 초음파 충격파의 입사 부분들을 반영하도록 위치될 수 있어서, 그것의 에너지 일부를 소거하고, 입자들을 가질 수 있는 내부 전체에 걸친 표면들을 충격파가 충격하는 것을 실질적으로 감소시키는 결과를 가져온다. 배플(10550)은 개구보다 클 수 있거나, 개구와 같은 크기이거나, 또는 개구보다 작을 수 있으며, 전체적으로 개구로부터 챔버의 내부를 향하여 변위될 수 있다. 일 실시예에서, 배플(10550)은 움직일 수 있어서, 그것은 충격파를 방해하거나 또는 작업물의 통과를 받아들이도록 선택적으로 위치될 수 있다.
위에서 설명된 저 입자 벤트 시스템(low particle vent system)은 진공 밀봉이 해제되거나 만들어질 수 있는 상기 시스템들의 그 어느 곳에 있는 그 어느 위치에라도 전개될 수 있다.
다기능 모듈, 배취 저장부 및 배취 단부 작동체들과 같은 상기 시스템들중 많은 것은 여기에 설명된 고도의 모듈화된 시스템들과 조합되어 전개될 수 있어서, 특히 복잡한 프로세스들을 위하여, 또는 단일 진공 환경내에서 몇개의 상이한 프로세스들을 수용하도록 의도된 설비들을 위하여, 바닥 공간을 보존하고 프로세싱 시간을 절감시킨다. 다수의 배취 프로세싱 개념(batch processing concept) 및 특히 배취 정렬기(batch aligner)의 이용이 이제 상세하게 설명될 것이다.
도 106 은 다수의 웨이퍼들을 한번에 프로세싱할 수 있는 다수의 배취 프로세싱 모듈(10602)들을 포함하는 시스템(10600)을 도시한다. 각각의 모듈(10602)은 예를 들어 2 개, 3 개, 4 개 또는 더 이상의 웨이퍼들을 동시에 프로세싱할 수 있다. 시스템(10600)은 또한 배취 로드 락(10604), 진공 내 배취 버퍼(in vacuum batch buffer, 10606), 버퍼링 정렬기(10608), 하나 또는 그 이상의 진공 로봇 아암(10610), 대기 로봇 아암(10612) 및 하나 또는 그 이상의 전방 개구 통합 포드(front opening unified pod, 10614)를 구비할 수도 있다. 상기 구성 요소들 각각은 웨이퍼들의 배취 프로세싱에 적합화될 수 있다.
전방 개구 통합 포드(front opening unified pod, 10614)들은 웨이퍼들을 그룹으로 저장할 수 있으며, 예를 들어 4 개의 웨이퍼들을 저장할 수 있다. 4 웨이퍼 시스템이 예시의 목적을 위하여 제공되지만, 시스템(10600)은 2, 3, 4, 5, 6 또는 더 많은 웨이퍼들의 그룹, 또는 이들의 조합을 수용하도록 구성될 수도 있거나 또는 대신으로 구성될 수 있다는 점이 이해될 것이고, 모든 그러한 그룹들은 배취(batch)라는 용어가 여기에서 사용되는 것처럼 배취로서 간주될 수 있다.
내부 환경 로봇(in-atmosphere robot, 10612)은 FOUP(10614)로부터 웨이퍼들의 그룹을 회수하도록 작동될 수 있으며, FOUP 는 시스템(10600) 안에서의 프로세싱을 위하여 전체적으로 웨이퍼들의 대기 취급을 관리한다. 로봇(10612)은 FOUP(10614), 로드 락(10604) 및 버퍼링 정렬기(10608)에 접근하도록 트랙(track), 카트 또는 다른 메카니즘상에서 이동할 수 있다. 로봇은 웨이퍼들의 배취(또는 다른 작업물들의 배취)를 동시에 취급하기 위한 배취 단부 작동체를 구비할 수 있다. 로봇(10612)은 2 중 아암 또는 그와 유사한 것을 구비할 수도 있거나 또는 대신으로 구비할 수 있어서, 제 1 아암은 FOUP(10614)와 배취 정렬기(10608) 사이에서 집어올리고 내려놓을 수 있고, 이에 반해 다른 아암은 버퍼(10608)에 있는 정렬된 웨이퍼들을 배취 로드 락(10604)으로 그리고 로드 락(10604)으로부터 FOUP(10614)으로 배취 전달(batch transfer)하기 위한 배취 단부 작동체를 제공한다.
버퍼링 정렬기(10608)는 버퍼링 프로세스 동안에 물리적으로 정렬되는 대응하는 수(예를 들어, 4개)의 웨이퍼들을 수용할 수 있다. 단일의 버퍼링 정렬기가 도시되어 있지만, 프로세싱을 위한 배취들의 그룹들을 수용하기 위하여, 다수의 버퍼링 정렬기들이 대기 내부 로봇 둘레에 배치될 수 있거나, 또는 수직으로 적재될 수 있다는 점이 이해될 것이다. 또한 버퍼링 정렬기(10608)는 차후의 배취 취급을 위하여 2 개 또는 그 이상의 웨이퍼들을 동시에 정렬하도록 당업자에게 알려진, 그 어떤 능동 또는 수동의 기술 또는 이들의 조합들을 채용할 수 있다는 점이 이해될 것이다.
현저한 장점으로서, 웨이퍼들의 정렬된 배취가 배취 형태 하류로 보다 신속하게 프로세싱될 수 있다. 따라서, 예를 들어, 웨이퍼들의 정렬된 배취는 진공 내 로봇(in vacuum robot, 10610)으로의 전달을 위한 정렬을 보존하는 방식으로 로봇(10612)에 의하여 로드 락(10604)으로 전달될 수 있으며, 진공 내 로봇은 진공 안에서 웨이퍼들의 배취 취급을 위하여 2 중 아암 및/또는 2 중 단부 작동체들을 포함할 수 있다. 더욱이, 진공 내 배취 버퍼(in-vaucuum batch buffer, 10606)는 진공 내 버퍼링 및/또는 로봇들 사이의 주고 받기(hand off) 동안에 정렬을 보존하도록 예를 들어 선반 또는 그와 유사한 것을 이용하여, 웨이퍼들의 배취들을 수용할 수 있다. 배취 버퍼(10606)는 물론 반도체 제조 프로세스들에서 프로세싱 모듈들 사이에 유용할 수 있는 상기 설명된 냉각, 온도 제어 저장 또는 그 어떤 다른 기능들을 제공할 수 있다.
도 107 은 도 106 의 배취 프로세싱 시스템과 이용되는 로봇 아암을 도시한다. 도 107a 는 로봇(10700)의 단면을 도시하는 반면에, 도 107b 는 사시도를 도시한다. 일반적으로, 로봇(10700)은 단일 단부 작동체(10704)를 가진 제 1 로봇 아암(10702) 및, 2 중 또는 다른 배취 단부 작동체(10708)를 가진 제 2 로봇 아암(10706)을 구비할 수 있다.
이러한 로봇 아암 구성을 이용하여, 단일의 단부 작동체(10704)는 모듈들 안에서 웨이퍼들의 개별적인 집어올림 및 내려놓음(pick and placement)를 위하여 채용될 수 있는 반면에, 2 중 단부 작동체(10708)는, 예를 들어 배취 버퍼(10606), 로봇-대-로봇의 주고 받기, 또는 임의의 다른 적절한 배취 프로세싱 기술을 통하여, 프로세싱 모듈들 사이의 배취 전달을 위하여 채용될 수 있다.
이러한 배취 기술에 대한 다양한 변형이 가능하다는 점이 이해될 것이다. 예를 들어, 배취 단부 작동체는 배취 프로세스에서 이용되기에 적절한 2 개의 블레이드(blade), 3 개의 블레이드 또는 그 어떤 다른 개수의 블레이드(또는 다른 적절한 웨이퍼 지지부)들을 포함할 수 있다. 동시에, 각각의 로봇 아암(10702, 10706)은 다수 링크 SCARA 아암, 프로그 레그 아암 또는 여기에 설명된 그 어떤 다른 유형의 로봇일 수 있다. 또한 제조 프로세스들의 특정한 전개들에 따라서, 2 개의 아암들이 완전히 독립적일 수 있거나, 또는 부분적으로 또는 선택적으로 종속적일 수 있다. 모든 그러한 변형은 본원의 개시 범위내에 속하는 것으로 의도된다. 배취 크기 및 로봇 아암 구성에서의 변형에 더하여, 그 어떤 개수의 배취 프로세싱 모듈들이 채용될 수 있다는 점이 이해될 것이다. 더욱이, 어떤 환경에서는 시스템에 포함된 하나 또는 그 이상의 배취가 아니거나 또는 단일의 웨이퍼 프로세스 모듈들을 가지는 것이 효율적이거나 또는 유용할 수 있는데, 여기에서 프로세스 시간들은 함께 작용하는 단일 및 배취 프로세스 모듈들의 수용 가능한 이용을 제공하도록 적절하게 비례한다.
도 108 은 다수의 전달 평면들이 배취 프로세싱 시스템에서 바닥 공간을 보존하도록 유용하게 채용될 수 있는 방법을 도시한다. 도 108a 는 링크 모듈 안에 있는 웨이퍼들에 대한 단일의 또는 다수의 접근을 수용하는 다수의 전달 평면들을 포함하는 링크 모듈을 도시한다. 슬롯 밸브들 또는 그와 유사한 것이 링크 모듈을 격리시키도록 제공된다. 도 108b 는 다수의 선반들이 격리 없이 로봇들 사이에 위치되는 대안의 구성을 도시한다. 이러한 구성에서, 선반들은 예를 들어 로봇들 위에 위치될 수 있어서 로봇 운동의 전체 범위를 허용하며, 그렇지 않다면 이것은 선반들상의 웨이퍼들과 로봇 아암 사이에서 충돌을 야기할 수 있다. 그럼에도 이러한 구성은 로봇들 사이의 다수 웨이퍼 버퍼링 및/또는 배취 프로세싱을 제공한다. 도 108c 는 도 108b 의 실시예의 평면도를 도시한다. 도 108c 에 도시된 바와 같이, 도 108b 의 로봇들 사이의 선반들을 가진 작은 어댑터는 직접적인 로봇-대(對)-로봇의 주고 받기를 필요로 하지 않으면서 2 개 로봇들의 상대적으로 근접한 위치 선정을 허용한다. 대신에, 각각의 웨이퍼 또는 웨이퍼들의 그룹은 근접한 로봇에 의한 차후의 회수를 위하여 비워진 선반들로 전달될 수 있다. 현저한 장점으로서, 이러한 배치는 직접적인 로봇-대-로봇 주고 받기를 조화시키는 가외의 복잡성을 감소시키거나 또는 제거하면서 2 개의 근접한 로봇들의 영향 범위를 감소시킨다.
이제 도 109 를 참조하면, 그 어떤 적절한 크기를 가진 웨이퍼를 프로세싱하기 위한 선형 프로세싱 툴(10900)의 일부가 실시예의 양상에 따라서 도시되어 있다. 선형의 프로세싱 툴(10900)은 상이한 레벨들로 배열된 그 어떤 적절한 프로세싱 모듈들 또는 셀들(10901A, 10901B, 10902B, 10903A, 10903B, 10904A, 10904B)를 구비할 수 있으며 (예를 들어, 프로세싱 모듈들은, 위에서 설명된 프로세스 셀(8012)들과 유사한 모듈 방식(modular manner) 또는 셀 방식(cellural manner)으로, 하나가 다른 것의 위에 있는 수직의 적재(vertical stack)에서와 같이 배치될 수 있다), 여기에서 프로세싱 모듈(10901A-10904A)들은 하나의 웨이퍼 프로세싱 레벨(10909A)상에 배치되고, 프로세싱 모듈(10901B-10904B)들은 웨이퍼 프로세싱 레벨(10909B)의 다른 것에 배치된다. 도 109 는 수직으로 적재된 프로세싱 모듈(10901A-10904A, 10901B-10904B)들에 의해 형성된 2 개의 적재된 웨이퍼 프로세싱 레벨(10909A, 10909B)들을 도시하지만. 도 109 에 도시된 실시예의 다른 양상들에서 웨이퍼 프로세싱 툴(10900)은 수직으로 적재된 웨이퍼 프로세싱 레벨들의 그 어떤 개수라도 가질 수 있다는 점이 이해되어야 한다. 프로세스 모듈들은 실질적으로 선형 적재부들로서 도면들에 도시되어 있는 반면에, 다른 양상에서 만약 소망된다면 상이한 프로세싱 레벨들에 있는 프로세싱 모듈들이 서로로부터 선형으로 오프셋될 수 있다(예를 들어, 수평으로 엇갈릴 수 있다)는 점이 주목된다. 개별의 프로세싱 레벨(10901A-10904A, 10901B-10904B)들상의 프로세싱 모듈들은 개별의 이송 터널/챔버(10910,10911)에 소통 가능하게 결합될 수 있다. 수직으로 적재된 이송 터널/챔버(10910, 10911)들은 (예를 들어, 선형의 또는 선형으로 신장된 이송 챔버를 형성하도록) 모듈화된 진공 챔버(4012)에 의해 형성된 전달 챔버들과 관련하여 위에서 설명된 이송 터널/챔버들과 전체적으로 유사할 수 있고, 하나 또는 그 이상의 모듈(4012)들 사이에 개재된 버퍼 스테이션(4010), 전달 터널/챔버들을 포함할 수 있다. 이송 터널(10910,10911)들은 진공 또는 다른 제어된 환경과 같은 밀봉된 환경을 그 안에 유지하도록 구성된다는 점이 주목되어야 한다. 이송 챔버 모듈(4012)들에 의해 형성된 이송 챔버/터널(10910, 10911)들이 도면들에서 유사한 것으로 도시되지만, 다른 양상에서 하나의 레벨의 이송 챔버/터널(10910,10911)은 다른 레벨의 이송 챔버/터널(10910, 10911)과 상이할 수 있다.
이해될 수 있는 바로서, 프로세싱 툴(10900)은 소망의 프로세싱 용량에 따라서 "구축(built up)"될 수 있는 모듈화된 툴이다. 예를 들어, 프로세싱 툴은 하나 또는 그 이상의 레벨들을 가진 초기의 모듈화된 구성으로 초기에 제공될 수 있다. 다음에 선택된 모듈들은 하나의 레벨에서 접합될 수 있거나, 또는 하나 보다 많은 레벨에서 접합될 수 있어서 그 레벨을 따라서 선형으로 구축되거나 또는 그와는 다르게 예를 들어 도 110a 내지 110d 에 도시된 구성으로부터 수직의 배열(array)로 구축된다. 예시적인 목적을 위하여, (비록 다른 양상들에서 프로세싱 시스템은 초기에 다수 레벨 프로세싱 시스템으로서 설정될 수 있을지라도) 오직 프로세싱 툴만이 단일 레벨(10909A) 프로세싱 시스템으로서 구축될 수 있으며, 이것은 예를 들어 이송 터널(10910) 및 프로세싱 모듈(10901A,10902A, 10903A, 10904A)를 포함한다. 프로세싱 레벨/양들이 증가되면, 이송 터널(10910)은 (예를 들어, 추가적인 전달 챔버(4012) 및/또는 버퍼 스테이션(4010)들을 이송 터널에 추가함으로써) 연장될 수 있고, 그리고/또는 이용 가능한 바닥 공간에 따라서, 추가적인 프로세싱 레벨들이 추가될 수 있어서 프로세싱 툴의 처리량/용량을 증가시킨다. 예를 들어, 이송 챔버/터널(10911)은 프로세싱 모듈(10901B, 10902B, 10903B, 10904B)들을 따라서 툴에 추가될 수 있어서, 프로세싱 툴의 구성은 도 109 에 도시된 바와 같은 다수 레벨(10909A, 10909B) 프로세싱 툴이 된다. 모듈화된 프로세싱 툴(10900)은 2 개의 프로세싱 레벨들에 제한되지 않고, 2 개 보다 많은 프로세싱 레벨들을 가질 수 있고 그 어떤 소망 길이의 이송 터널들을 가질 수 있다는 점이 주목된다.
위에서 설명된 바와 같이, 각각의 전달 챔버(4012)는 전달 로봇(4002) 또는 그 어떤 다른 적절한 로봇과 실질적으로 유사할 수 있는 적어도 하나의 전달 로봇(10920)을 구비하며, 상기 적절한 로봇은 위에서 설명된 것과 미국 특허 US 8,008,884 호, 2011 년 8 월 26 일에 제출된 미국 출원 번호 13/219,267 및, 2008 년 6 월 27 일에 제출된 미국 출원 번호 12/163,996 에 개시된 것과 같은 것으로서, 상기 문헌들의 개시 내용은 본원에 참조로서 포함된다. 이해될 수 있는 바로서, 적어도 하나의 전달 로봇(10920)은 Z 축 움직임을 가진 2 개 자유도의 구동을 포함할 수 있다. 다른 양상에서 로봇은 Z 축 움직임을 가지거나 또는 가지지 않으면서 2 개보다 많거나 적은 자유도를 가진 구동을 포함할 수 있다. 다른 양상에서, 적어도 하나의 전달 로봇(109250)은 로봇의 어깨부 조인트와 같은 적어도 하나의 조인트(joint)를 가질 수 있는데, 그것은 개별의 이송 챔버/터널(10910, 10911)에 의해 형성된 선형 경로를 따라서 위치상으로 고정된다. 또한 이해될 수 있는 바로서, 각각의 모듈화된 전달 챔버(4012)에 있는 적어도 하나의 전달 로봇(10920)은 버퍼 스테이션(4010)들을 통하는 것과 같이 간접적으로 또는 직접적으로, 근접한 이송 챔버(4012)들에 있는 전달 로봇들 사이의 로봇 대(對) 로봇의 기판 주고 받기를 위해 배치될 수 있다. 위에서 설명된 바와 같이, 각각의 전달 챔버(4012) 및/또는 버퍼 스테이션(4010)은 수직으로 적재된 웨이퍼 전달 평면들을 가질 수 있어서, 각각의 웨이퍼 프로세싱 레벨(10909A, 10909B)은 개별적인 수직 적재의 웨이퍼 전달 평면들을 가지게 되며, 이는 개별적인 이송 터널(10910, 10911)의 길이를 따른 웨이퍼들의 단일 방향 또는 2 방향 이송을 허용한다. 예를 들어, 각각의 터널이 수직으로 적재된 로봇들을 통하는 것과 같이 2 방향 웨이퍼 이동을 가지는 경우에, 즉, 하나의 아암 위에 다른 아암이 위치되는 경우에, 터널의 길이를 따른 제 1 방향에서의 이송의 일 레벨은 웨이퍼들을 프로세싱 스테이션들을 제공하기 위한 것일 수 있는 반면에, 반대 방향에서의 이송의 다른 레벨은 웨이퍼들을 대한 실질적으로 장애가 없는 복귀 경로를 제공하기 위한 것일 수 있으며, 그 경우에 웨이퍼들은 더 이상의 프로세싱 없이 예를 들어 EFEM 으로 전달될 수 있거나, 또는 그 어떤 다른 적절한 웨이퍼 유지 위치로 전달될 수 있다. 다른 양상에서 하나 또는 그 이상의 레벨들의 전달 챔버들(또는 그것의 일부)은 하나의 웨이퍼 전달 평면을 가질 수 있다. 다른 양상에서 이송 터널(10910,10911)들중 하나는 프로세싱 툴의 하나의 레벨의 길이를 따라서 제 1 방향으로 웨이퍼들을 이송하도록 구성될 수 있는 반면에, 상이한 레벨에 있는 이송 터널(10910,10911)들중 다른 하나는 프로세싱 툴의 길이를 따라서 웨이퍼들에 대한 복귀 이송 경로를 제공하는 역할을 할 수 있다. 이해될 수 있는 바로서, 그 어떤 적절한 콘트롤러(10900C)라도 프로세싱 툴(10900)을 통한 웨이퍼들의 방향 이동(예를 들어, 프로세스 흐름)을 이루도록 프로세싱 툴의 구성 요소들을 제어하기 위하여 프로세싱 툴(10900)에 연결될 수 있다. 일 양상에서, 도 112 에 도시된 바와 같이, 이송 터널(10910,10911)들중 적어도 하나는 위에서 설명된 복귀 시스템(6150,14012)들과 실질적으로 유사한 복귀 시스템(11220)에 연결될 수 있다. 예를 들어, 로드 락(11210)은 이송 채널(들)을 복귀 시스템(11220)에 연결하기 위한 적어도 하나의 이송 터널의 하나 또는 그 이상의 단부들에 위치될 수 있다. 로드 락(1121)은 프로세싱 레벨(10909A, 10909B)들중 하나 또는 그 이상과 복귀 시스템(11220)의 레벨 사이에서 웨이퍼들을 전달하도록 위에 설명된 리프트(8810)에 실질적으로 유사한 리프트 시스템을 구비할 수 있다. 복귀 시스템(11220)은 도 112 에서 이송 터널(10910, 10911) 위에 배치된 것으로서 도시되었지만, 다른 양상에서는 위에서 설명된 것과 실질적으로 유사하거나 또는 터널들 사이에 있는 방식으로 복귀 시스템이 이송 터널(10910)들 아래에 배치될 수 있다 (예를 들어 하나의 터널은 복귀 시스템 위에 위치되고 다른 터널은 복귀 시스템 아래에 위치된다). 이해될 수 있는 바로서, 복귀 시스템(11220)에 대한 접근은, 예를 들어 도 14 와 관련하여 위에서 설명된 것과 실질적으로 유사한 방식으로, 로드 락 또는 밀봉 가능 버퍼 스테이션(4010X)을 통하는 것과 같이, 이송 터널(10910,10911)들의 단부들 사이 지점에 제공될 수도 있다.
또한 주목되는 바로서, 각각의 전달 챔버(4012)가 로봇(10920)을 가지는 것으로서 도시되었지만, 다른 양상에서 이송 터널들의 각각은 위에서 설명된 이송 카트들에 실질적으로 유사한 하나 또는 그 이상의 웨이퍼 이송 카트들을 구비할 수 있는데, 카트들은 그에 장착된 로봇을 가질 수 있거나 또는 수동 카트(passive cart)일 수 있다(수동 카트는 예를 들어 그에 장착된 로봇이 없어서, 정지 상태 로봇들이 이송 터널들을 통한 이송을 위하여 웨이퍼를 카트로 그리고 카트로부터 전달한다). 이송 터널들은 위에서 설명된 것과 실질적으로 유사한 방식으로 도 114 에 도시된 바와 같이 로봇들과 카트들의 조합을 구비할 수도 있다는 점이 주목된다.
이송 터널(10910,10911)들은 상이한 웨이퍼 프로세싱 레벨(10901A, 10909B) 들 사이에서 웨이퍼들을 전달하기 위한 그 어떤 적절한 방식으로도 서로 소통 가능하게 연결될 수 있다. 예를 들어, 이송 터널(10910, 10911)들은 위에서 설명된 로봇(8310)과 같은 수직 운동 성능들을 가진 로봇을 구비한 EFEM (Equipment Front End Module)을 통하여 서로 소통가능하게 연결될 수 있는데, 여기에서 로봇은, 비제한적인 예로서 도 83, 도 84 및 도 88 내지 도 91 과 관련하여 위에 설명된 것과 실질적으로 유사한 방식으로, 수직으로 적재된 터널(10910, 10911)들중 하나에 있는 로봇(10920)으로부터 (버퍼 스테이션을 통하는 것과 같이) 직접 또는 간접적으로 웨이퍼들을 수용하도록 구성되고, 수직으로 적재된 터널(10910, 10911)들중 다른 하나로 전달하도록 웨이퍼를 수직으로 움직인다. 이해될 수 있는 바로서, 버퍼 스테이션(4010)들중 하나 또는 그 이상은 상이한 레벨들에서 적재된 이송 터널(10910,10911)들을 소통 가능하게 연결하는 적재된 버퍼 스테이션(11010)일 수 있다 (도 110A 및 도 111 참조). 적재된 버퍼 스테이션(11010)은, 터널(10910, 10911)들의 단부들 사이의 위치에서 또는 그렇지 않으면 중간의 위치에서, 적재된 이송 터널들 사이의 웨이퍼 이송을 위하여 (예를 들어 로봇(8310)과 실질적으로 유사한) 수직 운동 성능들을 가지는 웨이퍼 유지 스테이션(1101 OS)을 포함할 수 있다. 다른 양상에서, 적재된 버퍼 스테이션은 적재된 이송 터널(10910,10911)들 사이의 웨이퍼 이송을 위하여 로봇(8310)과 실질적으로 유사한 로봇을 포함할 수 있다.
도 110a 내지 도 110d 를 참조하면, 선형 프로세싱 툴(11000-11003)들의 상이한 구성들이 도시되어 있다. 선형의 프로세싱 툴(11000-11003)은 위에서 설명된 선형의 프로세싱 툴(10900)과 실질적으로 유사할 수 있다. 도 110a 에서, 프로세싱 툴(11000)은, 프로세싱 툴(11000)에 예를 들어 12 개의 프로세싱 모듈들을 제공하도록 결합된, 예를 들어 6 개의 적재된 프로세싱 모듈(10903)들을 가진 적재된 이송 터널(10910,10911)들을 구비하는 것으로 도시되어 있다. 도 110b 에서, 프로세싱 툴(11001)은, 프로세싱 툴(11000)에 예를 들어 8 개의 프로세싱 모듈들을 제공하도록 결합된, 예를 들어 4 개의 적재된 프로세싱 모듈(10903)들을 가진 적재된 이송 터널(10910,10911)들을 구비하는 것으로 도시되어 있다. 도 110c 에서, 프로세싱 툴(11002)은, 프로세싱 툴(11000)에 예를 들어 4 개의 프로세싱 모듈들을 제공하도록 결합된, 예를 들어 2 개의 적재된 프로세싱 모듈(10903)들을 가진 적재된 이송 터널(10910,10911)들을 구비하는 것으로 도시되어 있다. 프로세싱 툴(11000-11002)들은 2 개의 대향하는 측방향 측에 결합된 프로세싱 스테이션(10903)들을 가지는 것으로 도시되지만, 다른 양상에서 프로세싱 스테이션(10903)들은 도 110d 에 도시된 바와 같이 이송 터널(10910,10911)들의 단일 측방향 측에만 결합될 수 있다는 점이 주목된다. 도 110d 의 프로세싱 툴(11003)은 예를 들어 이송 터널(10910, 10911)의 단일 측 또는 공통 측에 결합된 3 개의 적재된 프로세싱 스테이션들을 가져서, 프로세싱 툴에 예를 들어 6 개의 프로세싱 모듈들을 제공한다. 오직 2 개의 적재된 이송 터널(10910, 10911)들이 도 109-110D 에 도시된 반면에, 다른 양상들에서 프로세싱 툴은 임의의 적절한 수의 적재된 프로세싱 모듈들을 가진 프로세싱 스테이션들에 대한 접근을 제공하도록 임의의 적절한 수의 적재된 이송 터널들을 구비할 수 있다는 점이 이해되어야 한다. EFEM 은 이송 터널들의 양쪽 단부들 또는 한쪽 단부에 배치될 수 있다. 다른 양상들에서 프로세싱 툴은 임의의 적절한 수의 웨이퍼 프로세싱 레벨들을 채용하는 그 어떤 적절한 구성이라도 가질 수 있다.
몇 가지의 예시적인 실시예들이 설명되었으며, 다양한 변경, 수정 및 향상이 당엄자에게 용이하게 이루어질 것이라는 점이 이해되어야 한다. 그러한 변경, 수정 및 향상은 본원 발명의 일부를 형성하도록 의도되며, 본원 발명의 사상 및 범위내에 속하는 것으로 의도된다. 여기에 제시된 일부 예들은 기능들 또는 구성 요소들의 특정한 조합들을 포함하지만, 그러한 기능들 및 요소들은 동일하거나 상이한 목적을 달성하도록 본 발명에 따라서 다른 방법으로 조합될 수 있다. 특히, 일 실시예와 관련되어 설명된 작용, 요소들 및 특징들은 다른 실시예들의 유사하거나 또는 다른 역할들로부터 배제되는 것으로 의도되지 않는다. 따라서, 상기의 설명 및 첨부된 도면은 오직 일 예로서 제시된 것이며, 제한을 위한 것으로 의도되지 않는다.
도면을 통하여 플로우 차트 및 블록 다이아그램들에 도시된 요소들은 요소들 사이의 이론적인 경계를 의미한다. 그러나, 소프트웨어 또는 하드웨어 엔지니어링 실무에 따라서, 도시된 요소들 및 그들의 기능들은 단위 소프트웨어 구조의 일부로서, 독립적인 소트프웨어 모듈로서, 또는 외부 루틴, 코드, 서비스등을 채용하는 모듈로서, 또는 이들의 그 어떤 조합으로서도 구현될 수 있으며, 모든 그러한 구현예들은 본 개시 내용의 범위내에 있다. 따라서, 상기 도면들 및 설명들이 개시된 시스템들의 기능적인 측면들을 설명하지만, 만약 명시적으로 설명되거나 또는 문장으로부터 명백하지 않는 한, 이러한 기능적인 양상들을 구현하기 위한 어떠한 소트트웨어의 특정한 구성도 이들 설명으로부터 추론되어서는 아니된다.
마찬가지로, 우에서 식별되고 설명된 다양한 단계들이 변형될 수 있으며, 단계들의 순서는 여기에 개시된 기술들의 특정한 적용들에 적합화될 수 있다는 점이 이해될 것이다. 모든 그러한 변형 및 수정은 개시된 내용의 범위내에 속하는 것으로 의도된다. 그와 같은 것으로서, 만약 특정의 적용에 의해 요구되지 않거나, 또는 명시적으로 설명되지 않거나 또는 문맥으로부터 명백하지 않는 한, 다양한 단계들에 대한 순서의 도시 및/또는 설명은 이들 단계들에 대한 실행의 특정 순서를 필요로 하는 것으로 이해되지 않아야 한다.
위에서 설명된 방법들 또는 프로세스들 및, 그들의 단계들은 하드웨어, 소프트웨어 또는 특정의 적용예에 대하여 적절한 그것들의 임의 조합으로 구현될 수 있다. 하드웨어는 일반적인 목적의 콤퓨터 및/또는 전용 콤퓨터 장치를 포함할 수 있다. 프로세스들은 내부 및/또는 외부 메모리와 함께, 하나 또는 그 이상의 마이크로프로세서, 마이크로 콘트롤러, 임베디드 마이크로콘트롤러, 프로그래머블 디지털 신호 프로세서 또는 다른 프로그래머블 장치에서 구현될 수 있다. 프로세스들은 또한 적용에 특정한 집적 회로, 프로그래머블 게이트 어레이(gate array), 프로그래머블 어레이 로직(array logic), 또는 전자 신호를 프로세싱하도록 구성될 수 있는 장치들의 조합 또는 그 어떤 다른 장치로도 구현될 수 있거나 또는 대신으로 구현될 수 있다. 프로세스들중 하나 또는 그 이상은, C 와 같은 구조 프로그래밍 랭귀지, C++ 와 같이 목적 지향의 프로그래밍 랭귀지 또는 그 어떤 다른 하이 레벨 또는 로우 레벨 프로그래밍 랭귀지(에셈블리 랭귀지, 하드웨어 디스크립션 랭귀지 및, 데이터베이스 프로그래밍 랭퀴지 및 기술들을 포함)를 이용하여 만들어진 컴퓨터 실행 코드로서 구현될 수 있으며, 상기 랭귀지들은 프로세스들의 이종 조합(heterogeneous combination), 프로세서 구조 또는 상이한 하드웨어 및 소트트웨어의 조합들 뿐만 아니라 상기 장치들중 하나에서 가동되도록 저장되거나, 컴파일(compile)되거나 또는 해석될 수 있다.
따라서, 일 양상에서, 위에서 설명된 각각의 방법 및 그것의 조합들은 하나 또는 그 이상의 콤퓨터 장치들에서 실행될 때 그것의 단계들을 수행하는 콤퓨터 실행 가능 코드에서 구현될 수 있다. 다른 양상에서, 방법들은 그것의 단계들을 수행하는 시스템들에서 구현될 수 있고, 다수의 방법으로 장치들에 걸쳐 분포될 수 있거나, 또는 모든 기능이 전용의 독립적인 장치 또는 다른 하드웨어로 통합될 수 있다. 다른 양상에서, 위에서 설명된 프로세스들과 관련된 단계들을 수행하기 위한 수단은 위에 설명된 그 어떤 하드웨어 및/또는 소트프웨어를 포함할 수 있다. 모든 그러한 변경 및 조합들은 본 발명의 범위내에 속하는 것으로 의도된다.
본 발명이 상세하게 설명되고 도시된 바람직한 실시예들과 관련하여 개시되었지만, 그에 대한 다양한 변형 및 향상점들이 당업자에게 용이하게 명백할 것이다. 따라서, 본 발명의 사상 및 범위는 상기의 예들에 의해서 제한되지 않으며, 법에 의해 허용될 수 있는 가장 넓은 의미로 이해되어야 한다.
여기에서 참조된 모든 문헌들은 참조로서 포함된다.
개시된 실시예들의 하나 또는 그 이상의 양상에 따라서 기판 프로세싱 시스템이 제공된다. 기판 프로세싱 시스템은 적어도 2 개의 수직으로 적재된 이송 터널들; 수직으로 적재된 프로세스 모듈들을 포함하는 적어도 하나의 프로세스 셀로서, 각각의 프로세스 모듈이 적어도 2 개의 수직으로 적재된 이송 터들들중 개별의 하나에 소통 가능하게 결합되는, 적어도 하나의 프로세스 셀; 및, 적어도 2 개의 수직으로 적재된 이송 터널들 각각에 있는 적어도 하나의 정지 상태 이송 로봇으로서, 적어도 하나의 정지 상태 이송 로봇은 터널의 길이를 따라서 수직으로 적재된 프로세스 모듈들중 개별의 하나로 기판들을 이송시키도록 구성되는, 적어도 하나의 정지 상태 이송 로봇;을 포함한다.
개시된 실시예들의 하나 또는 그 이상의 양상들에 따르면, 적어도 2 개의 수직으로 적재된 이송 터널들중 하나의 각각에 있는 적어도 하나의 이송 로봇은 적어도 2 개의 수직으로 적재된 이송 터널들중 개별의 하나의 길이를 따라서 전달 로봇으로부터 전달 로봇으로 기판들을 통과시키도록 구성된 복수개의 이송 로봇들을 포함한다. 다른 양상에서 복수개의 이송 로봇들 각각은 밀봉 가능 챔버 안에 배치되는데, 밀봉 가능 챔버들 각각은 적어도 2 개의 수직으로 적재된 이송 터널들중 개별의 하나를 형성하도록 서로 소통 가능하게 결합된다. 다른 양상에서 적어도 2 개의 수직으로 적재된 이송 터널들 각각은 밀봉 가능 챔버들의 적어도 2 개 사이에 배치된 버퍼 스테이션을 포함한다. 다른 양상에서 버퍼 스테이션은 적어도 2 개의 수직으로 적재된 이송 터널들 각각의 사이에서 기판들을 전달하도록 구성된 기판 엘리베이터를 구비한다.
개시된 실시예들의 하나 또는 그 이상의 양상들에 따르면, 적어도 2 개의 수직으로 적재된 이송 터널들 각각의 적어도 일 단부는 공통의 로딩 스테이션(loading station)에 소통 가능하게 결합되는데, 공통의 로딩 스테이션은 적어도 2 개의 수직으로 적재된 이송 채널들 각각의 사이에서 기판들을 전달하기 위한 기판 엘리베이터를 구비한다.
개시된 실시예들의 하나 또는 그 이상의 양상들에 따르면, 적어도 2 개의 수직으로 적재된 이송 터널들 각각은 적어도 2 개의 수직으로 적재된 이송 터널들의 개별의 하나에 있는 수직으로 적재된 기판 전달 평면들을 형성하는 2 중 레벨 이송 로봇들을 포함한다. 다른 양상에서, 수직으로 적재된 기판 전달 평면들은 적어도 2 개의 수직으로 적재된 이송 터널들 각각에서 2 방향 기판 이동을 허용한다. 다른 양상에서, 수직으로 적재된 기판 전달 평면들중 하나는 기판들의 실질적으로 방해받지 않는 이송을 위해 구성된 복귀 레인(return lane)이다.
개시된 실시예들의 하나 또는 그 이상의 양상들에 따라서, 적어도 2 개의 수직으로 적재된 이송 터널들 각각은 측방향의 측부들을 구비하는데, 적어도 하나의 프로세스 셀은 적어도 2 개의 수직으로 적재된 이송 터널들중 단일의 측방향 측부들에만 배치된다.
개시된 실시예들의 하나 또는 그 이상의 양상들에 따라서, 적어도 2 개의 수직으로 적재된 이송 터널들 각각은 측방향 측부들을 구비하는데, 적어도 하나의 프로세스 셀은 적어도 2 개의 수직으로 적재된 이송 터널들의 대향하는 측방향 측부들상에 배치된 적어도 2 개의 프로세스 셀들을 포함한다.
개시된 실시예들의 하나 또는 그 이상의 양상들에 따라서, 적어도 2 개의 수직으로 적재된 이송 터널들중 하나는 제 1 방향으로의 기판 이송을 제공하고, 적어도 2 개의 수직으로 적재된 이송 터널들중 다른 하나는 실질적으로 반대 방향으로의 기판 이송을 제공한다.
개시된 실시예들의 하나 또는 그 이상의 양상들에 따라서, 기판 프로세싱 시스템은 적어도 2 개의 수직으로 적재된 이송 챔버들을 구비하고, 수직으로 적재된 이송 챔버들 각각은 수직으로 적재된 프로세스 모듈들에 결합되도록 구성된 개구들의 수직 적재를 형성하도록 배치된 복수개의 개구들을 구비하고, 수직으로 적재된 이송 챔버들중 적어도 하나는 선형 이송 챔버를 형성하도록 다른 이송 챔버 모듈에 결합되게끔 배치된 적어도 하나의 이송 챔버 모듈을 구비하고, 적어도 2 개의 적재된 이송 챔버들중 다른 하나는 다른 선형의 이송 챔버를 형성하도록 다른 이송 챔버 모듈에 결합되게끔 배치된 적어도 하나의 이송 챔버 모듈을 구비하고, 기판 프로세싱 시스템은 이송 챔버 모듈들의 각각에 배치된 이송 로봇을 포함하고, 이송 로봇은 개별의 선형 이송 챔버에 의해 형성된 선형 경로를 따라서 위치가 고정된다.
개시된 실시예들의 하나 또는 그 이상의 양상들에 따르면 이송 로봇은 Z 축 움직임과 함께 2 개의 자유도 구동을 구비한다.
개시된 실시예들의 하나 또는 그 이상의 양상들에 따르면 이송 챔버 모듈들 각각은 밀봉 가능한 챔버들이다.
개시된 실시예들의 하나 또는 그 이상의 양상들에 따르면 선형 이송 챔버들의 각각은 이송 챔버 모듈들의 적어도 2 개 사이에 배치된 버퍼 스테이션을 구비한다.
개시된 실시예들의 하나 또는 그 이상의 양상들에 따르면 버퍼 스테이션은 선형 이송 챔버들 각각의 사이에서 기판들을 전달하도록 구성된 기판 엘리베이터를 구비한다.
개시된 실시예들의 하나 또는 그 이상의 양상들에 따르면 적어도 2 개의 수직으로 적재된 이송 챔버들 각각의 적어도 일 단부는 공통의 로딩 스테이션에 소통 가능하게 결합되며, 공통의 로딩 스테이션은 적어도 2 개의 수직으로 적재된 이송 챔버들 각각의 사이에서 기판들을 전달하기 위한 기판 엘리베이터를 구비한다.
개시된 실시예들의 하나 또는 그 이상의 양상들에 따르면 적어도 2 개의 수직으로 적재된 이송 챔버들 각각의 이송 로봇들은 적어도 2 개의 수직으로 적재된 이송 챔버들중 개별의 하나 안에서 수직으로 적재된 기판 전달 평면들을 형성하는 2 중 레벨 이송 로봇들을 포함한다.
개시된 실시예들의 하나 또는 그 이상의 양상들에 따르면 수직으로 적재된 기판 전달 평면들은 적어도 2 개의 수직으로 적재된 이송 챔버들 각각에서의 2 방향 기판 이동을 허용한다.
개시된 실시예들의 하나 또는 그 이상의 양상들에 따르면 수직으로 적재된 기판 전달 평면들중 하나는 기판의 실질적으로 방해받지 않은 이송을 위하여 구성된 복귀 레인(return lane)이다.
개시된 실시예들의 하나 또는 그 이상의 양상들에 따르면 적어도 2 개의 수직으로 적재된 이송 챔버들 각각은 측방향의 측부를 구비하고, 복수개의 개구들이 적어도 2 개의 수직으로 적재된 이송 챔버들중 개별의 하나의 단일 측방향 측부상에만 배치된다.
개시된 실시예들의 하나 또는 그 이상의 양상들에 따르면 적어도 2 개의 수직으로 적재된 이송 챔버들 각각은 측방향 측부들을 구비하고, 복수개의 개구들은 적어도 2 개의 수직으로 적재된 이송 챔버들중의 개별적인 하나의 대향하는 측방향 측부들상에 배치된다.
개시된 실시예들의 하나 또는 그 이상의 양상들에 따르면 적어도 2 개의 수직으로 적재된 이송 챔버들중 하나는 제 1 방향으로의 기판 이송을 제공하고, 적어도 2 개의 수직으로 적재된 이송 챔버들중 다른 하나는 실질적으로 반대 방향으로의 기판 이송을 제공한다.
개시된 실시예들의 하나 또는 그 이상의 양상들에 따르면 개별적인 선형 이송 챔버의 이송 로봇들은 로봇으로부터 로봇으로의 기판 주고 받기(handoff)를 위하여 배치된다.
개시된 실시예들의 하나 또는 그 이상의 양상들에 따르면 기판 프로세싱 시스템은 적어도 2 개의 수직으로 적재된 선형 이송 챔버들 및 복수개의 챔버들의 각각에 배치된 이송 로봇을 포함하고, 수직으로 적재된 선형 이송 챔버들 각각은 개별의 프로세싱 레벨로 배치되고, 적어도 2 개의 수직으로 적재된 이송 터널들의 다른 것들과 별개인 개별의 선형 이송 챔버를 형성하도록 서로 소통 가능하게 결합된 복수개의 챔버들을 포함하고, 각각의 개별적인 선형 이송 챔버는 프로세스 모듈과의 결합을 위하여 배치된 개구들을 가지고, 이송 로봇의 조인트는 개별의 선형 이송 챔버에 의해 형성된 선형 경로를 따라서 위치가 고정된다.
개시된 실시예들의 하나 또는 그 이상의 양상들에 따르면 기판 프로세싱 시스템은 현존하는 프로세싱 레벨들과 함께 적재된 추가적인 프로세싱 레벨들을 받아들이도록 구성된 모듈화된 시스템이다.
개시된 실시예들의 하나 또는 그 이상의 양상들에 따르면 적어도 2 개의 수직으로 적재된 선형 이송 챔버들 각각은, 개별의 수직으로 적재된 선형 이송 챔버의 길이가 적어도 2 개의 수직으로 적재된 선형 이송 챔버들중 다른 하나들과는 독립적으로 연장될 수 있도록 모듈화된다.
개시된 실시예들의 하나 또는 그 이상의 양상들에 따르면 적어도 2 개의 수직으로 적재된 선형 이송 챔버들의 개구들은 수직으로 적재된 프로세스 모듈들과 결합되도록 개구들의 수직 적재를 형성하게끔 배치된다.
개시된 실시예들의 하나 또는 그 이상의 양상들에 따르면 기판 프로세싱 시스템은 적어도 2 개의 수직으로 적재된 이송 챔버들 및, 적어도 2 개의 수직으로 적재된 이송 챔버들 각각에 있는 적어도 하나의 이송 로봇을 포함하고, 각각의 이송 챔버는 복수개의 개구들을 가지고, 적어도 2 개의 수직으로 적재된 이송 챔버의 복수개의 개구들은 수직으로 적재된 프로세스 모듈들을 구비하는 프로세스 셀들과결합되기 위한 개구들의 수직 적재를 형성하게끔 배치되며, 적어도 하나의 이송 로봇은 터널의 길이를 따라서 수직으로 적재된 프로세스 모듈들중 개별적인 하나로 기판들을 이송시키도록 구성되고, 적어도 하나의 이송 로봇은 수직으로 적재된 이송 챔버들중 개별의 하나에 의해 형성된 선형 경로를 따라서 위치가 고정된 조인트를 가진다.
개시된 실시예들의 하나 또는 그 이상의 양상들에 따르면 적어도 2 개의 수직으로 적재된 이송 챔버들 각각은 선형 이송 챔버를 형성하도록 다른 챔버에 결합되게끔 구성된 적어도 하나의 챔버를 구비한다.
개시된 실시예들의 하나 또는 그 이상의 양상들에 따르면 적어도 하나의 챔버의 각각은 위치가 고정된 이송 로봇을 구비한다.
1002. 클러스터 툴 2002. 프로세스 모듈
2004. 로봇 아암 4002. 정지 로봇

Claims (20)

  1. 적어도 2 개의 수직으로 적재된 이송 챔버들로서, 수직으로 적재된 이송 챔버들 각각은 적어도 2 개의 수직으로 적재된 인송 챔버들중 다른 하나로부터 분리되어 구분되고, 수직으로 적재된 프로세스 모듈들에 결합되도록 구성된 개구들의 수직 적재를 형성하도록 배치된 복수개의 개구들을 포함하고, 수직으로 적재된 이송 챔버들중 적어도 하나는 선형 이송 챔버를 형성하도록 다른 이송 챔버 모듈에 결합되게끔 배치된 적어도 하나의 이송 챔버 모듈을 포함하고, 적어도 2 개의 적재된 이송 챔버들중 다른 하나는 선형 이송 챔버로부터 분리되어 구분된 다른 선형 이송 챔버를 형성하도록 다른 이송 챔버 모듈과 결합되게끔 배치된 적어도 하나의 이송 챔버 모듈을 구비하는, 적어도 2 개의 수직으로 적재된 이송 챔버들; 및,
    이송 챔버 모듈들 각각에 배치된 이송 로봇으로서, 이송 로봇의 조인트는 개별적인 선형 이송 챔버에 의해 형성된 선형 경로를 따라서 위치가 고정되는, 이송 로봇;을 포함하는 기판 프로세싱 시스템.
  2. 제 1 항에 있어서,
    이송 로봇은 Z 축 움직임과 함께 2 개 자유도의 구동을 포함하는, 기판 프로세싱 시스템.
  3. 제 1 항에 있어서,
    이송 챔버 모듈들 각각은 밀봉 가능 챔버인, 기판 프로세싱 시스템.
  4. 제 1 항에 있어서,
    선형 이송 챔버들 각각은 이송 챔버 모듈들중 적어도 2 개의 이송 챔버 모듈들 사이에 배치된 버퍼 스테이션(buffer station)을 포함하는, 기판 프로세싱 시스템.
  5. 제 4 항에 있어서,
    버퍼 스테이션은 선형 이송 챔버들 각각의 사이에서 기판들을 전달하도록 구성된 기판 엘리베이터(substrate elevator)를 포함하는, 기판 프로세싱 시스템.
  6. 제 1 항에 있어서,
    적어도 2 개의 수직으로 적재된 이송 챔버들 각각의 적어도 일 단부는 공통의 로딩 스테이션(loading station)에 소통 가능하게 결합되고, 공통의 로딩 스테이션은 적어도 2 개의 수직으로 적재된 이송 챔버들 각각의 사이에서 기판들을 전달하기 위한 기판 엘리베이터를 포함하는, 기판 프로세싱 시스템.
  7. 제 1 항에 있어서,
    적어도 2 개의 수직으로 적재된 이송 챔버들 각각의 이송 로봇들은, 적어도 2 개의 수직으로 적재된 이송 챔버들의 개별적인 하나 안에서 수직으로 적재된 기판 전달 평면들을 형성하는 2 중 레벨의 이송 로봇들을 포함하는, 기판 프로세싱 시스템.
  8. 제 7 항에 있어서,
    수직으로 적재된 기판 전달 평면들은 적어도 2 개의 수직으로 적재된 이송 챔버들 각각에서 2 방향 기판 이동을 허용하는, 기판 프로세싱 시스템.
  9. 제 7 항에 있어서,
    수직으로 적재된 기판 전달 평면들중 하나는 기판들의 실질적으로 방해받지 않는 이송을 위하여 구성된 복귀 레인(return lane)인, 기판 프로세싱 시스템.
  10. 제 1 항에 있어서,
    적어도 2 개의 수직으로 적재된 이송 챔버들 각각은 측방향 측부들을 포함하고, 복수개의 개구들이 적어도 2 개의 수직으로 적재된 이송 챔버들중 개별적인 하나의 단일 측방향 측부상에만 배치되는, 기판 프로세싱 시스템.
  11. 제 1 항에 있어서,
    적어도 2 개의 수직으로 적재된 이송 챔버들 각각은 측방향 측부들을 포함하고, 복수개의 개구들이 적어도 2 개의 수직으로 적재된 이송 챔버들중 개별적인 하나의 대향하는 측방향 측부들상에 배치되는, 기판 프로세싱 시스템.
  12. 제 1 항에 있어서,
    적어도 2 개의 수직으로 적재된 이송 챔버들중 하나는 제 1 방향에서의 기판 이송을 제공하고, 적어도 2 개의 수직으로 적재된 이송 챔버들중 다른 하나는 실질적으로 대향하는 방향에서의 기판 이송을 제공하는, 기판 프로세싱 시스템.
  13. 제 1 항에 있어서,
    개별적인 선형 이송 챔버의 이송 로봇들은 로봇 대(對) 로봇의 기판 주고 받기(substrate hanoff)를 위하여 배치되는, 기판 프로세싱 시스템.
  14. 적어도 2 개의 수직으로 적재된 선형 이송 챔버들로서, 수직으로 적재된 선형 이송 챔버들 각각은, 적어도 2 개의 수직으로 적재된 선형 이송 챔버들중 다른 하나로부터 분리되어 구분되고, 개별적인 프로세싱 레벨에 배치되며, 적어도 2 개의 수직으로 적재된 이송 터널들중 다른 하나로부터 분리되어 구분된 개별의 선형 이송 챔버를 형성하도록 서로 소통가능하게 결합된 복수개의 챔버들을 포함하고, 각각의 개별적인 선형 이송 챔버는 프로세스 모듈과 결합되도록 배치된 개구들을 가지는, 적어도 2 개의 수직으로 적재된 선형 이송 챔버들; 및,
    복수개의 챔버들 각각에 배치된 이송 로봇으로서, 상기 이송 로봇의 조인트는 개별의 선형 이송 챔버에 의해 형성된 선형 경로를 따라서 위치가 고정되는, 이송 로봇;을 포함하는, 기판 프로세싱 시스템.
  15. 제 14 항에 있어서,
    기판 프로세싱 시스템은 현존하는 프로세싱 레벨들과 함께 적재된 추가의 프로세싱 레벨들을 받아들이도록 구성된 모듈화된 시스템(modular system)인, 기판 프로세싱 시스템.
  16. 제 14 항에 있어서,
    개별적인 수직 적재된 선형 이송 챔버의 길이가 적어도 2 개의 수직으로 적재된 선형 이송 챔버들중 다른 것들과 독립적으로 연장될 수 있도록, 적어도 2 개의 수직으로 적재된 선형 이송 챔버들 각각이 모듈화되는, 기판 프로세싱 시스템.
  17. 제 14 항에 있어서,
    적어도 2 개의 수직으로 적재된 선형 이송 챔버들의 개구들은 수직으로 적재된 프로세스 모듈들과 결합되기 위한 개구들의 수직 적재를 형성하도록 배치되는, 기판 프로세싱 시스템.
  18. 적어도 2 개의 수직으로 적재된 이송 챔버들로서, 각각의 수직으로 적재된 이송 챔버는 적어도 2 개의 수직으로 적재된 이송 챔버들중 다른 하나로부터 분리되어 구분되고 복수개의 개구들을 가지며, 적어도 2 개의 수직으로 적재된 이송 챔버들의 복수개의 개구들은 수직으로 적재된 프로세스 모듈들을 구비하는 프로세스 셀들과 결합되기 위한 개구들의 수직 적재를 형성하도록 배치되는, 적어도 2 개의 수직으로 적재된 이송 챔버들; 및,
    적어도 2 개의 수직으로 적재된 이송 챔버들 각각에 있는 적어도 하나의 이송 로봇으로서, 적어도 하나의 이송 로봇은 터널의 길이를 따라서 수직으로 적재된 프로세스 모듈들의 개별적인 하나로 기판들을 이송하도록 구성되고, 적어도 하나의 이송 로봇은 수직으로 적재된 이송 챔버들중 개별적인 하나에 의해 형성된 선형 경로를 따라서 위치가 고정된 조인트를 가지는, 적어도 하나의 이송 로봇;을 포함하는, 기판 프로세싱 시스템.
  19. 제 18 항에 있어서,
    적어도 2 개의 수직으로 적재된 이송 챔버들 각각은 선형 이송 챔버를 형성하도록 다른 챔버와 결합되게끔 구성된 적어도 하나의 챔버를 구비하는, 기판 프로세싱 시스템.
  20. 제 19 항에 있어서,
    적어도 하나의 챔버의 각각은 위치가 고정된 이송 로봇을 구비하는, 기판 프로세싱 시스템.
KR1020147014085A 2011-10-26 2012-10-26 반도체 웨이퍼 취급 및 이송 KR102185752B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161551779P 2011-10-26 2011-10-26
US61/551,779 2011-10-26
PCT/IB2012/002688 WO2013072760A2 (en) 2011-10-26 2012-10-26 Semiconductor wafer handling and transport

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020207034131A Division KR102244137B1 (ko) 2011-10-26 2012-10-26 반도체 웨이퍼 취급 및 이송

Publications (2)

Publication Number Publication Date
KR20140087023A true KR20140087023A (ko) 2014-07-08
KR102185752B1 KR102185752B1 (ko) 2020-12-02

Family

ID=48430279

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020147014085A KR102185752B1 (ko) 2011-10-26 2012-10-26 반도체 웨이퍼 취급 및 이송
KR1020207034131A KR102244137B1 (ko) 2011-10-26 2012-10-26 반도체 웨이퍼 취급 및 이송

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020207034131A KR102244137B1 (ko) 2011-10-26 2012-10-26 반도체 웨이퍼 취급 및 이송

Country Status (6)

Country Link
US (3) US9862554B2 (ko)
JP (3) JP2015502654A (ko)
KR (2) KR102185752B1 (ko)
CN (1) CN104011845B (ko)
TW (2) TWI719331B (ko)
WO (1) WO2013072760A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101877272B1 (ko) * 2015-10-02 2018-07-12 에이피시스템 주식회사 진공 라미네이팅을 이용한 본딩 시스템
KR20220031702A (ko) * 2019-07-12 2022-03-11 어플라이드 머티어리얼스, 인코포레이티드 고밀도 기판 프로세싱 시스템들 및 방법들

Families Citing this family (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5253511B2 (ja) * 2007-10-24 2013-07-31 オーツェー・エリコン・バルザース・アーゲー ワークピース製造方法及び装置
US9004788B2 (en) * 2010-06-08 2015-04-14 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and storage medium
JP6118114B2 (ja) * 2013-01-15 2017-04-19 昭和電工株式会社 磁気記録媒体の製造方法及び装置
JP6118130B2 (ja) 2013-02-25 2017-04-19 昭和電工株式会社 磁気記録媒体の製造方法及び装置
US20140261168A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Multiple chamber module and platform in semiconductor process equipment
JP2014216519A (ja) * 2013-04-26 2014-11-17 株式会社ディスコ 加工装置及びウエーハの輸送方法
US10328580B2 (en) * 2013-08-09 2019-06-25 Persimmon Technologies Corporation Reduced footprint substrate transport vacuum platform
JP6594304B2 (ja) * 2013-10-18 2019-10-23 ブルックス オートメーション インコーポレイテッド 処理装置
CN103560102B (zh) * 2013-10-29 2016-04-27 中国科学院苏州纳米技术与纳米仿生研究所 一种机械手操作系统
CN104979227B (zh) * 2014-04-02 2018-03-30 中芯国际集成电路制造(上海)有限公司 半导体数据收集方法及系统
US9669550B2 (en) * 2014-04-18 2017-06-06 Kla-Tencor Corporation Pick and place device with automatic pick-up-height adjustment and a method and a computer program product to automatically adjust the pick-up-height of a pick and place device
JP2015231036A (ja) * 2014-06-06 2015-12-21 キヤノン株式会社 リソグラフィ装置、および物品製造方法
CN104269368A (zh) * 2014-08-29 2015-01-07 沈阳拓荆科技有限公司 一种利用前端模块为晶圆加热的装置及方法
CN105446281B (zh) * 2014-09-01 2019-04-26 中芯国际集成电路制造(上海)有限公司 工艺腔室的派货方法和系统
CN104267256B (zh) * 2014-09-19 2016-11-16 南京中电熊猫晶体科技有限公司 适用于高基频mesa晶片测试的装置及测试方法
US10332770B2 (en) * 2014-09-24 2019-06-25 Sandisk Technologies Llc Wafer transfer system
AU2015100136A4 (en) * 2015-01-12 2015-03-12 Macau University Of Science And Technology Optimal Buffer Space Configuration and Scheduling for Single-Arm Multi-cluster Tools
WO2016172003A1 (en) 2015-04-20 2016-10-27 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robot
US9889567B2 (en) * 2015-04-24 2018-02-13 Applied Materials, Inc. Wafer swapper
JP6463220B2 (ja) * 2015-05-21 2019-01-30 東京エレクトロン株式会社 処理システム
US20180182658A1 (en) * 2015-05-25 2018-06-28 Kawasaki Jukogyo Kabushiki Kaisha Horizontal articulated robot
KR20230145534A (ko) * 2015-07-13 2023-10-17 브룩스 오토메이션 인코퍼레이티드 온 더 플라이 자동 웨이퍼 센터링 방법 및 장치
US10001772B2 (en) * 2015-09-20 2018-06-19 Macau University Of Science And Technology Optimally scheduling of close-down process for single-arm cluster tools with wafer residency time constraints
JP6539558B2 (ja) * 2015-10-05 2019-07-03 リンテック株式会社 処理装置
US10014196B2 (en) 2015-10-20 2018-07-03 Lam Research Corporation Wafer transport assembly with integrated buffers
US9502275B1 (en) * 2015-10-20 2016-11-22 Lam Research Corporation Service tunnel for use on capital equipment in semiconductor manufacturing and research fabs
US20170115657A1 (en) * 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
CN105388866A (zh) * 2015-11-17 2016-03-09 合肥芯福传感器技术有限公司 用于ic芯片或mems器件的全流程生产工作站
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US20170194181A1 (en) * 2016-01-04 2017-07-06 Micron Technology, Inc. Overhead traveling vehicle, transportation system with the same, and method of operating the same
US10788264B2 (en) * 2016-04-12 2020-09-29 Vanrx Pharmasystems, Inc. Method and apparatus for loading a lyophilization system
US10518985B2 (en) * 2016-05-31 2019-12-31 Wood's Powr-Grip Co., Inc. Control systems and methods for vacuum lift equipment
JP6937549B2 (ja) * 2016-06-10 2021-09-22 株式会社ジャパンディスプレイ 発光素子の製造装置
TWI623397B (zh) * 2016-06-30 2018-05-11 Kawasaki Heavy Ind Ltd Horizontal articulated robot
US11482434B2 (en) 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing
JP2019537253A (ja) * 2016-10-18 2019-12-19 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 被加工物を処理するためのシステムおよび方法
GB201701166D0 (en) * 2017-01-24 2017-03-08 Picofluidics Ltd An apparatus for electrochemically processing semiconductor substrates
JP6802726B2 (ja) * 2017-02-14 2020-12-16 株式会社Screenホールディングス 基板搬送装置、それを備える基板処理装置および基板搬送方法
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
JP6729465B2 (ja) * 2017-03-28 2020-07-22 株式会社ダイフク 物品搬送設備
WO2018213014A1 (en) * 2017-05-16 2018-11-22 Smartfabs Corporation Method and system to route semiconductor parts to machines distributed in a multi-building plant
SG11202000479WA (en) * 2017-07-19 2020-02-27 Intevac Inc System for forming nano-laminate optical coating
US10153282B1 (en) * 2017-08-11 2018-12-11 Lam Research Corporation Ultra-high vacuum transport and storage
GB2570510A (en) 2018-01-30 2019-07-31 Pragmatic Printing Ltd System and method for manufacturing plurality of integrated circuits
KR102363113B1 (ko) * 2018-03-01 2022-02-15 가부시키가이샤 에바라 세이사꾸쇼 스케줄러, 기판 처리 장치, 및 기판 반송 방법
US11574830B2 (en) * 2018-03-16 2023-02-07 Brooks Automation Us, Llc Substrate transport apparatus
CN108591826A (zh) * 2018-04-23 2018-09-28 睿力集成电路有限公司 气体处理系统及处理方法
KR101943268B1 (ko) * 2018-04-26 2019-01-28 캐논 톡키 가부시키가이샤 진공 시스템, 기판 반송 시스템, 전자 디바이스의 제조 장치 및 전자 디바이스의 제조 방법
JP7049909B2 (ja) * 2018-05-11 2022-04-07 川崎重工業株式会社 基板搬送ロボット及び基板保持ハンドの光軸ずれ検出方法
US11535460B2 (en) * 2018-05-31 2022-12-27 Brooks Automation Us, Llc Substrate processing apparatus
DE102018113786A1 (de) * 2018-06-08 2019-12-12 Vat Holding Ag Waferübergabeeinheit und Waferübergabesystem
KR102531483B1 (ko) * 2018-10-04 2023-05-10 어플라이드 머티어리얼스, 인코포레이티드 이송 시스템
CN111106038B (zh) * 2018-10-29 2023-01-31 台湾积体电路制造股份有限公司 自动化处理端系统以及方法
US11705358B2 (en) 2018-10-29 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for automated processing ports
US11414748B2 (en) * 2019-09-25 2022-08-16 Intevac, Inc. System with dual-motion substrate carriers
CN111354657B (zh) * 2018-12-24 2023-09-26 拓荆科技股份有限公司 半导体多站处理腔体
JP7190900B2 (ja) * 2018-12-28 2022-12-16 株式会社Screenホールディングス 基板処理装置、キャリア搬送方法およびキャリアバッファ装置
US11164766B2 (en) * 2019-02-07 2021-11-02 Hitachi High-Tech Corporation Operating method of vacuum processing apparatus
EP3921123A4 (en) 2019-02-08 2022-10-26 Yaskawa America, Inc. THROUGH-BEAM MACHINE LEARNING
CN110246798B (zh) * 2019-05-09 2024-01-05 四川九州光电子技术有限公司 一种芯片载具用的多功能装卸装置
CN112103206A (zh) * 2019-06-17 2020-12-18 上海微电子装备(集团)股份有限公司 工件传输系统、工件传输方法及激光退火设备
JP7221403B2 (ja) * 2019-09-05 2023-02-13 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
CN112582318A (zh) * 2019-09-30 2021-03-30 沈阳芯源微电子设备股份有限公司 涂胶显影设备
KR102270760B1 (ko) * 2019-11-29 2021-06-30 에이엠티 주식회사 미세 피치를 갖는 디바이스의 테스트장치
KR20220149605A (ko) * 2020-03-03 2022-11-08 램 리써치 코포레이션 챔버 도킹 시스템을 갖는 이동식 카트의 협동 로봇 시스템 (collaborative robot system)
US11565402B2 (en) 2020-03-09 2023-01-31 Applied Materials, Inc. Substrate transfer devices, systems and methods of use thereof
US11521870B2 (en) * 2020-07-08 2022-12-06 Applied Materials, Inc. Annealing chamber
KR20220053854A (ko) * 2020-10-23 2022-05-02 피코앤테라(주) 이에프이엠
KR102610837B1 (ko) * 2020-12-29 2023-12-06 세메스 주식회사 기판과 기판을 접합하기 위한 기판 접합 설비에서의 기판 보관 및 정렬 장치
JP7456065B2 (ja) * 2021-03-23 2024-03-26 キオクシア株式会社 ストレージシステム
CN113970392B (zh) * 2021-10-25 2023-09-22 安徽大学 柔性接近觉与压力触觉传感器、传感系统及柔性电子皮肤
CN114035466B (zh) * 2021-11-05 2022-05-31 肇庆高峰机械科技有限公司 一种双工位磁片排列机的控制系统
JP2023072528A (ja) 2021-11-12 2023-05-24 東京エレクトロン株式会社 基板搬送装置及び基板搬送方法
TWI806617B (zh) * 2022-05-19 2023-06-21 京鼎精密科技股份有限公司 晶圓測量裝置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003060009A (ja) * 1996-08-05 2003-02-28 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
KR20070008533A (ko) * 2003-11-10 2007-01-17 블루쉬프트 테크놀로지스, 인코포레이티드. 진공-사용 반도체 핸들링 시스템에서 작업 편을 핸들링하기위한 방법 및 시스템

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0666295B2 (ja) * 1983-06-29 1994-08-24 東京応化工業株式会社 多段プラズマ処理装置
JP2592511B2 (ja) * 1988-12-03 1997-03-19 株式会社フレンドテック研究所 縦型半導体製造システム
JPH08340034A (ja) * 1995-06-09 1996-12-24 Mitsui Toatsu Chem Inc 薄膜形成装置
KR100269097B1 (ko) 1996-08-05 2000-12-01 엔도 마코토 기판처리장치
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
JP4627992B2 (ja) * 2004-01-08 2011-02-09 住友精密工業株式会社 基板処理システム
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
JP2008028035A (ja) * 2006-07-19 2008-02-07 Phyzchemix Corp 半導体製造装置
JP2011514652A (ja) 2007-07-17 2011-05-06 ブルックス オートメーション インコーポレイテッド チャンバ壁に一体化されたモータを伴う基板処理装置
JP2009147236A (ja) * 2007-12-17 2009-07-02 Mitsubishi Heavy Ind Ltd 真空処理装置
JP5303222B2 (ja) 2008-08-29 2013-10-02 株式会社Ihi 搬送制御装置及び搬送制御方法
JP5562759B2 (ja) 2009-11-04 2014-07-30 東京エレクトロン株式会社 基板処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003060009A (ja) * 1996-08-05 2003-02-28 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
KR20070008533A (ko) * 2003-11-10 2007-01-17 블루쉬프트 테크놀로지스, 인코포레이티드. 진공-사용 반도체 핸들링 시스템에서 작업 편을 핸들링하기위한 방법 및 시스템

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101877272B1 (ko) * 2015-10-02 2018-07-12 에이피시스템 주식회사 진공 라미네이팅을 이용한 본딩 시스템
KR20220031702A (ko) * 2019-07-12 2022-03-11 어플라이드 머티어리얼스, 인코포레이티드 고밀도 기판 프로세싱 시스템들 및 방법들

Also Published As

Publication number Publication date
CN104011845A (zh) 2014-08-27
JP2015502654A (ja) 2015-01-22
TWI719331B (zh) 2021-02-21
US11352220B2 (en) 2022-06-07
WO2013072760A4 (en) 2013-12-12
TWI637892B (zh) 2018-10-11
WO2013072760A3 (en) 2013-10-24
JP7432457B2 (ja) 2024-02-16
WO2013072760A2 (en) 2013-05-23
KR102244137B1 (ko) 2021-04-23
JP2020170866A (ja) 2020-10-15
US20190218041A1 (en) 2019-07-18
US20140271083A1 (en) 2014-09-18
US10239707B2 (en) 2019-03-26
TW201335050A (zh) 2013-09-01
CN104011845B (zh) 2018-05-11
KR102185752B1 (ko) 2020-12-02
US20180141762A1 (en) 2018-05-24
KR20200136058A (ko) 2020-12-04
US9862554B2 (en) 2018-01-09
TW201919970A (zh) 2019-06-01
JP2018164108A (ja) 2018-10-18

Similar Documents

Publication Publication Date Title
US11352220B2 (en) Semiconductor wafer handling and transport
US9884726B2 (en) Semiconductor wafer handling transport
EP1684951B1 (en) System for handling workpieces in a vacuum-based semiconductor handling system
US20050111938A1 (en) Mid-entry load lock for semiconductor handling system
WO2007101228A2 (en) Semiconductor wafer handling and transport

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant