CN104011845A - 半导体晶片搬运和运输 - Google Patents

半导体晶片搬运和运输 Download PDF

Info

Publication number
CN104011845A
CN104011845A CN201280064498.7A CN201280064498A CN104011845A CN 104011845 A CN104011845 A CN 104011845A CN 201280064498 A CN201280064498 A CN 201280064498A CN 104011845 A CN104011845 A CN 104011845A
Authority
CN
China
Prior art keywords
stacking
module
freight office
vacuum
linear
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201280064498.7A
Other languages
English (en)
Other versions
CN104011845B (zh
Inventor
R.T.卡维尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Azenta Inc
Original Assignee
Brooks Automation Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brooks Automation Inc filed Critical Brooks Automation Inc
Publication of CN104011845A publication Critical patent/CN104011845A/zh
Application granted granted Critical
Publication of CN104011845B publication Critical patent/CN104011845B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G47/00Article or material-handling devices associated with conveyors; Methods employing such devices
    • B65G47/74Feeding, transfer, or discharging devices of particular kinds or types
    • B65G47/90Devices for picking-up and depositing articles or materials
    • B65G47/901Devices for picking-up and depositing articles or materials provided with drive systems with rectilinear movements only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67715Changing the direction of the conveying path
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67733Overhead conveying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2201/00Indexing codes relating to handling devices, e.g. conveyors, characterised by the type of product or load being conveyed or handled
    • B65G2201/02Articles
    • B65G2201/0297Wafer cassette

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Abstract

一种基底处理系统包括:至少两个垂直地堆叠的运输室,每个垂直地堆叠的运输室包括布置为形成被配置用于耦合到垂直地堆叠的处理模块的开口的垂直堆的多个开口,至少一个垂直地堆叠的运输室包括至少一个运输室模块,所述至少一个运输室模块被布置用于耦合到另一运输室模块以形成线性运输室,并且所述至少两个堆叠的运输室中的另一个包括至少一个运输室模块,所述至少一个运输室模块被布置用于耦合到另一运输室模块以形成另一线性运输室;和运输机器人,布置在每个运输室模块中,其中运输机器人的关节沿着由相应线性运输室形成的线性路径在位置上是固定的。

Description

半导体晶片搬运和运输
相关申请的交叉引用
本申请是于2011年10月26日提交的第61/551,779号美国临时专利申请的非临时申请并且要求该专利申请的利益,其全部公开通过引用包含于此。
技术领域
这里公开的本发明一般地涉及半导体处理系统,具体地讲,涉及真空半导体处理工件搬运(handling)和运输。
背景技术
当前半导体制造装备采用几种不同的形式,每种形式具有显著缺点。在围绕中心机械臂的一定半径内布置一组半导体处理模块的群集工具、机器占用大量空间,相对慢,并且由于其架构而局限于少量的半导体处理模块,通常最多大约五个或六个。尽管与群集工具相比提供大得多的灵活性和更快速度的可能性,但线性工具并不很好地适合最新的半导体加工设施的当前基础设施。此外,半导体制造的典型真空环境内的装备部件的线性运动在当前线性系统中导致问题,诸如由于部件之间的摩擦而产生的不可接受的水平的颗粒。存在使用半径处理模块布置和线性布置的组合的几种混合架构。
随着半导体制造的复杂性的增加,变得越来越需要在许多不同处理模块或处理模块的群集之间传送晶片,并且有时在分开很远距离的工具和模块之间传送晶片。这带来许多困难,特别是在分开的真空处理设施之间传送晶片时。真空环境之间或真空和其它处理环境之间的传送经常导致增加的颗粒污染的风险(由于负载锁中的晶片的抽气和通风所导致)以及更高的热预算,其中晶片在传送期间被加热或冷却。
仍然需要改进的用在半导体制造环境中的晶片运输和搬运系统。
发明内容
这里提供用于改进的半导体制造搬运和运输的方法和系统。在真空半导体处理系统中,模块化晶片运输和搬运设施被以各种方式组合,提供更高水平的灵活性、效用、效率和功能。各种处理和其它模块可利用隧道和推车运输系统互连以扩展真空环境的距离和通用性。其它改进(诸如,旁路热调整器、缓冲调准器、成批处理、多功能模块、低颗粒通风口、群集处理基元等)被包括以扩展功能并且提高处理效率。
如这里所使用,“机器人”应该包括包含机械能力和控制能力的任何种类的已知的机器人或者类似装置或设施,其可包括如下的组合:控制器、处理器、计算机或类似设施、一组电机或类似设施、一个或多个解析器、编码器或类似设施、一个或多个机械或操作设施(诸如,臂、轮、腿、连杆、爪、扩展器、夹具、喷嘴、喷洒器、末端执行器、致动器等)以及以上的任何设施的任何组合。一个实施例是机械臂。
如这里所使用,“驱动器”应该包括用于引起运动的任何形式的驱动机构或者设施。在实施例中,它包括机器人的电机/编码器区段。
如这里所使用,“轴”应该包括通过联杆、皮带或类似设施以机械方式连接到机械构件(诸如,臂构件)的电机或驱动器。“N轴驱动器”应该包括包含N个轴的驱动器;例如,“2轴驱动器”是包含两个轴的驱动器。
如这里所使用,“臂”应该包括被动或主动(意味着包含电机/编码器)联杆,该联杆可包括用于抓住或抓握待搬运的材料的一个或多个臂或腿构件、轴承和一个或多个末端执行器。
如这里所使用,“SCARA臂” 应该表示本领域技术人员已知的一种或多种形式的选择性顺应组件机械臂(SCARA)机械臂,包括由以下部件构成的臂:一个或多个上连杆,连接到驱动器;一个或多个下连杆,通过皮带或机构连接到作为驱动器的一部分的电机;和一个或多个末端单元,诸如末端执行器或致动器。
如这里所使用,“旋转半径”应该表示当臂完全收缩时臂所适合的半径。
如这里所使用,针对机械臂,“范围”应该包括当臂完全伸展时获得的最大范围。通常,机械极限比实际有效范围远一点,因为更容易控制未彻底完全伸展的臂(在实施例中,存在可能难以控制的在最远距离的左/右奇点)。
如这里所使用,“容纳”应该表示当臂以最佳方式收缩以使得能够围绕臂/末端执行器/材料画具有最小半径的圆圈时的情况。
如这里所使用,针对机械臂,“范围容纳比”应该表示最大范围与最小容纳之比。
如这里所使用,“机器人-机器人”距离应该包括两个不同的机器人驱动器的旋转的机械中心轴之间的水平距离。
如这里所使用,“槽阀”应该包括矩形阀,该矩形阀打开和关闭以允许机械臂穿过(与真空(隔离)阀相反,真空(隔离)阀控制真空室的抽气)。例如,在SEMI E21.1-1296标准(半导体制造的公开标准)中,在某些半导体制造处理模块中用于300 mm晶片的槽阀具有336 mm的开口宽度、50 mm的开口高度和60 mm的总阀门厚度,该标准还规定安装螺栓和定位销。
如这里所使用,“传送平面”应该包括材料通过槽阀被从机器人室传送到处理模块室的平面(高度)。根据用于半导体制造装备的SEMI E21.1-1296标准,传送平面位于槽阀中心线上方14 mm并且位于工厂地板的平面上方1100 mm。
如这里所使用,“区段”应该包括真空室,该真空室在它里面具有一个或多个机器驱动器。这是线性系统中的最小可重复元件。
如这里所使用,“连杆”应该包括在两端连接到另一连杆、末端执行器或机器人驱动器的机械臂的机械构件。
如这里所使用,“L1”、“L2”、“L3”等应该包括从驱动器到末端执行器的臂连杆的编号。
如这里所使用,“末端执行器”应该包括位于远离机器驱动器并且接近机械臂将会作用于的物品的机械臂的主动末端的元件。末端执行器可以是在半导体处理中被动地或主动地抓住待运输的材料的机器人的手或布置在机械臂的末端的某一其它致动器。
如这里所使用,术语“SCARA臂”表示包括一个或多个连杆并且可包括末端执行器的机械臂,其中臂在控制下能够以线性方式移动以便诸如咬合物体。SCARA臂可具有各种数量的连杆,诸如3个、4个或更多。如这里所使用,“3连杆SCARA臂”应该包括具有三个构件的SCARA机械臂:连杆一(L1)、连杆二(L2)和末端执行器。用于3连杆SCARA臂的驱动器通常具有3个电机:一个连接到L1;一个连接到皮带系统,皮带系统又通过滑轮连接到末端执行器;和Z(升降)电机。能够将第四电机连接到末端执行器,这允许仅利用三个电机无法实现的一些不常见的移动。
如这里所使用,“双SCARA臂”应该包括可选地连接到公共驱动器的两个SCARA臂(诸如,两个3或4连杆SCARA臂(通常表示为A和B))的组合。在实施例中,两个SCARA臂或者完全独立或者共享公共连杆构件L1。用于双独立SCARA臂的驱动器通常具有五个电机:一个连接到L1-A;一个连接到L1-B;一个连接到臂A的皮带系统;一个连接到臂B的皮带系统;和公共Z(升降)电机。用于双依赖SCARA臂的驱动器通常具有用于臂A和B的公共共享L1连杆并且通常包含四个电机:一个连接到公共连杆L1;一个连接到臂A的皮带系统;一个连接到臂B的皮带系统;和公共Z(升降)电机。
如这里所使用,“4连杆SCARA臂”应该包括具有四个构件的臂:L1、L2、L3和末端执行器。用于4连杆SCARA臂的驱动器能够具有四个电机:一个连接到L1;一个连接到与L2和L3连接的皮带系统;一个连接到末端执行器;和Z电机。在实施例中,仅需要3个电机:一个连接到L1;一个连接到与L2、L3和末端执行器连接的皮带系统;和Z电机。
如这里所使用,“蛙腿型臂”应该包括具有五个构件的臂:L1A、L1B、L2A、L3B和末端执行器。用于蛙腿臂的驱动器能够具有三个电机:一个连接到通过齿轮等以机械方式连接到L1B的L1A;一个连接到使整个臂组件旋转的转塔;和Z电机。在实施例中,该驱动器包含三个电机(一个连接到L1A;一个连接到L1B;和Z电机),并且通过电机之间的协调实现预期运动。
如这里所使用,“双蛙腿型臂”应该包括具有八个构件的臂:L1A、L1B、L2A-1、L2A-2、L2B-1、L2B-2和两个末端执行器。第二连杆构件L2A-1和L2B-1形成单个蛙腿型臂,而第二连杆构件L2A-2和L2B-2也形成单个蛙腿型臂,然而朝向相反的方向。用于双蛙臂的驱动器可与用于单个蛙臂的驱动器相同。
如这里所使用,“跳跃双蛙腿型臂”应该包括具有八个构件的臂:L1A、L1B、L2A-1、L2A-2、L2B-1、L2B-2和两个末端执行器。第一连杆构件L1A和L1B中的每一个基本上通过它们的中心而非通过它们的远端连接到电机之一。第二连杆构件L2A-1和L2B-1形成单个蛙腿型臂,而第二连杆构件L2A-2和L2B-2也形成单个蛙腿型臂,然而朝向相同的方向。用于双蛙臂的驱动器可与用于单个蛙臂的驱动器相同。
这里公开使用用于在处理模块之间的真空中运送一个或多个晶片的可移动推车来组合可链接的灵活的机器人系统与真空隧道系统的方法和系统。真空隧道推车可被用于在处理模块或群集之间传送晶片,而可链接的机器人系统被用在用于本地晶片搬运的每个模块或群集内。推车可采用适合真空环境的任何运输媒介,诸如磁悬浮/推进。
这里还公开真空运输系统的各种配置,其中异质搬运系统被以模块化方式组合以在单个处理环境内允许更多不同的功能。通常,机器人可提供用于彼此接近的处理模块里面和处理模块之间的晶片搬运,同时允许相对远的处理基元之间的晶片的迅速、方便的运输。这种异质搬运系统可包括例如这样的系统:机械臂(诸如,SCARA臂)被用于在处理模块或群集内搬运晶片,同时推车或类似设施被用于在处理模块或群集之间运输晶片。推车或类似设施可包括悬浮推车、轨道推车、管道系统或各种推车或铁路系统中的任何一种,包括这里公开的各种实施例。
这里公开的方法和系统还包括与推车系统结合的机器人搬运系统的各种配置,包括推车系统形成“U”和“T”形、回路、直线、双线性配置(包括并行和上下配置)等的那些配置。
这里公开用于在真空半导体处理系统中支撑真空处理和搬运模块的方法和系统。这里公开的基座支撑系统可精确地定位真空模块以方便相邻模块之间的合适的真空密封。在实施例中,基座的圆柱形形状在利用小覆盖区为支撑的真空模块提供稳定性的同时提供方便的制造方法的机会。
在实施例中,基座支撑系统还可包括用于机器人在真空模块内操作的机器人电机机构,进一步减小真空处理系统的总体尺寸和成本。
具有滚动底座的基座支撑系统还可提供所需的在快速并且成本有效地重新配置处理和搬运模块方面的灵活性。
通过下面对优选实施例和附图的详细描述,本发明的这些和其它系统、方法、目的、特征和优点将会对于本领域技术人员而言变得清楚。这里提及的全部文档的全部内容通过引用包含于此。
附图说明
通过下面参照附图对本发明的进一步描述,将会更充分地理解本发明的前述和其它目的和优点,其中:
图1显示各种制造装备类型的装备架构。
图2显示用于在半导体制造过程中搬运物品的传统的群集类型架构。
图3A和3B显示用于容纳在两个和六个处理模块之间的一系列群集类型系统。
图4显示用于在制造过程中搬运物品的线性处理架构的高级部件。
图5显示线性处理系统(诸如,具有与图4的架构相似的架构的系统)的顶视图。
图6A和6B显示3连杆SCARA臂和4连杆SCARA臂。
图7显示SCARA臂的范围和容纳特性。
图8显示机器人系统的高级部件。
图9显示用在搬运系统中的机械臂系统的双臂架构的部件。
图10显示4连杆SCARA臂的范围和容纳能力。
图11A和11B显示4连杆SCARA臂的接口特性。
图12显示使用皮带作为传动机构的双臂组的4连杆SCARA臂的侧视图。
图13A、13B和13C显示使用花键连杆作为传动机构的双臂组的4连杆SCARA臂。
图14显示具有线性架构的搬运系统的外部返回系统。
图14a显示线性搬运系统的U形配置。
图15显示图14的搬运系统的外部返回系统的某些细节。
图16显示图14的搬运系统的外部返回系统的另外的细节。
图17显示图14的返回系统中的输出载体的移动。
图18显示图14的返回系统中的空载体的搬运。
图19显示图14的返回系统中的空载体移动到负载锁位置。
图20显示降低并且清空的空载体和图14的返回系统中的夹持器的移动。
图21显示在图14的返回系统中当满载体正被清空时空载体接收材料。
图22显示被带到固定位置从而在图14的返回系统中开始新的返回周期的空载体。
图23显示利用线性架构中的双臂机械臂系统和返回系统的用于制造过程的搬运设施的架构。
图24显示本发明的搬运方法和系统的总体系统架构的替代实施例。
图25A和25B显示与传统群集系统相比较的线性系统的覆盖区的比较。
图26显示根据本发明的实施例的在搬运系统中部署有超大型处理模块的线性架构。
图27显示根据本发明的实施例的搬运系统的后出口架构。
图28A和28B显示根据本发明的各种实施例的采用线性搬运系统的加工设施的各种布局可能性。
图29显示其中机器人可包括多个驱动器和/或多个控制器的本发明的实施例。
图30显示与本发明的实施例相关的传送平面和槽阀特性。
图31显示用于集中晶片的翻转夹持器。
图32显示用于集中晶片的被动滑动斜坡。
图33表示包括中间进入设施的加工设施。
图34A、34B和34C从顶视图表示包括中间进入设施的加工设施。
图35表示根据本发明的实施例的包括用于机械臂位置和材料的检测的光学传感器的放置的加工设施。
图36A、36B和36C以显示光束路径和替代射束路径的剖视侧视图表示加工设施。
图37A和37B表示光学传感器如何能够被用于确定由机械臂搬运的材料的中心。
图38显示传统3轴机器人真空驱动器架构。
图39显示根据本发明的实施例的3轴机器人真空驱动器架构。
图40表示根据本发明的实施例的垂直布置的负载锁组件。
图40B表示根据本发明的实施例的在晶片加工设施的两侧垂直布置的负载锁组件。
图41表示根据本发明的实施例的垂直布置的负载锁和垂直堆叠的处理模块。
图42以剖视侧视图显示根据本发明的实施例的具有垂直堆叠的处理模块的以线性方式布置的二级搬运架构。
图43以顶视图显示图42的搬运布局。
图44显示根据本发明的实施例的具有用于检测装备有仪器的物体与目标的接近的传感器的机械mm上的装备有仪器的物体。
图45表示传感器在目标上方的移动如何能够允许机械臂检测它相对于障碍物的位置。
图46显示装备有仪器的物体如何能够在真空环境中使用射频通信将位置传送给中央控制器。
图47表示作为位置的函数的一系列传感器的输出。
图48表示加热元件如何能够根据本发明的实施例被放置在负载锁中以用于物体的热处理。
图49A和49B显示沿两个维度逐渐变细的末端执行器,这减少了末端执行器中的主动振动模式。
图50A和50B显示用于机器人平面臂的机械臂元件的垂直变细如何能够被用于减少臂装置中的振动而不显著影响垂直堆叠高度。
图51A和51B表示双独立SCARA机械臂。
图52A和52B表示双依赖SCARA机械臂。
图53A和53B表示蛙腿型机械臂。
图54A和54B表示双蛙腿型机械臂。
图55A表示安装在可移动推车上的4连杆SCARA臂以及安装在倒置可移动推车上的4连杆SCARA臂。
图55B表示图55A的顶视图。
图56表示使用3连杆单或双SCARA臂机器人系统沿着基本上线性的轴传送晶片。
图57表示2级真空搬运机器人系统,其中可通过机械臂中的垂直轴到达顶处理模块和底处理模块。
图58A显示二级处理设施,其中在所述两级之一沿着基本上线性的轴传送基底。
图58B表示图58a的变型,其中基底被从系统的后面去除。
图59A显示沿基本上线性的轴容纳非常大的处理模块的制造设施。维修空间可用以允许进入到处理模块的内部。
图59B表示4个大处理模块和一个小处理模块的更紧凑的布局。
图60A和60B表示双蛙腿型机器人操纵器,其中基底位于系统的同一侧。
图61是优选实施例的平面图,其中通过传送机器人利用处理模块配置真空隧道推车。
图62是优选实施例的平面图,其中通过多个传送机器人利用多个处理模块配置真空隧道推车。
图63显示图62的实施例,还包括沿着真空隧道的两侧的处理模块。
图64是优选实施例的平面图,其中通过传送机器人利用群集处理基元配置真空隧道推车。
图65显示图64的实施例,还包括沿着真空隧道的两侧的多个群集处理基元和多个传送机器人。
图66是优选实施例的平面图,其中通过传送机器人利用线性处理基元配置真空隧道推车。
图67显示图66的实施例,还包括多个线性处理基元。
图68是优选实施例的平面图,其中利用隧道传送推车配置多个群集处理基元和多个线性处理基元。
图69显示图68的实施例,还包括多个传送推车。
图70是替代实施例的平面图,其中替代群集处理基元与隧道运输推车系统和线性处理组组合。
图71是替代实施例的平面图,其中隧道形成“L”的形状。
图72是替代实施例的平面图,其中隧道形成“T”的形状。
图73是替代实施例的平面图,其中隧道形成“U”的形状。
图74是替代实施例的平面图,其中需要长持续时间处理和短处理。
图75显示在运输隧道中具有多个运输推车的图74的实施例。
图76是替代实施例,其中多个隧道运输推车系统通过工件搬运真空模块来互连。
图77显示图76的实施例,其中隧道运输推车系统形成完整环路。
图78显示描述完整处理组的替代实施例。
图79显示真空处理系统中的工件缓冲区的实施例。
图80显示真空隧道中的双并行独立运输推车。
图81显示真空隧道中的双垂直相对的独立运输推车的侧视图。
图82显示处理系统中的具有机械臂的运输推车的实施例,处理系统也包括用于工件搬运的传送机器人。
图83显示双独立运输隧道的实施例,每个运输隧道具有运输推车。
图84显示图83中描述的实施例的实施例,其中工件升降机被用于将工件从下隧道移动到上隧道。
图85是系统的实施例,其中两种类型的蛙腿型机器人被配置为主要工件搬运传送机器人。
图86表示这里描述的系统的另一实施例。
图87-91表示使用垂直升降器和/或升降机的另外的实施例。
图92显示用于共享度量或平版印刷硬件的系统。
图93显示了组合直列式的并且平行于处理流程的隧道中的推车、工件搬运真空模块、处理模块和多功能模块的线性处理系统。
图94描述具有工件搬运真空模块存取的具备旁路功能的热调整模块的侧面剖视图。
图95是当可配置多功能半导体真空模块将被用在半导体真空处理系统中时的可配置多功能半导体真空模块的透视图。
图96显示真空处理系统中的多个真空扩展隧道。
图97描述具有四个储存的半导体工件的缓冲调准器模块。
图98描述图97的调准器的调准操作。
图99描述图97的调准器中的第二工件的调准。
图100描述从图97的调准器传送一批调准的工件。
图101描述真空处理系统环境中的真空模块支撑基座。
图102是包括模块化的公用资源传送模块的半导体处理系统的一部分的分解透视图。
图103是与处理室和高真空搬运模块一起应用的模块化的公用资源传送系统的侧视图。
图104显示连接到模块化的真空处理系统的模块化的公用资源传送模块。
图105显示与半导体真空模块一起使用的低颗粒通风系统的实施例的侧视图。
图106显示批量处理系统。
图107显示用在批量处理系统中的机械臂。
图108显示用在批量处理系统中的多搁架缓冲器。
图109显示根据实施例的一方面的示例性基底处理系统的一部分。
图110A-110D显示根据图109的实施例的一方面的示例性基底处理系统的部分。
图111显示去除了处理基元的一部分的图109的示例性基底处理系统的部分。
图112显示根据实施例的一方面的基底处理系统的侧视图。
图113显示根据图109的实施例的一方面的示例性基底处理系统的一部分。
图114显示根据图109的实施例的一方面的示例性基底处理系统的一部分。
具体实施方式
图1显示各种制造装备类型的装备架构1000。每个类型的制造装备在各种处理(诸如,化学汽相沉积处理、蚀刻处理等)之间搬运物品(诸如,半导体晶片)。因为半导体制造过程通常对污染物(诸如,微粒和挥发性有机化合物)极为敏感,所以该处理通常在专用于特定处理的一个或多个处理模块中在真空环境中进行。半导体晶片由搬运系统在各种处理之间移动以产生最终产品,诸如芯片。存在用于搬运系统的各种配置1000。普遍的系统是群集工具1002,其中处理模块沿径向位于中心搬运系统(诸如,机械臂)周围。在其它实施例中,诸如在实施例1004中,搬运系统能够使物品水平旋转。每个类型的工具的重要方面是“覆盖区”或装备在半导体制造设施中占用的区域。覆盖区越大,在加工设施中容纳多个机器所需的空间越大。此外,更大的覆盖区通常与对更大的真空系统的需求关联,随着真空系统的尺寸的增加,这大大增加了成本。架构1004在“旋转盘”设施中使物品旋转。1006中的架构将物品移入和移出处理模块,其中处理模彼此紧挨着布置。架构1008以类似于1002的群集安置处理模块,不同之处在于,中心机器人并行地搬运两个晶片。这些系统中的每一个共享群集工具的许多挑战,包括:显著的交换时间延迟,因为一个晶片移入给定处理模块并且另一晶片移出给定处理模块;以及保持给定处理模块的真空环境的清洁的很大的难度,因为越来越多的晶片移经该系统。
图2显示用于在半导体制造过程中搬运物品的传统的群集类型架构2000。机械臂2004在围绕机械臂2004安置在群集中的各种处理模块2002之间移动物品(诸如,晶片)。一旦处理完成,大气基底搬运迷你环境室2008接收由装备搬运的材料并且保存材料。需要注意的是,增加更多的处理模块2002将会多难。尽管再一个模块2002将会可能适合,但实际配置局限于五个处理模块2002。增加第六模块可显著影响装备的可维护性,特别是机械臂2004。
图3A和3B显示用于基于真空的制造过程的灵活架构系统的群集工具模块、大气迷你环境搬运室、真空搬运室和其它部件3000。不同模块能够被组装在一起以方便预期处理技术的制造。例如,给定芯片可能在不同处理模块中需要不同化学成分(例如,氮化钛、钨等)的化学汽相沉积以及在其它处理模块中需要蚀刻。不同处理模块中的处理的顺序产生独一无二的最终产品。考虑到半导体部件的增加的复杂性,经常希望具有允许制造商增加更多处理模块的灵活架构。然而,上述群集工具受到空间限制;因此,可能无法增加更多处理模块,意味着为了完成更复杂的半导体晶片,可能有必要将制造移至第二群集工具。如图3A和图3B中所见,群集工具能够包括带有具有分段真空隔离的两个3002、三个3004、四个3006、五个3008、3010或六个3012处理模块的配置。能够结合该装备提供其它部件。
图4显示用于在制造过程中搬运物品的线性处理架构4000的高级部件。该架构使用以线性方式布置的两个或更多的静止机器人4002。机器人4002能够安装在系统的底部或者悬挂在室盖上或者同时安装在系统的底部并且悬挂在室盖上。该线性系统在机器人周围使用真空室4012。该系统可以包括多个连接的真空室4012,每个真空室4012具有包含它自己的以线性方式布置的机器人的真空室4012。在实施例中,单个控制器能够被设置为搬运该架构的一个或多个区段。在实施例中,真空室4012区段是可扩展的;也就是说,制造商能够容易地增加另外的区段/室4012并且因此增加处理能力,与利用群集架构相比容易得多。因为每个区段使用独立机器人驱动器4004和臂4002,所以当增加另外的区段并且因此增加机器人时,吞吐量可保持高。相比之下,在群集工具中,当制造商增加处理室2002时,即使该机器人装备有双臂,系统也增加单个机器人的负载,最终机器人的速度能够变为限制因素。在实施例中,系统通过将另外的机械臂4002添加到单个驱动器中来解决这个问题。其它制造商已使用具有两个完全独立的臂的4轴机器人,诸如双SCARA或双蛙腿机器人。这里公开的线性系统可不受机器人容量限制,因为每个区段4012包含机器人,因此与利用群集工具相比,每个区段4012能够运输大得多的体积的材料。
在实施例中,系统的部件能够由软件控制器控制,在实施例中软件控制器可以是控制每个部件的中央控制器。在实施例中,部件在软件的控制下形成可链接搬运系统,其中软件控制每个机器人将材料移交给另一机器人或移交到缓冲区中以由下一个机器人拾取。在实施例中,软件控制系统可在新部件(诸如,处理模块或机器人)被插入到系统中时识别该部件的加入,诸如经网络(诸如,USB、以太网、firewire、Bluetooth、802.11a、802.11b、802.11g或其它网络)识别该部件。在这种实施例中,下一个机器人、处理模块或其它部件一被插入到软件调度器中以用于待搬运的材料(诸如,晶片)的流程,就能够被自动重新配置,以使得能够在系统中的新链路上引导该材料。在实施例中,软件调度器基于神经网络,或者它能够是基于规则的调度器。在实施例中,处理模块能够在这种网络上使它们自己变为已知,从而软件控制器知道已连接什么新的处理模块、机器人或其它部件。当新的处理模块被插入到空的平面中时,系统能够识别它并且允许它被调度到材料搬运的流程中。
在实施例中,软件系统可包括允许用户运行系统的仿真的界面。该界面可允许用户观看各种连杆、机械臂和其它部件的链接和配置以优化配置(诸如,通过移动材料经过各种部件的流程、移动处理模块、移动机器人等),并且确定从供应商购买什么配置。在实施例中,该界面可以是web界面。
这里公开的方法和系统能够在机器人驱动器之间使用可选的缓冲站4010。机器人能够直接彼此移交,但这在技术上更加难以优化,并且将会占用两个机器人,因为它们都必须同时可用以进行移交,与如果它们能够存放到位于它们之间的闲置位置4010的情况相比这更加具有限制性,在闲置位置4010,另一机器人能够在它准备好时拾取。缓冲区4010还允许更高的吞吐量,因为系统不必等待两个机器人都变为可用。另外,缓冲区4010还可提供用于在晶片上执行一些小的处理步骤的很好的机会,诸如加热、冷却、调准、检查、计量、测试或清洁。
在实施例中,这里公开的方法和系统在机器人区域/段4012之间使用可选的真空隔离阀4006。每个段4012能够完全与任何其它段4012隔离。如果机器人在它的段4012中搬运超清洁并且敏感的材料(例如,晶片),则使该段4012与系统的其余部分隔离可防止从较脏的段4012到清洁的段4012的交叉污染。此外,制造商现在能够以不同的压力操作段4012。制造商能够具有阶梯式真空级别,其中真空在机器中变得越来越好。在段4012之间使用真空隔离阀4006的很大的优点可在于,能够在不从进入隔离室段4012的系统的其它部分中的材料或晶片除气的情况下执行在原子程度上清洁的晶片(在清洁步骤之后产生并且需要在没有来自环境的污染的情况下在处理模块之间运输)的搬运。
在实施例中,可实现机器人之间的真空隔离作为机器人之间的材料缓冲,诸如使用缓冲模块4010、迷你处理模块或检查模块4010。
图5显示线性处理系统4000(诸如,具有与图4的线性架构相似的线性架构的系统)的顶视图。
不同形式的机器人能够被用在在半导体制造装备中,无论是群集工具还是诸如结合图4和5公开的线性处理机器。
图6A和6B显示3连杆SCARA臂6002和4连杆SCARA臂6004。3连杆或4-连杆臂6002、6004由机器人驱动器驱动。3连杆臂6002通常被用在工业中。当使用3连杆SCARA臂6002时,系统未被优化,因为范围容纳比不是很好。因此,真空室需要更大,并且由于成本随着真空室的尺寸而显著上升,所以具有3连杆SCARA臂6002能够增加系统的成本。此外,利用3连杆SCARA臂6002,系统的总体覆盖区变得更大。此外,3连杆SCARA臂6002的范围小于4连杆臂6004的范围。在一些情况下,制造商可能希望在处理模块中实现大的深的移交,并且4连杆臂6004到达远超出它的容纳比的位置。这在一些非SEMI标准处理模块中具有优点。当制造商想要覆盖段之间的大的距离时,它也具有优点。
4连杆臂6004是有益的,因为对于相同的容纳直径,它以远小于3连杆SCARA臂6002的容纳比折叠,但它到达比传统3连杆SCARA 6002远很多的位置。结合使第二驱动和第二4连杆臂6004被安装在系统的顶部的能力,它可允许处理模块中的快速材料交换。4连杆SCARA臂6004可例如被安装在静止驱动器上面,如图中所示,或者安装在提供旋转运动的传递以驱动臂和皮带的移动推车上面。在任一情况下,4连杆臂6004,可选地与第二4连杆臂6004一起,可提供能够穿过小的开口而不与开口的边缘碰撞的紧凑的长范围臂。
图7显示4连杆SCARA臂7004的范围和容纳特性。在实施例中,4连杆SCARA臂7004连杆长度并不像在一些其它系统中那样受到范围容纳比的优化的限制。范围容纳比的优化可导致第二臂构件太长。当臂经过尽可能布置为接近最小容纳直径的槽阀时,这个第二臂构件可能与槽阀的里面边缘碰撞。因此,可基于避免与臂被设计为经过的槽阀碰撞来确定第二(和第三)连杆的尺寸。这导致L1、L2和L3之间的非常不同的比例。L2的长度可限制L3的长度。用于最佳臂长度的方程可以是符合迭代解的4次幂方程。
图8显示机器人系统8002的高级部件,包括控制器8004、驱动器/电机8008、mm 8010、末端执行器8012和待搬运的材料8014。
图9显示用在搬运系统中的机械臂系统的双臂9002架构的部件。从底部9004安装一个臂,并且从顶部9008安装另一个臂。在实施例中,两个臂都是4连杆SCARA臂。将第二臂安装在顶部是有益的。在一些其它系统中,臂已连接到通过室的顶部安装的驱动器,但下驱动器和上驱动器在传统上以机械方式耦合。在实施例中,在结合图4和图5公开的线性系统中,在两个驱动器之间不存在机械连接;替代地,可在软件系统或控制器中执行两个臂的协调(以防止碰撞)。可以可选地由于吞吐量原因仅在必要时包括第二(顶)臂9008。
另一特征是:就像传统SCARA臂一样,可能仅需要两个电机以驱动4连杆臂。臂中的皮带可保持平行。例如,替代于皮带,也可例如使用平行杆实现平行或其它协调的移动。通常,仅使用两个电机可提供显著的成本优点。同时,三个电机可提供功能优点,因为最后一个(L4)连杆可被独立操纵,然而,另外的皮带、轴承、连接、轴和电机可使系统变得昂贵得多。另外,额外的皮带可显著增加臂机构的厚度,使得臂难以穿过(SEMI标准)槽阀。此外,使用较少的电机通常简化相关控制软件。
这里公开的4连杆SCARA臂的另一特征在于,肘节可相对于中心线偏移。由于理想系统具有顶部安装9008以及底部9004安装4连杆臂,所以如果制造商也必须遵守SEMI标准,则可能难以坚持臂构件的垂直布置。简而言之,这些标准规定通过槽阀4006进入处理模块的尺寸和范围要求。它们还规定必须运送晶片的中心线上方的水平。许多已有的处理模块符合这个标准。在不符合的系统中,槽阀4006具有非常类似的形状,但开口尺寸可能稍微不同以及传送平面的定义可能稍微不同。SEMI标准尺寸限制要求臂的非常紧凑的封装。使用偏移肘节允许顶部9008和底部9004臂变得更加靠近一起,使得它们更容易穿过槽阀4006。如果肘节不偏移,则臂需要保持垂直分开更远,并且晶片更换可能花费更多时间,因为驱动器需要沿垂直方向移动更多。提出的顶臂的设计不要求存在肘节偏移,但肘节偏移可有益地减小系统的旋转半径,并且允许更好的机械臂布局,因此不发生干扰。
图10显示4连杆SCARA臂6004的范围和容纳能力。
图11显示4连杆SCARA臂6004的干扰特性1102。与原本可能发生的情况相比,肘节偏移可帮助在更小的空间中折叠臂。
图12显示双臂组的4连杆SCARA臂6004的侧视图。因为特别地顶臂的封装约束,所以可能有必要配置具有一些独特特征的臂。在实施例中,一个连杆在收缩时部分地进入另一臂连杆中的切口。能够设置双份皮带,而非单个皮带,以使得一个皮带在切口上方12004并且一个皮带在切口下方12008。独立于这是4连杆臂的事实的一个解决方案是使L2显著较低12002,相对于L1具有垂直空隙,以使得L3和L4能够折叠在里面。降低L2 12002可允许L3和L4到达正确的传送平面并且可允许更好的容纳比。因为传送平面定义,可能需要降低L2 12002。
图13显示使用皮带和联杆的组合的实施例。可通过单皮带或双皮带布置来实现通过L1 13002和L3 13006的运动的传递。相比之下,可通过机械联杆(花键)13010实现L2 13004中的运动传递。这种布置的优点可在于,能够使用包围的接头,这减小臂组件的垂直尺寸,这可允许臂更容易地穿过SEMI标准槽阀。
图14显示具有线性架构14000的搬运系统的外部返回系统。返回机构可选地位于线性真空室上面。在传统真空搬运系统上,返回路径经常经过与进入路径相同的区域。这导致了交叉污染的可能性,当在处理步骤之间移动的清洁晶片被从还未被清洁的脏晶片进入系统的残留物污染时发生交叉污染。这还使得机器人4002必须搬运进入的材料以及离开的材料,并且这使得更加难以控制真空环境。通过在后部离开真空系统并且将位于顶部的晶片移回至位于风洞14012中的前部,存在一些显著优点:可相对比较便宜地实现回气;回气可解放真空机器人4002,因为它们不必搬运离开的材料;并且回气可使清洁的完成的材料保持远离进来的区域,由此降低交叉污染风险。在后部采用小负载锁14010可增加一些成本,并且风洞14012可增加一些成本,因此,在短的并且真空水平和交叉污染不这么重要的系统中,回气可具有较小价值,但在具有许多集成处理步骤的长系统中,以上系统回气能够具有显著益处。返回系统还能够是真空返回,但实现其将会更加昂贵并且更加复杂。应该理解,尽管在一些实施例中负载锁14010可如图14中所述位于线性系统的末端,但负载锁14010能够位于别处,诸如位于系统的中间。在这种实施例中,制造物品能够在系统中的这种另一点进入或离开系统,诸如离开系统进入回气。中间系统离开点的优点可在于,在局部系统故障的情况下,材料或晶片能够被恢复。中间系统离开点的优点可在于,晶片能够被插入在系统中的多个地点,允许显著更加灵活的处理流程。实际上,中间系统进入或离开位置就像通过中间系统位置连接在一起的两个机器,有效地消除EFEM位置。还应该理解,尽管图14和随后的附图的实施例是直线系统,但线性系统能够是曲线的;也就是说,按照制造商想要的任何格式,系统能够具有曲线、U或V形、S形或者这些或任何其它曲线路径的组合,以便诸如适合制造设施的配置。在每一种情况下,系统可选地包括进入点和从进入点沿着线(但可选地,该线不是直线)的离开点。可选地,回气使物品从离开点返回到进入点。可选地,系统能够包含超过一个离开点。在每一种情况下,这里描述的机械臂能够帮助有效地沿该线移动物品,而没有其它线性系统的问题。图14A显示U形线性系统的例子。
仍然参照图14,系统的实施例使用双载体机构14008,以使得完成的晶片能够快速地返回到系统的前部,还使得空载体14008能够被放置在满载体刚刚被去除的位置。在实施例中,回气的特征将会在于包含N个晶片的载体14008。N能够根据吞吐量和成本要求而被优化。在实施例中,回气机构可包含空载体14008,以使得当满载体14018被从真空负载锁14010去除时,新的空载体14008能够立即被放置并且负载锁14010能够腾空以接收更多材料。在实施例中,回气机构可以能够将晶片移至系统的前部。在下降点,垂直电梯14004可被用于使载体下降到EFEM(装备前端模块)机器人能够到达的水平。在负载锁点(一个或多个),垂直电梯14004能够下降以从负载锁拾取空载体14008。
在实施例中,回气机构的特征可在于用于空载体14008的储存区域14014,储存区域14014也许位于最末端并且位于负载锁14010的位置后面。这种情况的原因是:当负载锁14010释放载体14018时,夹持器14004能够夹住载体14018并且将其稍微向前移动。夹持器14004能够随后释放满载体14018,一直移回,并且取回空载体14008,将其放置在负载锁14010上。此时,负载锁14010能够腾空。夹持器14004现在能够回到满载体14018并且将其一直移到系统的前部。一旦载体14018已被EFEM清空,它能够准确返回到它等待下一周期的同一后部。
也可将电梯放在负载锁中而非使用夹持器中的垂直运动,但这将会更昂贵。这也将会稍微不那么灵活。制造商可能在几个地方想要载体14018的垂直移动,并且将其放在夹持器14004中将会更加经济,因为制造商随后仅需要一个垂直机构。
图15显示图14的搬运系统的外部返回系统的某些另外的细节。
图16显示图14的搬运系统的外部返回系统的另外的细节。
图17显示图14的返回隧道14012中的输出载体14018的移动。
图18显示图14的返回系统14012中的空载体14008的搬运。
图19显示图14的返回隧道14012中的空载体14008移动到负载锁14010位置。
图20显示降低并且清空的空载体14008和图14的返回系统中的夹持器14004的移动。
图21显示在图14的返回隧道14012中当满载体14018正被清空时空载体14008接收材料。
图22显示被带到固定位置从而在图14的返回隧道14012中开始新的返回周期的空载体14008。
图23显示利用线性架构中的双臂机械臂系统23002和返回系统的用于制造过程的搬运设施的架构。
图24显示本发明的搬运方法和系统的总体系统架构的替代实施例。
图25显示与传统群集系统25004相比较的线性系统25002的覆盖区的比较。需要注意的是,利用线性系统25002,制造商能够容易地利用另外的模块扩展机器,而不影响系统吞吐量。例如,如图25A中所示,仅对于真空区段,W = 2*750 + 2*60 + 440 = 2060。类似地,D = 350*2 + 440* 1.5 + 3*60 + 745/2 = 1913,并且A = 3.94 m2。关于图25B,仅对于真空区段,W = 2*750 + 2*60 + 1000 = 2620 。类似地,D = 920 + cos (30) * (500+60+750) + sin (30)*745/2 = 2174;因此,A = 6.9 m2,它大出45%。
图26显示根据本发明的实施例的在搬运系统中部署有超大型处理模块26002的线性架构。
图27显示根据本发明的实施例的搬运系统的后出口架构。
图28显示根据本发明的各种实施例的采用线性搬运系统的加工设施的各种布局可能性。
图29显示其中机器人29002可包括多个驱动器29004和/或多个控制器29008的本发明的实施例。在实施例中,控制器29008可控制多个驱动器29004以及其它外围装置,诸如,槽阀、真空计,因此机器人29002可以是具有多个驱动器29004的一个控制器29008或具有多个驱动器29004的多个控制器29008。
图30显示与本发明的实施例相关的传送平面30002和槽阀30004特性。
图31显示用于集中晶片的翻转夹持器31002。翻转夹持器31002相对于图32中的被动集中夹持器32002的优点在于,在翻转器31004和晶片31008的后侧之间存在较小的相对运动。翻转器31004可轻推晶片31008以集中在末端执行器上,在晶片向下移动时在两侧支撑晶片。在某些制造过程中,诸如在真空环境中,可能希望集中晶片31008。诸如当在机械臂的末端采用末端执行器时,翻转夹持器31004可允许非常脆弱的晶片31008的搬运,因为它在搬运期间支撑晶片的两端。
图32显示用于固定晶片31008的被动集中末端执行器32002。当末端执行器上升(或晶片31008下降)时,晶片31008通常稍微偏心。这导致晶片31008沿斜面向下滑动并且掉落在切口32004中。这能够导致晶片31008突然下降或移动,这又能够产生颗粒。
这里公开的方法和系统在制造过程期间的材料或物品的搬运方面提供许多优点。尤其,可实现机器人之间的真空隔离以及机器人之间的材料缓冲。制造商能够在系统上方使完成的晶片返回而无需经过真空,这能够是非常重要的优点,仅需要必要的搬运步骤的一半,消除完成和未完成的材料之间的交叉污染并且保持与已有的清洁室设计兼容。当制造商使相对脏的晶片进入系统时,制造商可能想要在它们正被清洁(这通常是处理中的第一步骤)时使它们与机器的其余部分隔离。可有益地使完成的或部分完成的材料远离机器的清洁部分。
可由这里公开的方法和系统提供其它优点。双臂(顶部安装和底部按照)可按照协调方式工作,允许非常快的材料交换。无论准确的臂设计(3-连杆、4-连杆或其它)如何,将臂安装在未在底部以机械方式连接到该臂的盖中能够是有益的。这里提供的4连杆SCARA臂的连杆长度能够是非常有益的,因为与传统臂不同,它们由槽阀和室半径的机械极限确定。这里公开的4连杆SCARA臂也是有益的,因为它们能够使用用于连杆的两个电机以及Z电机,而非三个电机加Z电机。
材料在后部离开的线性真空系统可提供重要的益处。另一实现方式可以是通过两个相对的壁安装进入系统和离开系统。
这里公开的4连杆SCARA臂还可允许连杆L3摆动到连杆L2中以及摆动到连杆L2上方以用于顶部机器人驱动。利用3连杆SCARA可能并不容易实现这一点,利用已有版本的4连杆SCARA臂也可能并不容易实现这一点,因为他们具有错误的连杆长度。
用于载体的夹持器和线性系统中的多个载体位置也可在线性制造架构中的材料搬运方面提供重要的益处。在夹持器中和/或在后负载锁中包括垂直移动也可提供益处。
尽管已结合某些优选实施例描述了本发明,但本领域普通技术人员将会意识到这里包括的其它实施例。
图33表示包括中间进入点33022的加工设施。在实施例中,加工设施可包括位于中游33002的负载锁14010,在这里,晶片31008能够被取出或进入。这种系统能够存在显著优点,包括提供了提供双重处理能力的处理设施(例如,在彼此后面连接两个机器,但仅需要使用一个EFEM)。在实施例中,回气系统14012也能够将新的晶片31008传送到中点33022并且在那里送入晶片31008。
图34表示具有中间进入点33002的加工设施的几个顶视图。该图还表示中间进入点的组合如何有效地用于消除EFEM 34002之一。
图35表示包括一系列传感器35002的加工设施。在许多加工设施中,这种传感器35002通常用于检测材料35014是否仍然存在于机械臂35018上。这种传感器35002可通常放置在每个真空室4012进入和离开点。这种传感器35002可包括垂直光束,采用发射器和检测器或采用发射器/检测器和反射器的组合。在真空搬运设施中,机器站的训练通常由熟练操作人员完成,所述熟练操作人员观察机械臂和材料的位置并且调整机器人位置以确保材料35014存放在正确位置。然而,这些位置经常非常难以观察,并且视差和其它光学问题在合适地训练机器人系统方面导致很大的障碍。因此,训练过程能够消耗装备停工期的许多小时。
已开发几种自动训练应用,但它们可能涉及将机械臂运行到物理障碍物(诸如,墙壁或边缘)中。这种方案具有很大的缺点:使机器人以物理方式触碰障碍物冒着损坏机器人或障碍物的风险,例如,许多机器人末端执行器使用陶瓷材料来构造,该陶瓷材料易碎但能够承受非常高的晶片温度。类似地,在许多处理模块里面,存在非常脆弱并且容易损坏的物体。另外,在某些材料(诸如,晶片31008)存在于机器人末端执行器上的情况下,可能无法采用这些自动训练过程。此外,垂直位置的确定更难,因为通过运行到障碍物中而引起的臂上的向上或向下的力更加难以检测。
在这里描述的系统中,一系列传感器35002-35010可包括水平传感器35004-35010和垂直传感器35002。传感器35002-35010的这种组合可允许例如通过光束中断来检测机器人末端执行器、臂或搬运的物体。当机械臂35018位于收缩位置时,垂直传感器35002可被放置在晶片31008的区域稍微外面的位置。当机器人完全收缩时,垂直传感器35002还可,或替代地,被放置在在进入开口前面集中的晶片内并且由晶片覆盖的位置,诸如点35012。在这个位置,传感器可以能够告诉机器人控制器:它已成功地从外围模块拾取晶片31008。
也可有益地采用水平传感器35004-35010。在真空群集工具中,由于真空室的大直径,水平传感器35004-35010有时不实用,这可能使水平传感器35004-35010的调准更加复杂。在上述系统中,室尺寸可显著减小,因此可使得可包括一个或多个水平传感器35004-35010。[00205]图36表示水平传感器35004-35010和垂直传感器35002的其它可能位置,诸如直接跨过室(36002和36008)和/或通过镜子36006放置在真空系统里面。
图37表示当机械臂完全收缩时将传感器35002放置在晶片37001半径稍微外面的位置的可能的优点。在收缩运动期间,传感器35002在点“a”37002检测到晶片37001的前缘并且在点“b”37004检测到后缘。这些结果可指示晶片37001被成功地取回,但通过将传感器35002信号绑定到编码器、解析器或存在于机器人驱动器中的其它定位元件,还能够计算晶片37001是否相对于末端执行器集中。因为晶片37001的圆形几何形状,线段“a-b”3700237004的中点应该对应于末端执行器的中心。如果晶片37001在末端执行器上滑动,则不一致的长度测量值可揭示该滑动。
另外,在随后的旋转和移动期间,当晶片37001边缘经过传感器时,可检测到第二线段“c-d”37008、37010。再一次,“c”37008和“d”37010之间的中点应该与末端执行器的中心一致,并且可允许晶片集中的测量或确认。
以上方法可允许机器人检测晶片37001以及确定晶片37001是否相对于末端执行器上的预期位置偏移。
水平和垂直传感器35002-35010的组合可允许使用非接触式方法非常迅速地教导该系统:可按照光学方式检测机械臂和末端执行器,而不需要机械接触。另外,能够在实时晶片37001搬运期间使用光束来核实晶片37001在每次晶片37001搬运移动期间位于正确位置。
图38表示具有两个旋转轴38020和38018以及垂直(Z)轴38004的传统真空驱动器38000。波纹管38016可允许垂直Z轴38002运动。固定到波纹管18016的底部的薄金属圆筒38024可提供机器人和电机38010和38014的定子之间的真空屏障。这种布置可需要许多部件放置在真空内:电线和馈通器、编码器、信号LED和拾取器38008、轴承38012和磁体38006。磁体38006、轴承38012、电线和连接器以及编码器能够容易受到存在于真空环境中的残余处理气体的影响。另外,可能难以去除留在圆筒38024的底部的气体,因为气体在被抽空时可能沿盘绕的路径38022行进。
图39表示可与这里描述的系统一起使用的真空机器人驱动器39000。旋转驱动力可由两个电机盒39004和39006提供。每个盒可具有组成的编码器39008、轴承39018和磁体39020。这些部件中的一些或全部可位于真空套外面。同心双轴旋转密封单元39016可例如使用唇形密封件或铁磁流体密封件为旋转运动提供真空隔离。这种方案可减少真空系统里面的部件的数量。它还可允许维修电机39004、39006和编码器39008,而无需破坏真空,由此增加驱动单元的可维修性。
图40显示用于使材料进入真空环境的堆叠的真空负载锁4008、40004。使晶片31008进入真空系统的一个限制因素是负载锁能够被抽空至高真空的速度。如果负载锁被太快地抽气,则可能在负载锁室中的空气中发生凝结,导致晶片31008表面上的原子核的沉淀,这能够导致颗粒并且能够引起缺陷或较差的装置性能。群集工具可并行地采用两个负载锁,每个负载锁被交替地抽空。每个负载锁的抽气速度能够因此较慢,导致提高的系统的性能。利用垂直堆叠中的两个负载锁4008 40004,装备覆盖区保持非常小,但保留了较慢的抽气速度的益处。在实施例中,能够可选地添加负载锁40004。在实施例中,机械臂4004和40006中的每一个能够到达两个负载锁4008 40004中的任一个。在实施例中,剩余的移交模块7008能够是单级移交模块。
图40B显示另一负载锁布局。在这个图中,晶片31008能够在系统的任一侧在两个级进入并且离开,但在系统的其余部分中采用共享级。
图41详细描述如何也能够通过堆叠两个处理模块41006、41008在处理中始终实现先前的堆叠的负载锁4008 40004的概念。虽然这种模块将不会符合SEMI标准,但这种架构可在装备覆盖区和吞吐量方面提供显著益处。
图42显示具有两个搬运级4008、40004、4010、42004的系统:可使用顶连杆40006或底连杆4004在模块之间独立地运输晶片。可选地,每个搬运级可具有两个负载锁以提供上述降低的抽空速度的优点。因此,也可通过这里提供的描述而设想具有四个输入负载锁、两个搬运级并且可选地具有四个输出负载锁的系统,还可设想具有另外的负载锁和搬运级的系统。
图43显示图42的系统的顶视图。
图44描述专用的装备有仪器的物体44014,诸如晶片。一个或多个传感器44010可被集成到物体44014中,并且可以能够检测物体44014周围的环境因素。传感器44010可包括接近传感器,诸如电容、光学或磁接近传感器。传感器44010可连接到放大器/发射器44012,放大器/发射器44012可使用电池电力将射频或其它传感器信号(诸如,符合802.11b标准的信号)发送给接收器44004。
在许多实例中,可能难以或者无法将仪器放在用于训练机器人的物体44014上,因为针对仪器和传感器供电和通信所需的导线干扰正常的机器人运动或干扰机器人移经的环境。通过针对物体采用无线连接,可解决将导线连接到物体的问题。
物体44014能够装备有许多不同类型且处于不同的在几何形状方面有益的图案的传感器。在本例子中,按照与目标物体44008的半径相同的半径布置传感器1至6(44010)。在实施例中,这些传感器是接近传感器。通过比较来自传感器44010(例如,传感器1和传感器6)的瞬态信号,能够确定物体44014是否正在沿正确方向接近目标44008。如果未正确接近目标44008,则两个传感器44010之一可显示过早触发。通过监测多个传感器44010,系统可在影响移交之前确定物体44010是否在目标44008上方合适地集中。传感器44010能够根据例如信号分析的效率或任何其它约束以任何图案布置。射频信号也有益地在真空环境中工作。
图45以侧面方向显示图44系统,表示使装备有仪器的物体44014朝向目标44008的非接触性质。传感器44010可包括用于测量目标44008的性质(诸如,温度)的其它传感器。
图46描述与一个或多个传感器的射频通信。射频传感器信号44016可被发送给真空内的天线46002。合适地选择波长可改善利用全金属真空壳体的信号传播。在与外部接收器和控制器的无线通信中使用传感器可提供显著优点。例如,这种技术可减少操作(诸如,找到目标的中心)所需的时间,并且来自传感器(一个或多个)的信息可被用于向操作人员提供视觉反馈或使用机械臂使某些操作自动化。另外,使用一个或多个传感器可允许在室内的测量,该室原本将会需要解除真空以向大气敞开并且以物理方式检查该室。这可避免在调节室的内部时的昂贵的或耗时的步骤,诸如减压和烘烤(以驱除水分或水蒸汽)。
图47表示作为机器人移动的函数的来自多个传感器44010的输出。当机器人在目标44008上方移动时,如果传感器是接近传感器,则该运动可导致传感器提供关于例如相对于目标44008的距离的信息。信号能够被单独地或统一地分析以确定目标44008相对于传感器的位置。通过沿两个不同方向移动传感器(一个或多个)并且监测传感器信号,可沿差方向解析位置或形状,而无需以物理方式接触目标44008。
图48描述用于插入晶片48008以及从真空系统去除晶片48008的技术。一个或多个加热元件(诸如,一组加热元件48002、48004和48006)可被单独或组合使用以将室4008和基底材料48008加热至50℃至400℃或更高的高温。这种开始温度的增加可减缓原本将会在室中在压力减小时发生的凝结,并且可允许更迅速的抽气顺序以产生真空。当加热的晶片48008由机械臂4002移动到负载锁4008时,它们可显著比加热单元48004、48006热,从而加热单元48004、48006可在接触时冷却晶片。加热电源可调节提供给加热单元48004、48006的热量,以保持加热单元/或晶片的预期温度。加热单元48004、48006的合适的材料选择可导致系统对加热功率改变快速地做出反应,导致针对不同条件的不同温度设置的可能性,例如在室4008的抽气期间的较高温度设置和在室4008的通风期间的较低设置。
预加热晶片48008可减少凝结和颗粒,同时减少处理时间。同时,晶片48008可能在离开系统时太热,从而它们导致安全危险或使搬运和支撑材料(诸如,塑料)熔化。大约80至100℃度的内部温度和大约50℃度或更小的外部温度可例如满足这些通常的担心。
图49表示机器人末端执行器49002。机器人末端执行器49002可逐渐变细,以使得它沿一个或多个轴具有不均匀厚度。例如,当从侧面或从顶部观看时,机器人末端执行器49002可具有锥形。锥形可减缓沿着执行器49002的谐振。同时,相对窄的剖视轮廓(当从侧面观看时)可允许晶片49006之间更容易的操纵。通过研磨或机加工,或者通过具有锥形的执行器49002的铸造过程,可实现侧视锥形。材料(诸如,铝碳化硅(AlSiC 9))可被有益地铸造为这个形状以避免随后的机加工或其它抛光步骤。铸造过程提供另外的优点:晶片支撑材料49004能够在铸造过程期间被铸造为模具,由此减少需要物理组装的部件的数量。
如图50中所示,类似的技术可被应用于机械臂段50002和50004。可实现相同的减振效果以使如上所述的臂段50002和50004中的谐振衰减。锥形形状可使用各种已知的处理来实现,并且可允许更迅速的移动和对所得到的机械臂段的更精确的控制。
图51显示采用五个电机51014的双独立SCARA臂。每个下臂51002和51008能够由电机51014独立地驱动。臂在远端连接到上臂51004和51010。该配置给出相对小的收缩半径,但是给出稍微有限的伸展。
图52显示采用4个电机52010的双依赖SCARA臂。连杆52002和52004可由末端执行器52006和52008共用。电机52010可按照这种方式控制末端执行器52006和52008,即在下臂52002的伸展运动期间,预期末端执行器(比如,52008)可伸展到处理模块中,而不工作的末端执行器(比如,52006)可指向远离处理模块。
图53显示蛙腿型机械臂。臂能够结合这里描述的各种实施例使用,以便诸如能够在一系列这种臂中从臂到臂传送工件(诸如,半导体晶片),诸如在半导体处理模块之间移动工件。
图54显示能够被用在平面机器人系统(诸如,本公开中描述的线性臂到臂系统之一)中的双蛙腿臂。
图55A表示如本公开中所述的安装到推车55004的4连杆SCARA臂。这种推车可通过导轨或磁悬浮轨道55008以线性方式移动,并且由位于系统内部或外部的电机55002驱动。4连杆SCARA臂具有这样的优点:与3连杆SCARA臂相比,它折叠为更小的收缩半径,同时一直在周围模块(诸如,处理模块)中实现更大的伸展,避免与臂必须穿过的开口的碰撞。倒置推车55006能够被用于在推车55004上方传送基底。
图55B显示图55A中描述的系统的顶视图。
图56表示使用双独立和单SCARA机械臂的组合的在本公开中描述的线性系统。这种系统可能不像采用4连杆SCARA臂机器人系统的系统那么紧凑。
图57表示采用4连杆SCARA机械臂的垂直地堆叠的搬运系统,其中臂能够到达任何和全部的周围处理模块5002。通过使位于顶级57004的处理模块旋转大约45度并且将顶级部件安装到底级室57002,每个处理模块的顶部和底部可保持露出以用于维修接触以及用于安装部件(诸如,泵、电极、气体管线等)。提出的布局可允许在非常紧凑的空间中的七个处理模块5002的组合。
图58A表示图57的变型,其中系统的底级58002包括如本公开中所述的多个机器人系统,并且顶级系统58004采用相对于主系统轴成45度角定向的处理模块5002。提出的布局允许在非常紧凑的空间中的九个处理模块5002的组合。
图58B表示利用后出口负载锁设施从系统去除基底(诸如,半导体晶片)的图58A的变型。
图59A显示线性搬运系统,该系统容纳大的基底处理模块59004,同时仍然允许维修接触59002,并且同时仍然提供两个标准尺寸的处理模块5002的位置。
图59B表示系统布局,该系统布局容纳四个大的处理模块59004和标准尺寸的处理模块5002,同时仍然允许针对处理模块5002的内部的维修接触59002。
图60显示双蛙机器人,该机器人具有基本上位于机器人驱动部件的同一侧的臂。下臂60002支撑以机械方式耦合到电机组54010的两组上臂60004。
各种技术可被用于在半导体制造设施(诸如,上述半导体制造设施)内搬运和运输晶片。将会理解,尽管以上描述了某些处理模块、机器人部件和相关系统,但可结合以下描述的运输和搬运系统合适地采用其它半导体处理硬件和软件。对于本领域普通技术人员而言将会清楚的所有这种变化和修改应该落在本公开的范围内。
参照图61,在真空处理系统中,处理组6100可包括搬运接口6110(诸如,连接到交换区6120的装备前端模块),并且可进一步连接到工件搬运真空模块6130,工件搬运真空模块6130将工件从交换区6120传送到位于运输隧道6150里面的运输推车6140。
为了方便各种运输/搬运方案的讨论,传送机器人6131与一个或多个处理模块2002的组合在这里被称为处理基元6170。应该理解,处理基元可具有许多配置,所述许多配置包括执行很宽范围的处理的传统的或非传统的处理模块和/或群集工具以及用于传送晶片的关联的或另外的机器人。这可包括可商购获得的处理模块、定制处理模块等以及缓冲器、加热器、计量站或者可从晶片运输系统接收晶片或将晶片提供给晶片运输系统的任何其它硬件或硬件的组合。处理模块2002和/或处理基元6170可按照各种配置布置,诸如布置为集群、沿直线或曲线的侧面对准、布置为正方形或矩形配置、垂直堆叠等。类似地,为处理基元6170服务的一个或多个机器人6131能够被以许多方式配置,以容纳不同配置的处理模块,包括位于垂直地堆叠或相对位置、彼此成一直线等。
处理组6100还可包括一个或多个隔离阀6180(诸如,槽阀等),所述一个或多个隔离阀6180选择性地隔离组6100内的真空区并且方便真空区之间的工件交换。隔离阀6180可提供控制以在一个或多个处理步骤期间针对每个工件保持合适的真空环境,同时允许真空区之间的工件的间歇移动。
在图61的实施例中,工件搬运真空模块6130和6131在组6100的其它部件之间传送工件,并且更具体地讲,在运输推车6140和各种目的地之间传送工件。运输推车6140负责将工件从一个目的地移动到另一个目的地,诸如在工件搬运真空模块6130和6131之间移动。在制造设施的各种布局中,处理模块等可能分离得太远而无法使用机器人(诸如,图61中示出的机器人6130、6131)执行直接或方便的工件传送。可能由于许多原因而出现这种情况,诸如处理模块的尺寸或形状、处理模块的进入和离开点的位置、特定制造布局中的处理模块的数量等。作为显著优点,使用一个或多个运输推车6140作为中间运输系统允许将各种模块和其它装备灵活互连为复杂的多用途处理设施。
运输推车6140可将工件(诸如,半导体晶片)运输到工件搬运真空模块6130可到达的位置,并且可选择性地将物品(诸如,晶片或其它工件)运输到处理模块2002以用于处理。能够在许多实施例中实现运输推车6140,包括磁悬浮和/或驱动推车、铁路上推车、具有臂或延长构件的推车、轮上推车、由叠缩构件推进的推车、由电动机推进的推车、能够偏斜或倾斜的推车、可经过倾斜隧道以将一个工件或多个工件从一个高度移动到另一高度的推车、悬挂在运输轨道上的倒置推车、在运输期间对工件执行处理或几种功能之一的推车等。
推车6140可位于平衡架上或悬挂为吊架,以适应推车6140的路径的水平调准的变化。类似地,推车可包括位于平衡架上或悬挂在导线等的晶片支架(例如,支座、搁架、夹持器等),从而晶片支架在推车经过斜面的同时保持基本上水平方向。因此,在某些实施例中,推车可经过斜面、下坡或完全垂直的路径,同时按照基本上一致的水平调准保持晶片或其它工件。这种推车可具有选择性地固定的水平调准,以使得在水平平面中的移动(诸如,加速或减速)不会引起工件的偏斜。在其它实施例中,推车可被允许在加速或减速期间偏斜以便稳定推车6140上的工件的位置。
推车6140可由适合在真空中使用的材料(诸如,减缓不想要的颗粒的产生的材料或具有低除气特性的材料)制成。在实施例中,推车6140是简单的推车,而没有机械臂。作为显著优点,使用无臂推车以机械方式简化推车,因此节省维护、修理和真空环境的物理污染。在这种实施例中,推车路径上的每个入口/出口优选地包括机器人或类似装置以将工件放在推车上以及在推车上取回工件。
为了区分各种可能的实现方式,下面的描述采用术语“被动推车”来表示没有用于装载和卸载晶片的机械臂或其它机构的推车。如上所述,这种配置在设计的简单性和真空内实现方式方面提供许多优点,并且提供另外的减缓来自机械活动的污染物的产生的优点。术语“主动推车”在这里被用于表示包括机械臂的推车。主动推车提供不同的优点,具体地讲,提供在推车上具有机械臂(一直可用的臂)的提高的通用性以及针对在隧道6150的每个端口6180的晶片搬运硬件的对应要求的放宽。将会理解,尽管提供了用于区分具有机器人和没有机器人的推车的有用的词汇表,但所谓的“被动推车”可具有其它机械或主动部件,诸如轮子、传感器等。
推车6140可包括用于单个晶片等的空间。在一些实施例中,推车6140可包括多个搁架,以使得能够由推车运输多个晶片。搁架可具有可控制的高度等,以便适应由固定高度机器人对晶片中的不同晶片的存取,或者搁架可具有用于与具有z轴控制的机器人搬运器一起使用的固定高度。在其它实施例中,推车6104可包括单个表面,所述单个表面具有用于多个晶片的位置。尽管多晶片变型需要另外的处理控制的程度(以解决每个推车上的晶片的多个可能位置),但它们也为这里描述的系统提供了增加的灵活性和容量。在其它实施例中,推车6140可适应于运送多晶片载体或适应于多个晶片的同时搬运和/或处理。
推车6140可提供补充的功能。例如,推车6140可包括在运输期间控制晶片温度的晶片冷却或加热系统。推车6104还可,或替代地,包括晶片中心发现传感器、晶片计量传感器等。将会理解,尽管可能的补充的功能的范围可由推车6104支持,但采用固态感测和处理的那些功能可优选地被用于方便清洁的处理环境的保持。
隧道6150可具有适合容纳运输推车6140和任何关联的有效负载的任何截面形状和尺寸。通常,隧道6150将会能够保持与连接到隧道6150的各种处理基元相似或相同的环境(诸如,真空)。可例如通过提供用于每个端口6180的独立真空隔离的槽阀等来实现真空环境(通常在图61中指示为可与槽阀6180共同扩展,但将会理解,槽阀表示密封件打开和关闭的机构,而端口表示水等可经过的开口)。尽管槽阀或狭缝阀是一种常见形式的隔离装置,但许多其它阀是已知的并且可被合适地用于这里描述的系统。因此,将会理解,诸如槽阀、狭缝阀、隔离阀、隔离机构等的术语应该被广义地解释为表示适合在真空环境内隔离各种室、处理模块、缓冲器等的任何装置或装置的组合,除非明确地提供较窄的含义或者从上下文清楚地知道较窄的含义。
在一些实施例中,隧道6150可保持例如不同处理基元采用不同真空水平的中间环境,或包括与处理关联的其它气体。尽管描述为直线,但隧道6150可包括角度、曲线和适合容纳运输推车6140的行进的路径的其它变化。另外,隧道6150可包括与用于从一个位置到另一个位置驱动运输推车6140的推进系统一致的轨道或其它表面。在一些实施例中,隧道6150可包括适应连接到隧道6150的各种处理基元之中的高度的变化的倾斜或其它变化。能够与推车6140一起使用以在处理环境内移动晶片或其它工件的所有的这种变化应该落在本公开的范围内。
图62显示包括运输系统的晶片处理系统的另一实施例。如图中所示,系统6100可包括能够同时搬运和/或处理多个晶片的多个传送机器人和处理模块。系统6100还可包括:控制器,诸如与运输和处理系统构件互连的计算设施(未示出),用于根据系统6100内的各种处理调度推车6140的运动。可控制每个工件的处理,以使得运输推车6140位置和可用性与许多处理基元6170内的处理的开始和停止时间协调。处理基元6170可以是相同的或不同的。在各种实施例中,系统6100可执行串行处理、并行处理或串行处理和并行处理的组合以同时处理多个工件,由此提高处理基元6170内的处理资源的使用。
图63显示包括晶片运输系统的半导体处理设施的另一实施例。如图63中所述,处理基元6170可连接到运输隧道6150的两侧。可结合图63的配置采用工件处理的许多变化,诸如以上在图61-62中描述的变化。如这些附图中所示,可由将处理基元6170互连的运输推车6140容易地容纳具有各种配置的任何数量的处理基元6170。这包括更大数量的处理基元6170以及弯曲的、成角度的、多车道和其它推车路径。例如,位于推车路径的一侧的基元可反映位于右侧的处理基元,以提供双重三步骤处理组,所述双重三步骤处理组具有共同隧道6150、运输推车6140、传送机器人6130、交换区6120和接口模块6110。
图64表示使用布置为群集工具6410的工件搬运真空模块6131和多个处理模块2002的配置。这种布局提供紧凑的覆盖区和群集工具的功能以及能够灵活地互连到任何数量的另外的处理基元的基于推车的运输系统。
图65显示包括运输系统的半导体处理设施的另一实施例。在这个系统中,如以上通常所述,许多群集工具6410使用运输推车6140和隧道6150互连。将注意的是,这种布置允许任何数量的群集工具的互连,而不管尺寸如何。作为显著优点,这减少了对布置在单或多机器人搬运系统周围的一组密集的群集工具的需求。
图66显示使用晶片运输系统的半导体制造设施的另一实施例。在这个实施例中,利用通过许多机器人6131、6632、6633而在功能上互连的多个处理模块2002A-2002D构造线性处理系统6610,所述机器人6131、6632、6633采用机器人对机器人移交以用于线性系统6610内的晶片搬运。这个线性系统6610可包括针对运输推车6140的接口,运输推车6140可将晶片移动到线性系统6610和连接到运输系统的任何其它处理基元6170以及从线性系统6610和所述任何其它处理基元6170移动晶片。将会理解,尽管在描述的实施例中,每个传送机器人为两个处理模块2002服务并且将工件传送给另一传送机器人,但还可采用其它线性布局。
在操作中,工件可通过利用传送机器人6131的操纵从运输推车6140移动到线性处理基元中。传送机器人6131可将工件传送给传送机器人6632或两个处理模块2002A或2002B之一。传送机器人6632可从传送机器人6631接收待处理的工件,并且将工件传送给传送机器人6633或两个处理模块2002e或2002D之一。传送机器人6633可从传送机器人6632接收待处理的工件。完成的工件可被传送给连续的相邻的传送机器人,直至通过传送机器人6131传送到隧道运输推车6140上。在一个实施例中,负载锁可提供在线性系统6610的一端以允许在线性系统6610的相对端从运输推车接口添加或去除晶片。
图67显示包括运输系统的半导体加工设施。如图67中所示,许多线性系统6610可使用运输推车6140和隧道6150互连。作为显著优点,用于许多不同线性系统6610的单个真空环境可互连,而不管每个线性系统6610的布局和物理尺寸如何。另外,使用这里描述的推车和隧道系统能够容易地实现更长的处理序列或各处理基元的增加的工件的吞吐量。
在一个方面,可有益地进行连接到隧道6150的处理基元的选择以平衡或控制系统范围吞吐量。因此,例如,具有相对快的处理时间的处理基元能够与提供具有较慢处理时间的不同处理的合适数量的并行处理基元组合。以这种方式,通过在单个真空环境内为多个下游或上游处理基元服务能够更充分地使用具有快速处理时间的处理基元。更一般地讲,使用运输推车6140和隧道6150或许多这种推车和隧道,为加工处理布局提供更大的设计灵活性以在具有不同处理时间和吞吐量限制的处理基元之间平衡负载和/或提高使用。
图68显示具有运输系统的半导体加工设施。如图中所示,加工设施可包括各种不同工具和模块类型。例如,该设施可包括多个群集处理基元6410和多个线性处理基元6610以及储存基元6820,储存基元6820提供用于工件的临时真空内储存的多晶片缓冲区。如图中进一步所述,系统可包括超过一个前端模块,其使用例如位于隧道6150的相对端的两个前端模块。从下面的描述将会清楚可知,可实现其它形状,并且可包括T型结、V型结、X型结或任何其它类型的互连,这些互连中的任何一个或全部可结束于前端模块或连接到一个或多个另外的隧道6150。以这种方式,可更容易地实现互连的处理模块的大的复杂的布局。将会进一步理解,各处理基元可被添加或被从这种系统去除以便使处理设施适应不同处理要求。因此,可实现模块化的并且灵活的加工布局系统。
图69显示具有运输系统的半导体加工设施。在图69的实施例中,隔离阀6180提供在真空隧道6150的直线长度内。隔离阀6180允许隧道6150的各部分的隔离,并且更具体地讲,允许其中不同真空环境适合不同组的处理基元的处理。在这个实施例中,包括第二运输推车6940,以使得在隔离阀6180关闭的同时,隧道6150的每一半包括独立的运输车辆。将会理解,在某些处理中,隔离阀可保持打开,并且两个推车都可为隧道6150的两半服务。更一般地讲,这表示运输系统适应使用各种不同处理工具的复杂处理的灵活性。如图69中所述,系统还可包括工件储存升降机6920以提供多个工件的储存。
参照图70,群集和线性处理组可与多个隧道运输推车系统组合以提供复杂的处理组。在图70的实施例中,两个群集处理基元,位于处理组的第一端的第一群集处理基元7010和位于处理组的第二端的第二群集处理基元7011,每个群集处理基元与隧道运输推车6140、6140A互连以在处理基元之间运输工件。如图中所述,线性处理基元7050可在每一端包括存取端口。
在图70的实施例中,示例性工件流程可包括:在第一群集处理基元7010中从输入接口模块6110接收工件,在群集基元7010中根据需要处理工件。第一隧道运输推车6140可随后将工件运输到线性处理组7050,其中工件由工件搬运真空模块6130接收并且根据需要在一个或多个处理模块2002中被处理。在线性处理组7050内,可在相邻传送机器人之间传送工件,直至针对工件完成线性处理组7050内的所有处理,此时,工件被传送到第二隧道运输推车6140A以运输到第二群集处理基元7011。可根据需要在第二群集处理基元7011中执行工件的进一步处理,并且被接收到出口接口模块7020中以用于自动或手工取回。
将会理解,该系统可同时搬运多个晶片。在一些实施例中,晶片可均匀地从一个入口(例如,第一前端模块7020)流动到一个出口(例如,第二前端模块6110)。然而,描述的布局能够容易地容纳同时沿相反方向行进的晶片或者通过前端模块中的单个前端模块或这些前端模块的组合进入和离开的晶片。如上所述,这允许部署显著提高特定处理工具的使用的加工设施,并且允许在单个加工系统内实现许多不同的处理。
图71显示具有L形状的两端隧道7110。图72显示具有T形状的三端隧道7210。图73显示具有V形状的两端隧道7130。将会理解,隧道可使用这些形状中的任何形状以及其它形状及其组合,以便适应从设施内的地板空间到各件装备的形状和尺寸变化的设计因素。如这些附图中所示,视情况而定,针对特定处理,各种不同处理基元类型可连接到隧道。
参照图74,运输推车6140可将具有不同处理时间的系统互连。例如,运输推车6140可将预清洁处理6130连接到具有相对长的处理时间的系统7410(诸如,化学汽相沉积(“CVD”))和具有相对短的处理时间的系统7420(诸如,物理汽相沉积(“PVD”))。
对于包括显著不同持续时间的处理步骤的配置,较慢的处理7410可由相对大的数量的关联的工具(这些关联的工具可部署为群集或线性组)支持,以便平衡组合的处理系统7400的吞吐量。因此,使用这里描述的运输系统,能够通过简单地在较长处理周围扩展容量来解决复杂半导体制造过程中的概念的瓶颈,由此提高具有相对较短的处理的工具的使用。作为例子而非限制,具有1(预清洁):2(PVD):10(CVD)的相对持续时间的处理能够由这样的设施支持:该设施具有在由推车6140和隧道6150支持的单个真空环境中一起工作的2个预清洁工具、20个CVD处理工具和4个PVD处理工具。在保持这个比例的同时,每个工具类型的总数可根据另外的处理约束(诸如,加工设施内的前端模块或其它单独系统的吞吐容量)增加或减少。
参照图75,图74的配置替代地可在一个隧道6150中包括多个推车6140,其中每个推车在隧道6150的一部分上运输工件。推车的协调可被用于在公共侧处理基元避免相邻推车的碰撞。
替代实施例可包括被配置为环路的隧道以允许已到达末端处理基元的运输推车在环路中继续至输入接口模块以接受用于运输的新工件。环路可被配置为水平环路或垂直环路或二者的组合。
参照图76,多个隧道运输推车可由工件搬运真空模块互连。在图76的实施例中,传送机器人6pO可用作两个分开的隧道运输推车6140和6140A之间的接口,并且还可用作与前端模块6110的接口以用于将工件运输到真空环境中和将工件运输到真空环境之外的目的。图76的实施例可适应处理基元的使用的很大的灵活性。每个接口模块可以能够到达两个隧道运输推车,从而如果与每个隧道关联的处理基元相同,则促进增加的容量。替代地,图76的实施例可允许处理的冗余;用于不同处理的公共接口模块,或者可通过将分开的隧道运输推车系统组合成一个处理组来支持另外的处理步骤。
图77显示系统6100,其中运输系统形成完整环路7710。在这个实施例中,运输推车6140可围绕环路沿单个方向连续移动,同时在处理内的合适位置添加或去除工件。另外,可由用于将工件传送到真空环境和从真空环境传送工件的装备前端模块为一个或多个位置服务。作为显著优点,这种布局允许在连接到系统的任何两个处理基元之间直接传送。将会理解,任何数量的运输推车6140可共享隧道,并且具有超过一个运输推车6140通过一次允许多项基元间传送来增加处理选择。
图78显示包括运输系统的半导体处理系统。系统7800是包括各种推车和处理模块配置的复杂系统。具体地讲,图78的系统7800包括四个前端模块、一个储存模块、四个独立的推车运输系统和六个分开的线性处理模块。作为说明,将注意的是,线性处理模块6110之一包括两个前端模块(每一个位于每一端),并且与两个隧道交叉以与相邻处理系统互连。更一般地讲,并且如以上通常所示,能够使用如这里所述的使用一个或多个隧道和推车共享工具、群集和相关硬件的任何布置。图78的实施例可允许工件在许多位置(表示为前端模块)被从真空环境去除以经受大气处理(诸如,检查、化学机械抛光或电镀)。工件也可根据需要返回到真空环境。从这种类型的系统出现各种可能性变化。
在图78的配置中,传送机器人6130可被用于将工件从运输推车6140传送到处理基元6170或接口模块6110以及在分开的运输真空隧道6150上的推车6140之间传送工件。
这种配置允许在与一个或多个运输真空隧道关联的一个或多个处理中处理工件,而工件不必被从真空环境去除。通过传送机器人链接运输真空隧道允许一个或多个运输真空隧道的隔离,因此允许不同真空环境的相邻使用并且能够实现与每个运输真空隧道关联的处理的独立操作。
图79显示包括位于处理模块之间的真空管7910的实施例。更一般地讲,这些真空管7910可被放置在任何相邻真空硬件之间以在物理空隙上扩展真空环境。真空管7910可由任何合适材料制成,在需要内部可见性的情况下,所述合适材料包括玻璃等。这些真空管7910能够意图提供另外的功能,诸如在前面段落和以下段落中描述的功能,并且除了真空管7910优选地形成真空管7910以物理方式连接到其它系统部件的真空密封之外,真空管7910具有非常少的设计约束,并且它们提供用于使晶片、工件和与搬运晶片、工件关联的任何机械臂等经过的足够的内部空间。通常,真空管7910用作相邻硬件(诸如,处理模块(或如图中所述,由单个机器人服务的模块对))之间的物理缓冲器,以便允许由于硬件的物理尺寸而不能直接实现的功能耦合。
图80显示包括运输系统的半导体处理系统。图80的实施例在单个真空隧道中包括双并行独立运输推车。推车6140和6140A可在隧道6150内在不干扰的路径8010和8011上独立地操作。机器人6130可在第一推车6140、第二推车6140A和接口6110之间传送工件。在一个实施例中,为一个或多个处理基元服务的机器人8030可被配置为到达横跨隧道6150的位置,以使得工件可被从推车6140A、6140B中的任一个拾取或被放置到推车6140A、6140B中的任一个。许多工件搬运真空模块可在推车6140、6140A和它们各自的处理基元之间移动工件。与具有双协调运输推车或单个路径的实施例相比,图80的实施例允许在处理基元之间更快地传送工件。在另一方面,路径8010、8011可包括交换点或交叉点以允许每个推车6140、6140A在路径8010、8011之间切换以用于材料搬运的增加的灵活性。一个或多个隔离阀可提供用于隔离隧道6150的各种段。
图81显示真空隧道中的双垂直相对的独立运输推车的侧视图。在图81的实施例中,隧道6150包围在铁路或悬浮系统8130上运行的两个运输推车6140。机器人6130可通过隔离阀6180接触工件以在接口7410(诸如,负载锁或装备前端模块)和运输推车8110之间装载和卸载工件。以类似方式,传送机器人(未示出)可在推车8110和处理基元8120之间传送工件。传送机器人6130可通过使用机器人升降器8140或其它z轴控制器而可沿垂直方向调整以方便在不同推车级之间传送工件。
图82显示处理系统中的具有机械臂的运输推车的实施例,处理系统也包括用于工件搬运的传送机器人。传送机器人6130和6130A可与推车机器人8210协调以促进工件的搬运。一个或多个真空扩展部分7910可被提供从而以物理方式容纳相邻的处理基元。
图83表示具有双独立运输隧道6150的半导体制造系统。每个隧道可包括运输推车6140。在图83的实施例中,具有垂直运动能力的传送机器人8310可在下隧道中的运输推车、上隧道中的运输推车和负载锁1410之间传送工件。类似地,传送机器人(未示出)可在上推车6140、下推车6140和处理基元8120之间传送工件。
图84是图83中描述的实施例的替代实施例,其中工件升降机8410被用于将工件从下隧道移动到上隧道。另外,传送机器人6130可与每个隧道6150关联以在工件升降机8410和运输推车6140之间传送工件。另外,可在工件升降机8410和负载锁1410之间需要传送推车6130以方便工件升降机8410和负载锁1410之间的工件的传送。
图85显示使用蛙腿类型机器人的隧道系统的实施例。蛙腿类型机器人可以是主要工件搬运传送机器人。传送机器人8510可被用于将工件从接口6110传送到推车6140,并且被描述为完全收缩的蛙腿机器人。传送机器人8520也可收缩,并且被示出为位于隧道6150的右侧的群集基元配置。系统内的另外的机器人可以是蛙腿机器人,如位于隧道6150的左侧的线性处理装置中通常所示。在线性处理组中,传送机器人8530可延伸到处理室中,而传送机器人8540朝着传送机器人8550延伸,这被描述为同时朝着两个关联的处理室部分延伸的双蛙腿机器人。
图86表示“传桶救火队(bucket-brigade)”8610线性组、晶片运输穿梭系统8620和传统群集工具系统8630的集成方案的实施例。更一般地讲,可实现传统群集工具8630、线性“传桶救火队”系统8610和穿梭系统8620的任何组合。在一个应用中,群集工具上的短处理能够与传桶救火队中的较长处理组合以提高系统内的每工具利用率。
尽管已描述半导体搬运和处理硬件的许多布置,但将会理解,可实现许多其它变化以减少地板空间使用并且缩短相关处理组之间的距离。例如,真空运输系统可被有益地部署在地板下面、墙壁后面、高架轨道上或其它位置以改进加工设施的布局,诸如通过清除地板空间以用于步行交通或另外的机器。通常,当在处理模块之间装载或传送晶片或其它工件时,这些实施例可结合机械臂和其它搬运装备采用垂直升降器。图87描述这种包括垂直升降器的系统。
图87显示用于晶片加工的典型装载/卸载系统。高架轨道8702可将具有工件的推车8704传送到晶片前开口统一容器(FOUP),FOUP可包括负载点8708和装备前端模块(EFEM)8710。负载锁14010可被用于使用例如图87中描述的工件搬运真空模块6130将晶片从FOUP 8708传送到一个或多个处理模块。由具有中间真空模块4010的基座10110支撑的多个工件搬运真空模块可被配置为半导体真空处理系统。可在推车8704可使用升降机或垂直延伸部分8720下降到FOUP 8708的箱子8718中传送工件。
图88表示改进的晶片搬运设施,其中真空隧道6150中的运输推车6140被安装在工厂地板下面。垂直升降器8810可被用于将晶片或运送一个或多个晶片的箱子移动到处理级。将会理解,尽管描述了单个隧道6150中的单个推车6140,但任何数量的隧道6150和/或推车6140可在升降器8810交叉,升降器8810将晶片传送到底部存取负载锁14010。
图89表示高架推车6140和真空隧道系统6150的实施例。这个系统可与上述任何布局一起使用。图89中描述的配置方便将运送一个或多个晶片的推车6140从隧道6150传送到负载锁14010。然而,通常,升降器8810可被用于将晶片和/或推车从顶部存取负载锁(该负载锁位于处理级)传送到高架真空隧道6150,在高架真空隧道6150,推车6140能够沿着运输系统(诸如,轨道系统)运输工件。在一个实施例中,升降器(未示出)的驱动元件可被安装在处理级下方(例如,安装在地板上或地板下面)或者安装在处理级上方。将升降器的机械方面部署在处理级下方可有益地减小可能落在由升降器运送的晶片上的颗粒的数量和/或尺寸。
图90描述包括两个处理组(诸如,由下面的处理级隧道6150互连的线性处理组)的半导体真空处理系统。隧道6150(隧道6150可包括上述真空隧道系统中的任何真空隧道系统)可例如被部署在工厂地板下面。隧道6150可连接分开较大距离的各组处理模块,并且可通过提供例如储存区域、开关、分类系统等来提高互连的系统的搬运能力。处理组可包括处理室、负载锁、工件搬运真空模块6130、真空模块4010:多功能模块、旁路热调整模块、平版印刷、计量、中间进入负载锁、用于延长真空系统的范围的真空隧道延长部分和各种半导体处理相关功能。处理组还可包括由基座支撑的模块。包括隧道6150和推车6140的一个或多个处理组可由控制器(诸如,执行软件程序的计算设施)控制。
图91描述由高架隧道网络互连的两个处理组。隧道网络9102(隧道网络9102可包括上述真空隧道系统中的任何真空隧道系统)可例如被部署在位于工厂地板上方的第二地板上或悬挂在工厂天花板上。隧道网络9102可连接分开大距离的各组处理模块,并且可通过提供例如储存区域、开关、分类系统等来提高互连的系统的搬运能力。
图92显示用于共享度量或平版印刷硬件的系统。如图中所示,这里描述的隧道网络和其它模块互连系统可包括例如共享的计量或平版印刷术资源9205,其中基于真空的推车系统从流程去除和返回样品晶片。通常,晶片从一个装备前端模块9203或其它大气接口进入站“流”到另一装备前端模块9204。如果需要处理间检查以检查某些处理参数,则能够在诸如模块间缓冲器9207的位置执行这种检查。在本系统中,存在能够执行这种检查的几个这种中间位置。然而,一些测量系统能够在物理方面很大并且可能因为其尺寸而难以容纳在模块互连(诸如,模块间缓冲器9207)中。
在这种情况下,可能希望提供如这里通常所公开的真空推车和隧道系统以将一个或多个晶片从真空下的流程移动到独立的计量或平版印刷系统9205。推车9208可在处理模块之间的位置9201安置在流程中以接收晶片。将会理解,尽管特定位置在图92中被视为位置9201,但可根据预期处理流程、能力、物理空间约束等类似地采用系统9200内的任何数量的位置。软件或设置逻辑可确定在9201从流程去除哪个晶片。在其它实施例中,推车可与系统9200内的模块9202对接,其中晶片搬运机器人可将晶片装载在推车上以运输到计量或平版印刷系统9205。
如图92中所述,计量或平版印刷系统9205可由超过一个工件处理系统共享。在例子中,可在计量系统9205中评估源自第一装载系统9203的晶片,源自第二系统9206的晶片也能够到达计量系统9205。尽管描述了两个线性系统,但将会理解,处理模块的其它布置可类似地根据参照图92描述的一般原理采用共享资源,诸如计量或平版印刷系统。例如,使用具有例如曲线、开关等的各种轨道配置,系统可被配置为将用于任何数量的处理系统的计量或平版印刷系统和/或其它共享资源集中在共同位置。这种系统能够从多个位置和多个系统将计量或平版印刷应用于晶片。如以上针对具有不同处理时间的处理所述,可在许多处理基元或系统之间共享单个计量或平版印刷系统以在半导体制造系统中实现计量或平版印刷资源的高利用率。
如上所述,这里描述的推车和工件搬运真空模块系统可与简单的真空管扩展部分(真空管扩展部分可布置为与工件搬运真空模块613成一直线或与工件搬运真空模块613相邻)组合,以促进不同处理硬件的布置和互连的更大水平的灵活性。参照图93,半导体工件处理系统可包括推车、隧道、EFEM、多个工件搬运真空模块、各种处理室和真空延长隧道9304。
另外,一个或多个连杆模块9302、9308可被提供以将任何以上硬件互连。除了容纳硬件间隔(以与真空扩展部分相同的方式)之外,模块9302、9308可提供与半导体处理系统关联的各种补充功能。例如,连杆模块9308可提供在晶片处理流程中用作缓冲器的储存器。连杆模块9302可提供晶片的计量、测量或测试。连杆模块9308可提供操作人员对工件的接触,在这种情况下,连杆模块9308可包括隔离阀和真空泵。诸如通过在处理之间冷却或加热晶片,连杆模块9302、9308可提供热管理。连杆模块可提供用于单个和/或多个晶片的缓冲和/或调准能力,诸如由以下描述的缓冲调准器设备9700提供的缓冲和/或调准能力。针对缓冲调准器,将会理解,在连杆模块中的这种使用仅是例子,并且缓冲调准模块还可,或替代地,被有益地用在处理中的其它点,诸如用在装备前端模块中。例如,如果处理室以2、3、4或5或更多晶片的迷你批量处理晶片,则可高效地在调准器采用缓冲系统以防止调准时间在较大处理中变为瓶颈。一旦已在EFEM的缓冲器中准备了合适数量的晶片,大气机器人能够执行将这些(调准的)晶片批量传送到负载锁。
连杆模块可提供旁路能力,允许两个或更多的晶片越过处理模块之间的路径。更一般地讲,连杆模块9302、9308可提供能够在处理工具之间在真空环境中有益地执行的任何功能,包括以上识别的那些功能中的任何功能以及它们的组合。
作为显著优点,这种多功能连杆模块能够减少对另外的处理模块的需求,并且以各种方式减少处理模块之间的等待时间。例如,旁路能力减缓了为完成在添加另一晶片之前从群集或线性处理模块去除一个晶片的需要,因为能够在旁路模块内解决冲突的路径。作为另一个例子,连杆模块内的热管理能够减少一旦晶片到达特定工具时等待加热或冷却的需要。其它优点将会对于本领域普通技术人员而言是清楚的。
更一般地讲,使用这里描述的系统和方法,可在处理工具之间的运输和/或等待时间期间处理工件。这可包括:在如上所述的连杆模块9302、9308中的处理以及在运输推车6150上的处理、在隧道6150中的处理、在缓冲器中的处理、在负载锁中的处理或在处理工具之间的晶片搬运期间在任何其它点的处理。
图94显示热旁路调整真空模块。经常希望在半导体制造过程的处理步骤之间加热或冷却工件。还可能希望同时允许其它工件绕过正被加热或冷却的工件。由于冷却或加热工件可能花费大约20至60或更多秒,所以还有益地促进其它工件的传送以使得冷却或加热不阻挡工件流。其中能够在促进另一工作的温度调整的同时在机器人之间交换工件的真空模块也可允许工件的暂时储存。
这种真空模块可包括在环境方面可密封的壳体以在工件被传送到下一处理步骤之前捕捉并且以热方式调整运送中的工件,同时在加热或冷却处理期间允许其它工件的协调的经过。
可有益地在真空半导体处理系统中在处理室附近包括这种真空模块,从而工件可被加热或冷却以满足处理室的特定需要以便用于改进的处理。另外,通过允许在第一工件正被处理时使第二工件达到合适温度,包括和使用这种真空模块能够方便在系统中有效使用处理室。
另外,在从处理室取出工件之后,在工件由另外的传送机器人搬运之前,工件可立即返回到周围的温度,由此消除在将另一工件传送到处理室之前在工件冷却时的任何等待时间。
还可有益地在半导体处理系统中结合推车/隧道系统包括旁路热调整器以进一步促进灵活性、效用、处理效率等。在本说明书中公开了结合工件搬运真空模块、推车6140、隧道6150以及其它处理和功能模块的旁路热调整器的有益配置的例子。
参照图94,为了以热方式调整工件的目的,工件搬运真空模块6130的末端执行器将工件传送到热调整柜台模块9402。
图94还显示工件搬运真空模块6103将工件放置在支撑夹9404上,支撑夹9404被安装在可移动壳体的上侧内表面并且可包括指状物等以支撑在壳体内集中的工件的边缘。可移动壳体包括两个部分,即壳体底部9410和壳体顶部9412。当壳体顶部9412下降从而与底部9410接触时,由支撑夹9404支撑的工件完全与在壳体9408外面的环境隔离。旁路热调整器9402还方便在可移动壳体关闭时传送第二工件经过该模块。
以上描述了隧道和推车系统的各种实施例以及其它链接硬件诸如真空扩展部分和链接模块。通常,这些系统支持来自不同销售商并且具有不同处理时间和其它特性的半导体处理工具的模块化使用和再使用。在一个方面,可通过诸如不同隧道形状(曲线、L、U、S和/或T形隧道)和支持两个、三个、四个或更多装备前端模块的形状的变化进一步改进这种系统。在另一方面,另外的硬件可被用于在半导体制造系统的设计和使用方面提供进一步的灵活性。下面的描述识别适合与这里描述的系统一起使用的许多另外的部件。
参照图95,半导体工件搬运机器人6130可通过真空端口连接到可配置真空模块9502。可配置真空模块9502可包括用于在处理期间使用的公用资源(诸如,气体、水、空气和电)的端口9504。
可配置真空模块9502可包括可移动底板,可移动底板可包括用于在搬运机器人6130将工件传送到连接的处理模块中之前对工件进行预加热的工件预加热器。
可配置真空模块9502可包括用于多个工件的储存器。作为例子,工件可由搬运机器人6130放置在可配置真空模块9502内的旋转平台上。工件的最大数量可由每个工件的尺寸和旋转平台的尺寸确定。替代地,可配置真空模块9502可包括适应于支撑半导体工件的表面,该表面足够大以允许多个工件以非交叠布置被放置在该表面上。可由具有多个工件支撑搁架的工件升降机实现可配置真空模块9502内的储存,其中升降机能够被控制以调整用于选择将要由搬运机器人6130到达的特定搁架的高度。
为了收集关于工件的度量的目的,可配置真空模块9502可包括计量装置。作为例子,计量装置(诸如,光学传感器)能够被用于检测可配置真空模块9502中的工件的存在,并且由机器视觉系统开始工件的自动检查。这种度量有助于保持和改进在关联的处理模块中正对工件执行的加工过程的控制和质量。
可配置真空模块9502还可包括能够支持超高真空操作的接口端口9504。超高真空可由可配置真空模块9502实现,其中利用已知用于支持超高真空环境的材料(诸如,不锈钢)构造可配置真空模块9502。这种环境可有助于去除环境中的微量气体并且减少通过材料的除气引起的气体被引入到环境中。
可配置真空模块9502可为真空处理环境提供负载锁功能。通过经由将工件密封在可配置真空模块9502中并且在密封的工件周围产生真空环境而允许由用户提供的工件被引入到真空环境中,这种功能可有助于在用户周围环境和真空处理环境之间的工件交换。
可配置真空模块9502可支持工件的加工处理,诸如快速热退火或原地晶片清洁。快速热退火可有助于半导体真空处理环境中以实现半导体工件中的特定改变,诸如激活掺杂物以及使沉积薄膜增加密度。能够需要原地晶片清洁以去除在室中的处理期间从晶片表面或边缘沉积的残留物或颗粒。
可配置真空模块9502还可包括任何以上的组合以及适合在半导体制造环境中在处理工具之间使用的任何其它能力。
通常,预期可在加工点通过添加或去除与预期功能关联的硬件来配置可配置真空模块9502。因此,例如,温度传感器和加热元件可被去除并且由用于晶片储存的多个搁架替换。可在模块9502的制造期间实现其它方面,诸如利用适合高真空的材料的构造。通常,如这里所述的可配置真空模块9502的特征在于:模块硬件的可去除性/可替换性,或使用提供多种能力(例如,加热、冷却、调准、温度感测、清洁、计量、退火、扫描、识别、移动、储存等)的硬件的组合对特定处理的适应。
上述功能还可直接在上述推车和隧道系统内被实现为隧道内的连杆模块或结合推车或隧道实现,以在晶片的运输期间提供各种处理功能。如这里所述,通过方便分开大距离的局部处理组的互连以及通过方便接近的大处理系统的互连,组合工件搬运真空模块和推车/隧道为半导体处理系统提供更大的灵活性。组合多功能模块9502与推车/隧道系统能够促进运输时间的生产性使用以实现更迅速的晶片处理。
参照图96,更详细地描述真空扩展隧道9602。真空扩展隧道9602(也在这里被称为真空管或真空延长部分)能够被用在半导体真空处理系统中的各种位置以在真空模块之间提供连续的真空连接。真空扩展隧道9602可具有基本上矩形形状,具有位于一侧或多侧的接口端口。每个接口端口可提供真空可密封工业标准接口以用于连接到各种真空模块。在实施例中,隔离阀4006可连接到每个接口端口以提供确保真空扩展隧道9602和连接的真空模块之间的真空隔离的装置。
如图96中所示,真空扩展隧道9602在半导体处理系统中提供线性扩展部分,方便不同尺寸处理室的使用。作为图96中的例子,如果在没有使用真空扩展隧道9602的情况下连接显著大于处理室2002R的处理室2002L,则处理室2002L将会干扰装备前端模块34002。真空扩展隧道9602的这种使用的另外的益处在于,可使用大的处理室,而不增加在相邻各件装备之间提供晶片运输的关联的机器人真空室4012的尺寸。
真空隧道扩展部分9602还能够与负载锁14010一起使用以在真空模块之间产生维修接触。图96中示出的两个这种例子包括上面一对处理室和下面一对处理室之间的维修接触以及上面一对处理室和装备前端模块34002之间的维修接触。维修接触需要用户紧密地接近处理装备,也许直接接触工件搬运装备。在没有真空隧道扩展部分9602的情况下,用户不能容易地足够紧密地接近以进行维修。
真空隧道扩展部分9602可被用在系统内的各种其它位置。例如,真空隧道扩展部分9602可被用于将线性处理系统、群集工具、共享计量系统或装备前端模块连接到推车和隧道运输系统。真空隧道扩展部分9602可方便形成各种布局形状的半导体处理系统,并且可按照各种扩展长度提供真空隧道扩展部分9602。
更一般地讲,任何以上系统可被组合使用。例如,包括工件运输的线性处理系统(诸如,由与运输推车6140组合的工件搬运真空模块提供的线性处理系统)可与旁路热调整器关联。工件搬运真空模块可方便将工件传送到旁路热调整器/从旁路热调整器传送工件。包括工件运输的线性处理系统(诸如,由与运输推车6140组合的工件搬运真空模块提供的线性处理系统)可与晶片中心发现方法或系统关联。工件搬运真空模块可方便收集正在由工件搬运真空模块搬运的工件的数据以支持晶片中心发现方法和系统。工件搬运真空模块可包括多个工件传感器以支持晶片中心发现。也可在由运输推车6140运输工件的同时执行晶片中心发现。在一个实施例中,适应于方便晶片中心发现的工件搬运真空模块可被组装到运输推车6140,以使得工件搬运真空模块内保存的晶片/工件可在运输期间经受晶片发现处理。
包括工件运输的线性处理系统(诸如,由与运输推车6140组合的工件搬运真空模块提供的线性处理系统)可与处理室关联。工件搬运真空模块可方便将工件传送到处理室/从处理室传送工件。如这里所述,各种类型、尺寸、功能、性能、类型等的处理室可与一个或多个运输推车6140组合以促进半导体处理系统的处理灵活性。包括工件运输的线性处理系统(诸如,由与运输推车6140组合的工件搬运真空模块提供的线性处理系统)可与如这里所述的负载锁10410关联。在例子中,工件搬运真空模块可方便负载锁和运输推车6140之间的工件的传送。包括工件运输的线性处理系统(诸如,由与运输推车6140组合的工件搬运真空模块提供的线性处理系统)可与工件储存和搬运箱关联。工件搬运真空模块可方便将工件传送到箱子/从箱子传送工件,如图68和69中所示。工件搬运真空模块可将工件(诸如,生产晶片、测试晶片、校准晶片、清洁晶片、装备有仪器的晶片、晶片集中夹具等)传送到工件储存器/从工件储存器传送晶片。
包括工件运输的线性处理系统(诸如,由与运输推车6140组合的工件搬运真空模块提供的线性处理系统)可与装备前端模块6110关联。工件搬运真空模块可方便将工件传送到装备前端模块6110/从装备前端模块6110传送工件。工件搬运真空模块可在两个装备前端模块6110之间传送一个或多个工件,其中一个模块是输入模块,并且一个模块是输出模块,或者其中模块之一是中间进入输入/输出模块。运输推车6140可通过工件搬运真空模块与装备前端模块6110关联,如图78中所示。图78中的工件搬运真空模块可在装备前端模块6110和处理室2002、另一工件搬运真空模块或运输推车6140之一之间传送工件。如在图78中能够看出,将工件搬运真空模块和装备前端模块6110与真空隧道6150内的运输推车6140组合能够方便配置任意复杂或非常灵活的处理系统。
包括工件运输的线性处理系统(诸如,由与运输推车6140组合的工件搬运真空模块提供的线性处理系统)可与工件升降机关联。工件搬运真空模块可方便将工件传送到工件升降机/从工件升降机传送工件以在垂直分开的工件搬运和/或处理系统之间传送一个或多个工件。垂直分开的真空处理系统可包括垂直分开的处理级和工件返回级。工件返回级可包括用于将一个或多个工件传送到真空处理系统中的不同位置的真空隧道中的工件运输推车或车辆。图88-91描述包括工件搬运真空模块、运输推车6140和工件升降机(也称为升降器8810)的线性处理系统的示例性配置。
包括工件运输的线性处理系统(诸如,由与运输推车6140组合的工件搬运真空模块提供的线性处理系统)可与群集系统关联,如图70和86中所示。工件搬运真空模块可方便将工件传送到群集系统/从群集系统传送工件。工件搬运真空模块可方便包括运输推车6140的线性处理系统和群集处理基元之间的工件的传送。工件搬运真空模块可将工件传送到群集系统的一方面(诸如,工件搬运机器人、负载锁、缓冲器等)/从群集系统的一方面传送工件。工件搬运真空模块可通过真空扩展隧道9602将工件传送到群集处理系统的一方面/从群集处理系统的一方面传送工件。
工件搬运真空模块可按照模块化方式连接到群集系统,以使得在群集处理系统可提供半导体工件的处理的同时,工件搬运真空模块可提供工件的搬运。工件搬运真空模块可通过缓冲模块(诸如,多功能模块、被动单工件缓冲器、被动多工件缓冲器、热旁路适配器、缓冲调准器9700等)连接到群集系统。缓冲模块可为正在工件搬运真空模块和群集系统之间传送的工件提供暂时储存设施。群集系统的机器人控制器可在缓冲模块中存取或存放工件以由工件搬运真空模块传送。多个群集系统可连接到一个工件搬运真空模块,以使得工件搬运真空模块方便从一个群集系统传送到另一群集系统。这种配置可包括负载锁1401和/或装备前端模块6110以用于与操作人员交换工件。工件搬运真空模块还可包括用于确定正在由工件搬运真空模块搬运的工件的中心的设施,以使得工件能够被传送到群集系统,准确地集中在群集系统的中心参考位置。
包括工件运输的线性处理系统(诸如,由与运输推车6140组合的工件搬运真空模块提供的线性模块系统)可与其它工件搬运真空模块关联。工件搬运真空模块可方便将工件传送到其它工件搬运真空模块/从其它工件搬运真空模块传送工件。
包括工件运输的线性处理系统(诸如,由与运输推车6140组合的工件搬运真空模块提供的线性处理系统)可与缓冲器关联。工件搬运真空模块可方便将工件传送到缓冲器/从缓冲器传送工件。缓冲器可方便保存排队等待处理的工件。缓冲器还可促进减少与机器人工件搬运器关联的瓶颈、处理时间的差异、与真空环境改变关联的延迟等。
包括工件运输的线性处理系统(诸如,由与运输推车6140组合的工件搬运真空模块提供的线性处理系统)可与控制器关联。控制器可指示工件搬运真空模块以方便将工件从半导体处理系统的第一区段传送到半导体处理系统的第二区段。可通过使用运输推车6140来完成从系统的第一区段到第二区段的传送。区段可包括以下装置中的一个或多个:缓冲器、缓冲调准器9700、另一工件搬运真空模块、群集系统、工件储存器、工件升降机、装备前端模块、负载锁、处理室、真空隧道扩展部分、包括低颗粒通风口的模块、包括基座的模块、包括模块化公用资源供给设施的模块、旁路热调整器、多功能模块、机器人(例如,单臂、双臂、双末端执行器、蛙腿等)、各种形状的处理系统等。
参照图97-100,工件可被暂时储存在缓冲模块中。缓冲模块可例如被放置在两个传送机器人模块之间以促进搬运和吞吐量,或者由于类似的原因被放置在隧道6150和机器人之间。可从多侧和/或由多个机器人访问缓冲模块。缓冲模块可具有保存多个半导体工件的能力。在实施例中,缓冲器也可能够执行放置在缓冲器中的半导体工件的调准。这种缓冲器可被称为缓冲调准器模块9700,在图97中描述其例子。缓冲调准器模块9700可包括缓冲工件支架9702、调准器平台9704和调准器视觉系统9708。缓冲工件支架9702可同时夹住多个半导体工件9710、9712、9714和9718,所述多个半导体工件9710、9712、9714和9718可在支架9702内垂直地堆叠或以其它方式布置。在实施例中,调准器平台9704可以能够固定单个半导体工件并且将该工件旋转或平移到由调准器控制器确定的预期调准位置。一旦半导体工件已被放置在调准器平台9704上,控制器可开始旋转或平移,并且控制器可基于由调准器视觉系统9703提供的信号确定停止位置。
调准器视觉系统9708可感测半导体工件上的凹口或其它标记,并且控制器可使用凹口来确定工件的正确的调准,诸如通过当凹口位于特定位置时停止工件的旋转。调准器视觉系统9708还可采用光学字符识别(OCR)能力或其它图像处理技术来读取和记录在半导体工件上提供的信息,该信息可包括调整标记以及与工件相关的文本信息。控制器还可,或替代地,针对放置在缓冲调准器模块9700上的半导体工件的调准提供闭环感测和控制。
图98A显示传送机器人9802使用单工件末端执行器将半导体工件9720传送到缓冲调准器模块9700的调准器平台9704上。图98B显示调准器平台9704使待调准的半导体工件9720旋转。在调准器平台9704旋转的同时,调准器视觉系统9708可通过一些物理指示器(诸如,凹口、标记等)感测工件9720的位置。控制器可响应于来自调准器视觉系统9708的、指示工件被合适地调准的合适信号而停止旋转。当被调准时,半导体工件9720可被传送到缓冲工件支架9702,如图98C中所示。
图99A显示传送机器人9802将第二半导体工件9720传送到调准器平台9704。注意的是,第一缓冲工件9710已被预先储存在缓冲工件支架的顶槽中。图99B显示正在被调准的第二半导体工件9720。图99C显示储存为第一缓冲工件9710和第二缓冲工件9712的两个调准的半导体工件。最后,图100A显示正在由传送机器人9802从缓冲调准器模块9700传送所有调准的并且储存的工件9710、9712、9714和9718,所述传送是使用批量末端执行器10002同时移动工件9710、9712、9714和9718。图100B显示传送机器人9802利用批量末端执行器10002将一批半导体工件9710、9712、9714和9718移动到它们的目的地。
包括工件运输的线性处理系统(诸如,由与运输推车6140组合的工件搬运真空模块提供的线性处理系统)可与缓冲调准器9700关联。工件搬运真空模块可方便将工件传送到缓冲调准器9700/从缓冲调准器9700传送工件,诸如将工件传送到装备前端模块、负载锁和其它半导体加工系统模块、搬运器和处理器/从装备前端模块、负载锁和其它半导体加工系统模块、搬运器和处理器传送工件。缓冲调准器9700可有益地与线性处理系统的其它元件组合以提高吞吐量。在例子中,缓冲调准器9700可与提供真空环境中的多个调准的晶片的运输的运输推车6140组合。当处理室需要同时传送多个晶片时,能够采用缓冲调准器,在这种情况下,通过允许系统在处理期间在后台调准晶片并且执行至处理模块或负载锁的批量传送,在调准时的缓冲能够显著增加系统吞吐量。
图101显示许多模块化的可链接搬运模块6130。每个可链接模块6130可由基座10110支撑。基座10110可形成用于真空机器人搬运器和任何关联的硬件(包括例如上述链接模块)的单一支撑结构。基座10110可大体上具有圆柱形形状,具有足够的外径以便以物理方式支撑机器人和其它硬件并且具有足够的内径以允许机器人驱动器、电和其它公用资源经过。
机器人驱动结构10120可被集成在基座10110内。将机器人驱动结构10120集成在支撑结构中可有益地消除对用于容纳机器人驱动结构10120的单独管道或箱子的需要。基座10110内的存取端口10125可提供针对机器人驱动器10120的各种部件(诸如,电机、放大器、密封件等)的用户存取,以使得这些部件能够被作为个体单元移除以用于维修等。
图101中描述的基座配置提供另外的优点。通过在保留地板和模块6130之间的大量未使用空间的同时将模块化的可链接搬运模块6130上升至显著高于地板水平,基座10110为处理室公用资源(诸如,水、气体、压缩空气和电)提供物理路径,可在模块化的可链接搬运模块6130下方并且在基座10110旁边引导处理室公用资源。因此,即使没有规划公用资源进入,在附近的基于基座的模块的简单布置也确保线、管道、导管和其它公用资源载体的充分进入。为了实现这个结果,基座10110优选地具有顶部突出表面区域(即,当从顶部观看时的形状),该区域完全位于在上方支撑的模块6130的顶部突出表面区域内。因此,在基座周围一直提供空间。
基座10110可包括滚动底座10130(具有用于相对永久的安装的可调整托脚),在滚动底座10130上可包括另外的控制装置或装备10140。滚动底座10130还方便将真空模块6130集成在模块化的真空处理和搬运系统中。
包括工件运输的线性处理系统(诸如,由与运输推车6140组合的工件搬运真空模块提供的线性处理系统)可与基座关联。工件搬运真空模块可被以模块化方式安装到基座,以使得基座可至少为工件搬运真空模块提供支撑。基座还可支撑提供工件搬运真空模块中的机器人工件搬运器的旋转和其它运动的驱动结构。基座可与工件搬运真空模块集成在一起,如这里所述。基座还可方便在方便将工件传送到隧道6150中的运输推车6140的位置支撑工件搬运真空模块。
真空模块6130之间的链接模块10149可提供参照例如上述可配置真空模块9502在这里描述的任何功能或工具。这包括可被以可移除方式和以可替换方式在真空或其它功能密封中固定到链接模块10149中的开口10155的辅助装备10150(诸如真空泵、机器视觉检查工具、加热元件等)以及各种机器公用资源(气体、电、水等)。
图102显示如何在支持半导体制造过程而可能需要的各种公用资源之间可一致地分配在连杆模块周围的未使用空间(由基座支撑结构创建)。参照图102,以分解图显示模块化的真空处理系统的一部分。图102中示出的系统的部分包括工件搬运和处理系统10200,工件搬运和处理系统10200可包括一个或多个可链接真空模块6130。可链接模块6130可彼此互连或与另一模块(诸如,检查模块4010、真空扩展部分或任何其它真空部件)互连。如图中所述,每个可链接模块6130被安装在基座10130上,基座10130又被安装在底座10230上。
处理工具能够在可链接模块6130之一的任何一个端口连接到工件搬运系统10200。通过在处理室中应用用于公用资源连结类型和位置的工业标准,可基本上基于可链接模块(一个或多个)6130的位置预先确定位于链接模块的体积外面的公用资源连结器的位置。然而,由于基座配置,也可在每个基座周围分配闲置空间以确保在链接模块周围的缓冲区10240、10250、10260,所述缓冲区10240、10250、10260在使用可链接模块的安装中始终提供公用资源的基本上任意的路径安排。当准备安装处理室时,搬运系统10200能够使用户利用模块化的公用资源传送部件10240、10250和10260。
缓冲区10240、10250和10260方便将公用资源(诸如,气体、水和电)传送到连接到可链接模块6130的任何处理室。这些缓冲区10240、10250和10260可具体地适应工业标准的定位要求,并且还可适应针对容量、接口、清洁、传送压力等的任何工业标准要求(当然,不需要在缓冲区内符合这些标准)。
在概念上,缓冲区10240、10250和10260可具有结构框架,该结构框架支撑足以用于对应公用资源的传送的多个管道10270。可利用为满足对特定公用资源的传送的特定要求而选择的合适材料构造每个管道10270,并且每个管道10270可按照任何优选图案布置在缓冲区中。另外,每个管道装置端口连结器10280可按照预定图案排列(例如,满足针对公用资源连结器位置的工业标准)以方便在缓冲区外面的连接,同时确保在缓冲区内从一个模块到另一个模块的公用资源管道的调准。
可针对每个公用资源类型选择装置端口连结器10280。例如,用于水的连结器可提供能够承受水压、温度和流量要求的可靠互连,而用于电的连结器可提供满足电阻抗、安全性和电流容量要求的可靠互连或管道。在实施例中,缓冲区内的装置端口连结器10280的位置可被以机械方式识别和/或是可调整的(例如,通过柔性管道)。
在实施例中,每个缓冲区10240、10250和10260中的物理装置(诸如,泡沫模具)或包含用于各种公用资源的连结器10280和管道的其它结构框架可被提供作为工具包,这可允许各种配置以满足安装需要,诸如对应标准(一个或多个)的约束内的管道的高度、宽度、位置、装置连结器的位置和框架安装。
在实施例中,缓冲区10240、10250、10260可完全定制以满足特定用户安装和操作需要。在这种实施例中,用户可将覆盖系统的各方面(诸如,管道的高度、宽度、位置、装置连结器的位置、安装方法)和可选方面(诸如,壳体和底座)的规范提供给制造商。
在实施例中,缓冲区10240、10250和10260可布置为具有以预定图案的一个或多个管道10270,所述一个或多个管道10270形成用于公用资源的一个或多个标准层和一个或多个可定制的层。标准层例如可用于水和电,而可定制的层可用于气体。标准层可另外包括用于水、电线的预定管道。
如图103中所示,缓冲区10240、10250和10260的总体尺寸可被预先确定以方便与处理室2002和搬运系统10200集成。如上所述并且如图103中所述,缓冲区可具有通过关联的可链接模块6130的体积沿至少一个维度定义的体积。
在具有不同形状的处理室(诸如,在隔离阀连接区域中比在公用资源部件连接区域中宽的室)的实施例中,缓冲区10240和10260的宽度可不同于图103中示出的实施例。替代地,装置端口连结器10280可在长度方面是可扩展的以适应不同形状的处理室。
图103中示出的实施例允许缓冲区10240、10250和10260被安装在可链接模块和例如检查模块4010下方,由此在确保公用资源的路径安排能力的同时减小组合的搬运系统10200的覆盖区。
图104显示适应于上述缓冲区的使用公用资源管道的许多可链接模块。如图中所述,公用资源传送部件10404、10406、10408连接到每个可链接模块的底座1023d。公用资源传送部件中的每一个可包括符合任何合适标准的管道、互连件和连接端口,如以上通常所述。
在实施例中,公用资源传送部件10404、10406、10408可包括用于感测每个公用资源的各方面(例如,流体流量、气体流量、温度、压力等)的传感器,并且可包括显示感测到的各方面或将传感器数据发送给控制器或其它数据采集系统的装置。传感器和关联的显示器可有助于安装、设置、检修、监测等。例如,传送水的模块化的公用资源传送部件10404可包括水压传感器、水流量传感器和/或水温传感器,而显示器可显示对应的物理数据。用于显示或监测的其它传感器可包括气体压力、类型、流量、电压和电流。另外,传感器可发送可由公共资源控制计算机系统监测的可在外部检测的信号。
包括工件运输的线性处理系统(诸如,由与运输推车6140组合的工件搬运真空模块提供的线性处理系统)可与模块化的公用资源传送部件10240关联,模块化的公用资源传送部件10240可通过模块化连接将公用资源(诸如,空气、水、气体和电)提供给半导体处理系统的区段。正被通过模块化的公用资源传送部件提供公用资源的真空模块(诸如,处理室2002、多功能模块9702、旁路热调整器9402、工件搬运真空模块、一个或多个负载锁14010、晶片储存器等)的组可与运输推车6140组合以方便远侧的组之间的一个或多个工件的运输。参照图67,线性处理组6610可在局部被配置为具有模块化的公用资源传送部件10240、10250、10260,而运输推车6140提供从一个组6610到另一个组的工件运输。
图105显示低颗粒通风系统。系统10500将工件传送到真空处理环境以及从真空处理环境传送工件,并且可包括工件10510,工件10510已被装载并且准备好一旦在系统10500内产生合适的真空环境就在半导体处理设施中处理。系统10500还包括连接到气体管线阀10530的适应气体管线10520、颗粒过滤器10540和冲击波挡板10550。
在通常操作中,系统10500利用可使用本领域普通技术人员已知的任何各种技术打开和关闭的门10501将工件密封以使内部10502与外部环境隔离。在操作中,系统相对于室10502打开和关闭门10501,打开气体阀10530以将气体提供给系统10500的内部10502,关闭气体阀10530,然后抽空内部10502以便为工件10510形成真空。可按照类似方式实现卸载工件10510,除了系统10500开始于真空环境并且由流经气体管线阀10530和适应气体管线10520的气体对系统10500增压。
一旦工件10510被放置在内部10502,可执行通风和抽气。在这个过程期间,与适应气体管线10520成一直线或横跨室内部10502的开口配置的颗粒过滤器10540过滤由气体运输的大颗粒。另外,挡板10550和适应气体管线10520组合以吸收由于解除内部10502的真空密封而导致的超声冲击波,由此防止或减缓内部10502内的颗粒的分裂。
气体管线(通常是用于使气体从阀10530前进至模块的圆柱形管)通过修改其形状而被适配以促进吸收超声冲击波。在一个实施例中,适应气体管线10520可具有与枪械消音器类似的形状,因为它可具有相对于气体的行进的正常路线成一定角度的内壁表面。更一般地讲,该气体管线可包括任何不规则内部表面(优选地,内部表面与气体管线的中心轴线正交)。这种表面分散、消除和或吸收超声冲击波(例如,由解除真空封闭所导致)的能量。
为了进一步减小超声冲击波的撞击,挡板10550阻碍任何剩余冲击波的行进并且保护工件1051免受原本可能传送颗粒污染的扰动的影响。挡板10550可安置为反射超声冲击波的入射部分,消除其一些能量,导致显著减小的冲击波撞击可能具有颗粒的内部的全部表面。挡板10550可比开口大,与开口一样大,或者比开口小,并且可通常从开口朝着室的内部移动。在一个实施例中,挡板10550可以是可移动的,以使得它可以选择性地布置以阻碍冲击波或允许工件通过。
如上所述的低颗粒通风系统可被部署在可能解除或创建真空密封的任何以上系统内的任何位置。
可结合这里描述的高度模块化的系统采用许多以上系统(诸如,多功能模块、批量储存器和批量末端执行器)以保留地板空间并且减少处理时间,对于复杂的处理或者对于意图在单个真空环境内适应几种不同处理的安装而言尤其如此。现在更详细地描述许多批量处理概念,特别地,批量调准器的使用。
图106显示包括许多能够同时处理许多晶片的批量处理模块10602的系统10600。每个模块10602可例如同时处理2个、3个、4个或更多晶片。系统10600还可包括批量负载锁10604、真空内批量缓冲器10606、缓冲调准器10608、一个或多个真空机器人臂10610、大气机器人臂10612和一个或多个前开口统一容器10614。每个前述部件可被适配以用于晶片的批量处理。
前开口统一容器10614可按照组存储晶片,诸如四个。尽管为了说明的目的提供了四晶片系统,但将会理解,系统10600还可,或替代地,被配置为适应2个、3个、4个、5个、6个或更多晶片的组或其组合,并且所有的这种分组可被视为批量,如在这里使用该术语那样。
大气内机器人10612可操作用于从通常管理晶片的大气搬运的FOUP 10614取回各组晶片以用于系统10600中的处理。机器人10612可在轨道、推车或其它机构上行进以访问FOUP 10614、负载锁10604和缓冲调准器10608。机器人可包括用于同时搬运一批晶片(或其它工件)的批量末端执行器。机器人10612还可,或替代地,包括双臂等,以使得第一臂能够在FOUP 10614和批量调准器10608之间拾取和放置,而另一个臂提供批量末端执行器以将缓冲10608中的调准的晶片批量传送到批量负载锁10604以及从负载锁10604批量传送回至FOUP 10614。
缓冲调准器10608可容纳在缓冲处理期间以物理方式调准的对应数量的晶片(例如,四个)。将会理解,尽管示出单个缓冲调准器,但许多缓冲调准器可布置在大气内机器人周围,或者可垂直地堆叠,以便容纳用于处理的各组批量。还将会理解,缓冲调准器10608可采用本领域技术人员已知的任何主动或被动技术或其组合以同时调准用于随后批量搬运的两个或更多的晶片。
作为显著优点,调准的一批晶片能够在下游被以批量形式更快速地处理。因此,例如,调准的一批晶片能够由机器人10612以保持调准的方式传送到批量负载锁10604以传送到真空内机器人10610,真空内机器人10610可包括双臂和/或双末端执行器以用于真空内的晶片的批量搬运。另外,真空内批量缓冲器10606可使用例如搁架等容纳各批晶片以在机器人之间的真空缓冲和/或移交期间保持校准。当然,批量缓冲器10606可提供冷却、温度控制储存或可能在半导体制造过程中在处理模块之间有用的上述其它功能中的任何功能。
图107显示对图106的批量处理系统有用的机械臂。图107A显示机器人10700的剖视图,而图107B显示透视图。通常,机器人10700可包括:第一机械臂10702,具有单个末端执行器10704;和第二机械臂10706,具有双末端执行器10708或其它批量末端执行器10708。
使用这种机械臂配置,单个末端执行器10704可被用于模块内的晶片的个体拾取和放置,而双末端执行器10708可被用于经例如批量缓冲器10606的处理模块之间的批量传送、机器人对机器人移交或任何其它合适的批量处理技术。
将会理解,可实现这种批量技术的许多变型。例如,批量批末端执行器可包括两个叶片、三个叶片或适合用在批量处理中的任何其它数量的叶片(或其它合适的晶片支撑件)。同时,每个机械臂10702、10706可以是多连杆SCARA臂、蛙腿臂或这里描述的任何其它类型的机器人。另外,根据制造过程的特定部署,两个臂可完全地独立或者部分地或选择性地依赖。所有的这种变化应该落在本公开的范围内。除了在批量大小和机械臂配置方面的变化之外,将会理解,还可采用任何数量的批量处理模块。另外,在某些情况下,使一个或多个非批量或单晶片处理模块被包括在系统中可能是高效的或者有益的,其中处理时间合适地成比例以提供协作的单处理模块和批量处理模块的可接受的利用率。
图108表示多个传送平面如何可被有益地用于在批量处理系统中保持地板空间。图108A显示链接模块包括多个传送平面以适应对链接模块内的晶片的单存取或多存取。槽阀等被提供用于隔离链接模块。图108B显示替代配置,其中多个搁架布安置在机器人之间而没有隔离。在这种配置中,搁架可例如位于机器人上方以允许机器人运动的充分范围,否则可能引起机械臂和搁架上的晶片之间的碰撞。然而,这种配置在机器人之间提供批量处理和或多晶片缓冲。图108C显示图108B的实施例的顶视图。如图108C中所见,图108B中的机器人之间具有搁架的小的适配器允许两个机器人的相对近的定位,而不需要直接的机器人对机器人移交。替代地,每个晶片或每组晶片能够被传送到升高的搁架以便随后由相邻机器人取回。作为显著优点,这种布局减小了两个相邻机器人的覆盖区,同时减小或消除了协调直接的机器人对机器人移交的额外的复杂性。
现在参照图109,根据实施例的一方面显示用于处理任何合适尺寸的晶片的线性处理工具10900的一部分。线性处理工具10900可包括排列在不同级上的任何合适的处理模块或基元10901A、10901B、10902A、10902B、10903A、10903B、10904A、10904B(例如,处理模块可按照类似于上述处理基元8012的模块化或蜂窝式方式布置在诸如垂直堆叠中,一个位于另一个上方),其中处理模块10901A-10904A布置在一个晶片处理级10909A上,并且处理模块10901B-10904B布置在另一晶片处理级10909B上。尽管图109表示由垂直地堆叠的处理模块10901A-10904A、10901B-10904B形成的两个堆叠的晶片处理级10909A、10909B,但应该理解,在图109中示出的实施例的其它方面,晶片处理工具10900可具有任何数量的垂直地堆叠的晶片处理级。注意的是,尽管处理模块在附图中被示出为处于基本上线性的堆叠中,但在其它方面,不同处理级上的处理模块可根据需要相对于彼此线性偏移(例如,水平地交错)。相应处理级10901A-10904A、10901B-10904B上的处理模块可按照可连通方式耦合到相应运输隧道10910、10911。垂直地堆叠的运输隧道/室10910、10911可通常类似于以上关于由模块化的真空室4012形成的传送室(例如,用于形成线性或线性细长的运输室)描述的运输隧道/室,并且可包括位于一个或多个模块4012传送隧道/室之间的缓冲站4010。注意的是,运输隧道10910、10911被配置为在其中保持密封的环境(诸如,真空或其它受控制的环境)。注意的是,尽管由运输室模块4012形成的运输室/隧道10910、10911在附图中被示出为是类似的,但在其它方面,一个级的运输室/隧道10910、10911可不同于另一级的运输室/隧道10910、10911。
可意识到,处理工具10900是能够根据预期处理能力“堆积”的模块化工具。例如,可最初在具有一个或多个级的初始模块化结构中提供处理工具。然后,可在一个级或超过一个级加入选择的模块,所述级从例如图110A-110D中示出的配置沿着该级以线性方式建造或另外按照垂直阵列建造。仅为了示例性目的,处理工具可被建造为单级10909A处理系统,包括例如运输隧道10910和处理模块10901A、10902A、10903A、10904A(但在其它方面,处理系统可最初被设置为多级处理系统)。随着处理级/量增加,运输隧道10910可被扩展(例如,通过将另外的传送室4012和/或缓冲站4010添加到运输隧道),和/或根据可用的地板空间,另外的处理级可被添加以增加处理工具的吞吐量/容量。例如,运输室/隧道10911可与处理模块10901B、10902B、10903B、10904B一起被添加到该工具,以使得处理工具的配置变为多级10909A、10909B处理工具,如图109中所示。注意的是,模块化的处理工具10900不限于两个处理级,并且可具有超过两个处理级和任何预期长度运输隧道。
如上所述,每个传送室4012包括至少一个传送机器人10920,所述至少一个传送机器人10920可基本上类似于传送机器人4002或任何其它合适的机器人,诸如以上描述的机器人和在第8,008,884号美国专利和于2011年8月26日提交的第13/219,267美国专利申请和于2008年6月27日提交的第12/163,996号美国专利申请中描述的机器人,其全部公开通过引用包含于此。可意识到,所述至少一个传送机器人10920可包括具有Z轴运动的两自由度驱动器。在其它方面,机器人可包括具有Z轴运动或没有Z轴运动的具有大于或小于两个自由度的驱动器。在另一方面,所述至少一个传送机器人109250可具有沿着由相应运输室/隧道10910、10911形成的线性路径在位置上固定的至少一个关节,诸如机器人的肩关节。还可意识到,每个模块化的传送室4012中的所述至少一个传送机器人10920可被布置用于直接或间接(诸如,通过缓冲站4010)的相邻运输室4012中的传送机器人之间的机器人对机器人基底移交。如上所述,每个传送室4012和/或缓冲站4010可具有垂直地堆叠的晶片传送平面,从而每个晶片处理级10909A、10909B具有各自的垂直地堆叠的晶片传送平面,允许沿着相应运输隧道10910、10911的长度的晶片的单向或双向运输。例如,在每个隧道诸如通过垂直地堆叠的机器人(例如,具有一个臂位于另一个臂上方的多个臂)而具有双向晶片行进的情况下,沿着隧道的长度的沿第一方向的运输的一个级可用于将晶片提供给处理站,而沿相反方向的运输的另一个级可用于为晶片提供基本上无障碍的返回路径,其中晶片可在没有进一步处理或任何其它合适的晶片保存位置的情况下被传送到例如EFEM。在其它方面,一个或多个级(或其一部分)的传送室可具有一个晶片传送平面。在其它方面,运输隧道10910、10911之一可被配置为沿着处理工具的一个级别的长度沿第一方向运输晶片,而在不同级的运输隧道10910、10911中的另一个可用于沿着处理工具的长度为晶片提供返回运输路径。可意识到,任何合适的控制器10900C可连接到处理工具10900以通过处理工具10900控制用于执行晶片的定向行进(例如,处理流程)的处理工具的部件。在一个方面,如图112中所示,运输隧道10910、10911中的至少一个可连接到与上述返回系统6150、14012基本上类似的返回系统11220。例如,负载锁11210可位于所述至少一个运输隧道的一个或多个末端以将运输隧道(一个或多个)连接到返回系统11220。负载锁11210可包括与上述升降器8810基本上类似的升降器系统以在处理级10909A、10909B中的一个或多个和返回系统11220的级之间传送晶片。尽管返回系统11220在图112中被示出为布置在运输隧道10910、10911上方,但在其它方面,返回系统可按照与上述方式基本上类似的方式布置在运输隧道10910、10911下方或布置在隧道之间(例如,一个隧道位于返回上方并且另一隧道位于返回下方)。可意识到,还可按照与以上关于例如图14描述的方式基本上类似的方式诸如通过负载锁或可密封缓冲站4010X在运输隧道10910、10911的末端之间的点处提供对返回系统11220的访问。
还注意的是,尽管每个传送室4012被示出为具有机器人10920,但在其它方面,每个运输隧道可包括与上述运输推车基本上类似的一个或多个晶片运输推车,其中推车可具有安装在推车上的机器人或者可以是被动推车(例如,没有安装在推车上的机器人,从而静止机器人将晶片传送到推车以及从推车传送晶片以通过运输隧道运输)。注意的是,运输隧道还可按照与以上描述的方式基本上类似的方式包括机器人和推车的组合,如图114中所示。
运输隧道10910、10911可按照任何合适方式以可连通方式彼此连接以在不同晶片处理级10901A、10909B之间传送晶片。例如,运输隧道10910、10911可通过EFEM(装备前端模块)按照可连通方式彼此连接,EFEM具有拥有垂直运动能力的机器人,诸如上述机器人8310(参见图112、113),其中该机器人被配置为直接地或间接地(诸如,通过缓冲站)从垂直地堆叠的隧道10910、10911之一内的机器人10920接收晶片并且按照与作为非限制例子关于图83、84和88-91描述的方式基本上类似的方式垂直地移动晶片以运输到垂直地堆叠的隧道10910、10911中的另一个隧道。还可意识到,一个或多个缓冲站4010可以是堆叠的缓冲站11010(参见图110A和111),所述堆叠的缓冲站11010以可连通方式连接位于不同级上的堆叠的运输隧道10910、10911。堆叠的缓冲站11010可包括晶片保存站1101 OS,晶片保存站具有垂直运动能力(例如,基本上类似于机器人8310)以在中间位置或者另外在隧道10910、10911的末端之间的位置在堆叠的运输隧道之间运输晶片。在其它方面,堆叠的缓冲站可包括与机器人8310基本上类似的机器人以在堆叠的运输隧道10910、10911之间传送晶片。
参照图110A-110D,示出线性处理工具11000-11003的不同配置。线性处理工具11000-11003可基本上类似于上述线性处理工具10900。在图110A中,处理工具11000被示出为具有堆叠的运输隧道10910、10911,例如六个堆叠的处理模块10903耦合到运输隧道10910、10911以便为处理工具11000提供例如十二个处理模块。在图110B中,处理工具11001被示出为具有堆叠的运输隧道10910、10911,例如四个堆叠的处理模块10903耦合到运输隧道10910、10911以便为处理工具11000提供例如八个处理模块。在图110C中,处理工具11002被示出为具有堆叠的运输隧道10910、10911,例如两个堆叠的处理模块10903耦合到运输隧道10910、10911以便为处理工具11000提供例如四个处理模块。注意的是,尽管处理工具11000-11002被示出为具有耦合到两个相对横向侧的处理站10903,但在其它方面,处理站10903可仅耦合到运输隧道10910、10911的单个横向侧,如图110D中所示。图110D中的处理工具11003具有例如耦合到运输隧道10910、10911的单个或共同侧的三个堆叠的处理站,为处理工具提供例如六个处理模块。应该理解,尽管在图109-110D中仅示出两个堆叠的运输隧道10910、10911,但在其它方面,处理工具可包括任何合适数量的堆叠的运输隧道以提供对具有任何合适数量的堆叠的处理模块的处理站的存取。还应该意识到,EFE可布置在运输隧道的一端或两端。在其它方面,处理工具可具有采用任何合适数量的晶片处理级的任何合适的结构。
已如此描述几个说明性实施例,应该理解,本领域技术人员将会容易地实现各种改变、修改和改进。这种改变、修改和改进应该形成本公开的一部分,并且应该落在本公开的精神和范围内。尽管这里提供的一些例子涉及功能或结构元件的特定组合,但应该理解,这些功能和元件可根据本发明以其它方式组合以完成相同或不同的目的。具体地讲,结合一个实施例讨论的动作、元件和特征不应从在其它实施例中的类似或其它作用被排除。因此,前面的描述和附图仅用作例子,而不应该是限制性的。
在全部附图中在流程图和方框图中描述的元件表示元件之间的逻辑边界。然而,根据软件或硬件工程实践,描述的元件及其功能可被实现为单一软件结构的一部分,实现为独立软件模块,或实现为采用外部例程、代码、服务等的模块或其任何组合,并且所有这种实现方式落在本公开的范围内。因此,尽管前面的附图和描述阐述了公开的系统的功能方面,但不应该从这些描述推断用于实现这些功能方面的软件的特定布置,除非明确说明或者另外从上下文清楚可知。
类似地,将会理解,以上识别和描述的各种步骤可变化,并且步骤的次序可适应于这里公开的技术的特定应用。所有的这种变化和修改应该落在本公开的范围内。如此,各种步骤的次序的叙述和/或描述不应该被理解为需要这些步骤的特定执行次序,除非特定应用需要如此或者明确说明或者另外从上下文清楚可知。
上述方法或处理及其步骤可被实现于硬件、软件或适合特定应用的这些硬件和软件的任何组合。硬件可包括通用计算机和/或专用计算装置。处理可被实现于一个或多个微处理器、微控制器、嵌入式微控制器、可编程数字信号处理器或其它可编程装置以及内部和/或外部存储器。处理还可,或替代地,被嵌入在专用集成电路、可编程门阵列、可编程阵列逻辑或任何其它装置或可被配置为处理电子信号的装置的组合。还将会理解,一个或多个处理可被实现为使用结构化编程语言(诸如,C)、面向对象的编程语言(诸如,C++)或可被存储、编译或解释以在以上装置之一上运行的任何其它高级或低级编程语言(包括汇编语言、硬件描述语言和数据库编程语言和技术)创建的计算机可执行代码以及处理器、处理器架构的异质组合或者不同硬件和软件的组合。
因此,在一个方面,上述每个方法及其组合可被实现于计算机可执行代码,当在一个或多个计算装置上执行时,所述计算机可执行代码执行其步骤。在另一方面,方法可被实现于执行其步骤的系统,并且可按照许多方式分布在装置之间,或者所有的功能可被集成在专用独立装置或其它硬件中。在另一方面,用于执行与上述处理关联的步骤的装置可包括任何上述硬件和/或软件。所有的这种排列和组合应该落在本公开的范围内。
尽管已结合详细示出和描述的优选实施例公开了本发明,但对于本领域技术人员而言,其各种修改和改进将会容易变得清楚。因此,本发明的精神和范围不应由前面的例子限制,而是应在法律允许的最广泛的意义上理解。
这里参考的全部文档通过引用包含于此。
根据公开的实施例的一个或多个方面,提供一种基底处理系统。基底处理系统包括:至少两个垂直地堆叠的运输隧道;至少一个处理基元,包括垂直地堆叠的处理模块,其中每个处理模块以可连通方式耦合到所述至少两个垂直地堆叠的运输隧道中的相应运输隧道;和至少一个静止运输机器人,位于所述至少两个垂直地堆叠的运输隧道中的每个运输隧道中,其中所述至少一个静止运输机器人被配置为沿着隧道的长度运输基底并且将基底运输到垂直地堆叠的处理模块中的相应处理模块中。
根据公开的实施例的一个或多个方面,位于所述至少两个垂直地堆叠的运输隧道中的每个运输隧道中的所述至少一个运输机器人包括:多个运输机器人,被配置为沿着所述至少两个垂直地堆叠的运输隧道中的相应运输隧道的长度将基底从一个传送机器人传送到另一个传送机器人。在另一方面,所述多个运输机器人中的每一个布置在可密封室中,其中每个可密封室以可连通方式彼此耦合以形成所述至少两个垂直地堆叠的运输隧道中的相应运输隧道。在再另一方面,所述至少两个垂直地堆叠的运输隧道中的每个运输隧道包括:缓冲站,布置在至少两个可密封室之间。在又另一方面,所述缓冲站包括:基底升降机,被配置为在所述至少两个垂直地堆叠的运输隧道中的每个运输隧道之间传送基底。
根据公开的实施例的一个或多个方面,所述至少两个垂直地堆叠的运输隧道中的每个运输隧道的至少一端以可连通方式耦合到公共装载站,其中所述公共装载站包括用于在所述至少两个垂直地堆叠的运输隧道中的每个运输隧道之间传送基底的基底升降机。
根据公开的实施例的一个或多个方面,所述至少两个垂直地堆叠的运输隧道中的每个运输隧道包括:双级运输机器人,在所述至少两个垂直地堆叠的运输隧道中的相应运输隧道内形成垂直地堆叠的基底传送平面。在另一方面,所述垂直地堆叠的基底传送平面允许所述至少两个垂直地堆叠的运输隧道中的每个运输隧道中的双向基底行进。在另一方面,所述垂直地堆叠的基底传送平面之一是被配置用于基底的基本上无障碍的运输的返回车道。
根据公开的实施例的一个或多个方面,所述至少两个垂直地堆叠的运输隧道中的每个运输隧道包括横向侧,其中所述至少一个处理基元仅布置在所述至少两个垂直地堆叠的运输隧道的单个横向侧。
根据公开的实施例的一个或多个方面,所述至少两个垂直地堆叠的运输隧道中的每个运输隧道包括横向侧,其中所述至少一个处理基元包括布置在所述至少两个垂直地堆叠的运输隧道的相对横向侧的至少两个处理基元。
根据公开的实施例的一个或多个方面,所述至少两个垂直地堆叠的运输隧道之一提供沿第一方向的基底运输并且所述至少两个垂直地堆叠的运输隧道中的另一个提供沿基本上相反的方向的基底运输。
根据公开的实施例的一个或多个方面,一种基底处理系统包括:至少两个垂直地堆叠的运输室,每个垂直地堆叠的运输室包括布置为形成被配置用于耦合到垂直地堆叠的处理模块的开口的垂直堆叠的多个开口,至少一个垂直地堆叠的运输室包括至少一个运输室模块,所述至少一个运输室模块被布置用于耦合到另一运输室模块以形成线性运输室,并且所述至少两个堆叠的运输室中的另一个包括至少一个运输室模块,所述至少一个运输室模块被布置用于耦合到另一运输室模块以形成另一线性运输室;和运输机器人,布置在每个运输室模块中,其中运输机器人的关节沿着由相应线性运输室形成的线性路径在位置上是固定的。
根据公开的实施例的一个或多个方面,所述运输机器人包括具有Z轴移动的两自由度驱动器。
根据公开的实施例的一个或多个方面,每个运输室模块是可密封室。
根据公开的实施例的一个或多个方面,每个线性运输室包括:缓冲站,布置在至少两个运输室模块之间。
根据公开的实施例的一个或多个方面,所述缓冲站包括:基底升降机,被配置为在每个线性运输室之间传送基底。
根据公开的实施例的一个或多个方面,所述至少两个垂直地堆叠的运输室中的每个运输室的至少一端以可连通方式耦合到公共装载站,其中所述公共装载站包括用于在所述至少两个垂直地堆叠的运输室中的每个运输室之间传送基底的基底升降机。
根据公开的实施例的一个或多个方面,所述至少两个垂直地堆叠的运输室中的每个运输室的运输机器人包括:双级运输机器人,在所述至少两个垂直地堆叠的运输室中的相应运输室内形成垂直地堆叠的基底传送平面。
根据公开的实施例的一个或多个方面,所述垂直地堆叠的基底传送平面允许所述至少两个垂直地堆叠的运输室中的每个运输室中的双向基底行进。
根据公开的实施例的一个或多个方面,所述垂直地堆叠的基底传送平面之一是被配置用于基底的基本上无障碍的运输的返回车道。
根据公开的实施例的一个或多个方面,所述至少两个垂直地堆叠的运输室中的每个运输室包括横向侧,其中所述多个开口仅布置在所述至少两个垂直地堆叠的运输室中的相应运输室的单个横向侧。
根据公开的实施例的一个或多个方面,所述至少两个垂直地堆叠的运输室中的每个运输室包括横向侧,其中所述多个开口布置在所述至少两个垂直地堆叠的运输室中的相应运输室的相对横向侧。
根据公开的实施例的一个或多个方面,所述至少两个垂直地堆叠的运输室之一提供沿第一方向的基底运输并且所述至少两个垂直地堆叠的运输室中的另一个提供沿基本上相反的方向的基底运输。
根据公开的实施例的一个或多个方面,相应线性运输室的运输机器人被布置用于机器人对机器人基底移交。
根据公开的实施例的一个或多个方面,一种基底处理系统包括:至少两个垂直地堆叠的线性运输室,每个垂直地堆叠的线性运输室被布置在相应处理级中并且包括多个室,所述多个室以可连通方式彼此耦合以形成不同于所述至少两个垂直地堆叠的运输隧道中的其它运输隧道的相应线性运输室,每个相应线性运输室具有被布置用于与处理模块耦合的开口;和运输机器人,布置在所述多个室中的每个室中,其中运输机器人的关节沿着由相应线性运输室形成的线性路径在位置上是固定的。
根据公开的实施例的一个或多个方面,所述基底处理系统是被配置为接受与已有的处理级堆叠的另外的处理级的模块化系统。
根据公开的实施例的一个或多个方面,所述至少两个垂直地堆叠的线性运输室中的每个线性运输室是模块化的,从而相应垂直地堆叠的线性运输室的长度能够独立于所述至少两个垂直地堆叠的线性运输室中的其它线性运输室而扩展。
根据公开的实施例的一个或多个方面,所述至少两个垂直地堆叠的线性运输室的开口被布置为形成用于与垂直地堆叠的处理模块耦合的开口的垂直堆叠。
根据公开的实施例的一个或多个方面,一种基底处理系统包括:至少两个垂直地堆叠的运输室,每个运输室具有多个开口,所述至少两个垂直地堆叠的运输室的所述多个开口被布置为形成用于与包括垂直地堆叠的处理模块的处理基元耦合的开口的垂直堆叠;和至少一个运输机器人,位于所述至少两个垂直地堆叠的运输室中的每个运输室中,其中所述至少一个运输机器人被配置为沿着隧道的长度运输基底并且将基底运输到垂直地堆叠的处理模块中的相应处理模块中,所述至少一个运输机器人具有沿着由垂直地堆叠的运输室中的相应运输室形成的线性路径在位置上固定的关节。
根据公开的实施例的一个或多个方面,所述至少两个垂直地堆叠的运输室中的每个运输室包括至少一个室,所述至少一个室被配置用于与另一室耦合以形成线性运输室。
根据公开的实施例的一个或多个方面,所述至少一个室中的每个室包括在位置上固定的运输机器人。
权利要求书(按照条约第19条的修改)
1.一种基底处理系统,包括:
至少两个垂直地堆叠的运输室,每个垂直地堆叠的运输室与所述至少两个垂直地堆叠的运输室中的另一运输室分开并且不同于所述至少两个垂直地堆叠的运输室中的另一运输室并且包括布置为形成被配置用于耦合到垂直地堆叠的处理模块的开口的垂直堆的多个开口,至少一个垂直地堆叠的运输室包括被布置用于耦合到另一运输室模块以形成线性运输室的至少一个运输室模块,并且所述至少两个堆叠的运输室中的另一个包括被布置用于耦合到另一运输室模块以形成与所述线性运输室分开并且不同于所述线性运输室的另一线性运输室的至少一个运输室模块;和
运输机器人,布置在每个运输室模块中,其中运输机器人的关节沿着由相应线性运输室形成的线性路径在位置上是固定的。
2.如权利要求1所述的基底处理系统,其中所述运输机器人包括具有Z轴移动的两自由度驱动器。
3.如权利要求1所述的基底处理系统,其中每个运输室模块是可密封室。
4.如权利要求1所述的基底处理系统,其中每个线性运输室包括:缓冲站,布置在至少两个运输室模块之间。
5.如权利要求4所述的基底处理系统,其中所述缓冲站包括:基底升降机,被配置为在每个线性运输室之间传送基底。
6.如权利要求1所述的基底处理系统,其中所述至少两个垂直地堆叠的运输室中的每个运输室的至少一端以可连通方式耦合到公共装载站,其中所述公共装载站包括用于在所述至少两个垂直地堆叠的运输室中的每个运输室之间传送基底的基底升降机。
7.如权利要求1所述的基底处理系统,其中所述至少两个垂直地堆叠的运输室中的每个运输室的运输机器人包括:双级运输机器人,在所述至少两个垂直地堆叠的运输室中的相应运输室内形成垂直地堆叠的基底传送平面。
8.如权利要求7所述的基底处理系统,其中所述垂直地堆叠的基底传送平面允许所述至少两个垂直地堆叠的运输室中的每个运输室中的双向基底行进。
9.如权利要求7所述的基底处理系统,其中所述垂直地堆叠的基底传送平面之一是被配置用于基底的基本上无障碍的运输的返回车道。
10.如权利要求1所述的基底处理系统,其中所述至少两个垂直地堆叠的运输室中的每个运输室包括横向侧,其中所述多个开口仅布置在所述至少两个垂直地堆叠的运输室中的相应运输室的单个横向侧。
11.如权利要求1所述的基底处理系统,其中所述至少两个垂直地堆叠的运输室中的每个运输室包括横向侧,其中,所述多个开口布置在所述至少两个垂直地堆叠的运输室中的相应运输室的相对横向侧。
12.如权利要求1所述的基底处理系统,其中所述至少两个垂直地堆叠的运输室之一提供沿第一方向的基底运输并且所述至少两个垂直地堆叠的运输室中的另一个提供沿基本上相反的方向的基底运输。
13.如权利要求1所述的基底处理系统,其中相应线性运输室的运输机器人被布置用于机器人对机器人基底移交。
14.一种基底处理系统,包括:
至少两个垂直地堆叠的线性运输室,每个垂直地堆叠的线性运输室与所述至少两个垂直地堆叠的线性运输室中的另一线性运输室分开并且不同于所述至少两个垂直地堆叠的线性运输室中的另一线性运输室并且被布置在相应处理级中并且包括多个室,所述多个室以可连通方式彼此耦合以形成与所述至少两个垂直地堆叠的运输隧道中的其它运输隧道分开并且不同于所述至少两个垂直地堆叠的运输隧道中的其它运输隧道的相应线性运输室,每个相应线性运输室具有被布置用于与处理模块耦合的开口;和
运输机器人,布置在所述多个室中的每个室中,其中运输机器人的关节沿着由相应线性运输室形成的线性路径在位置上是固定的。
15.如权利要求14所述的基底处理系统,其中所述基底处理系统是被配置为接受与已有的处理级堆叠的另外的处理级的模块化系统。
16.如权利要求14所述的基底处理系统,其中所述至少两个垂直地堆叠的线性运输室中的每个线性运输室是模块化的,从而相应垂直地堆叠的线性运输室的长度能够独立于所述至少两个垂直地堆叠的线性运输室中的其它线性运输室而扩展。
17.如权利要求14所述的基底处理系统,其中所述至少两个垂直地堆叠的线性运输室的开口被布置为形成用于与垂直地堆叠的处理模块耦合的开口的垂直堆。
18.一种基底处理系统,包括:
至少两个垂直地堆叠的运输室,每个垂直地堆叠的运输室与所述至少两个垂直地堆叠的运输室中的另一运输室分开并且不同于所述至少两个垂直地堆叠的运输室中的另一运输室并且具有多个开口,所述至少两个垂直地堆叠的运输室的所述多个开口被布置为形成用于与包括垂直地堆叠的处理模块的处理基元耦合的开口的垂直堆;和
至少一个运输机器人,位于所述至少两个垂直地堆叠的运输室中的每个运输室中,其中所述至少一个运输机器人被配置为沿着隧道的长度运输基底并且将基底运输到垂直地堆叠的处理模块中的相应处理模块中,所述至少一个运输机器人具有沿着由垂直地堆叠的运输室中的相应运输室形成的线性路径在位置上固定的关节。
19.如权利要求18所述的基底处理系统,其中所述至少两个垂直地堆叠的运输室中的每个运输室包括至少一个室,所述至少一个室被配置用于与另一室耦合以形成线性运输室。
20.如权利要求19所述的基底处理系统,其中所述至少一个室中的每个室包括在位置上固定的运输机器人。

Claims (20)

1.一种基底处理系统,包括:
至少两个垂直地堆叠的运输室,每个垂直地堆叠的运输室包括布置为形成被配置用于耦合到垂直地堆叠的处理模块的开口的垂直堆的多个开口,至少一个垂直地堆叠的运输室包括被布置用于耦合到另一运输室模块以形成线性运输室的至少一个运输室模块,并且所述至少两个堆叠的运输室中的另一个包括被布置用于耦合到另一运输室模块以形成另一线性运输室的至少一个运输室模块;和
运输机器人,布置在每个运输室模块中,其中运输机器人的关节沿着由相应线性运输室形成的线性路径在位置上是固定的。
2.如权利要求1所述的基底处理系统,其中所述运输机器人包括具有Z轴移动的两自由度驱动器。
3.如权利要求1所述的基底处理系统,其中每个运输室模块是可密封室。
4.如权利要求1所述的基底处理系统,其中每个线性运输室包括:缓冲站,布置在至少两个运输室模块之间。
5.如权利要求4所述的基底处理系统,其中所述缓冲站包括:基底升降机,被配置为在每个线性运输室之间传送基底。
6.如权利要求1所述的基底处理系统,其中所述至少两个垂直地堆叠的运输室中的每个运输室的至少一端以可连通方式耦合到公共装载站,其中所述公共装载站包括用于在所述至少两个垂直地堆叠的运输室中的每个运输室之间传送基底的基底升降机。
7.如权利要求1所述的基底处理系统,其中所述至少两个垂直地堆叠的运输室中的每个运输室的运输机器人包括:双级运输机器人,在所述至少两个垂直地堆叠的运输室中的相应运输室内形成垂直地堆叠的基底传送平面。
8.如权利要求7所述的基底处理系统,其中所述垂直地堆叠的基底传送平面允许所述至少两个垂直地堆叠的运输室中的每个运输室中的双向基底行进。
9.如权利要求7所述的基底处理系统,其中所述垂直地堆叠的基底传送平面之一是被配置用于基底的基本上无障碍的运输的返回车道。
10.如权利要求1所述的基底处理系统,其中所述至少两个垂直地堆叠的运输室中的每个运输室包括横向侧,其中所述多个开口仅布置在所述至少两个垂直地堆叠的运输室中的相应运输室的单个横向侧。
11.如权利要求1所述的基底处理系统,其中所述至少两个垂直地堆叠的运输室中的每个运输室包括横向侧,其中,所述多个开口布置在所述至少两个垂直地堆叠的运输室中的相应运输室的相对横向侧。
12.如权利要求1所述的基底处理系统,其中所述至少两个垂直地堆叠的运输室之一提供沿第一方向的基底运输并且所述至少两个垂直地堆叠的运输室中的另一个提供沿基本上相反的方向的基底运输。
13.如权利要求1所述的基底处理系统,其中相应线性运输室的运输机器人被布置用于机器人对机器人基底移交。
14.一种基底处理系统,包括:
至少两个垂直地堆叠的线性运输室,每个垂直地堆叠的线性运输室被布置在相应处理级中并且包括多个室,所述多个室以可连通方式彼此耦合以形成不同于所述至少两个垂直地堆叠的运输隧道中的其它运输隧道的相应线性运输室,每个相应线性运输室具有被布置用于与处理模块耦合的开口;和
运输机器人,布置在所述多个室中的每个室中,其中运输机器人的关节沿着由相应线性运输室形成的线性路径在位置上是固定的。
15.如权利要求14所述的基底处理系统,其中所述基底处理系统是被配置为接受与已有的处理级堆叠的另外的处理级的模块化系统。
16.如权利要求14所述的基底处理系统,其中所述至少两个垂直地堆叠的线性运输室中的每个线性运输室是模块化的,从而相应垂直地堆叠的线性运输室的长度能够独立于所述至少两个垂直地堆叠的线性运输室中的其它线性运输室而扩展。
17.如权利要求14所述的基底处理系统,其中所述至少两个垂直地堆叠的线性运输室的开口被布置为形成用于与垂直地堆叠的处理模块耦合的开口的垂直堆。
18.一种基底处理系统,包括:
至少两个垂直地堆叠的运输室,每个运输室具有多个开口,所述至少两个垂直地堆叠的运输室的所述多个开口被布置为形成用于与包括垂直地堆叠的处理模块的处理基元耦合的开口的垂直堆;和
至少一个运输机器人,位于所述至少两个垂直地堆叠的运输室中的每个运输室中,其中所述至少一个运输机器人被配置为沿着隧道的长度运输基底并且将基底运输到垂直地堆叠的处理模块中的相应处理模块中,所述至少一个运输机器人具有沿着由垂直地堆叠的运输室中的相应运输室形成的线性路径在位置上固定的关节。
19.如权利要求18所述的基底处理系统,其中所述至少两个垂直地堆叠的运输室中的每个运输室包括至少一个室,所述至少一个室被配置用于与另一室耦合以形成线性运输室。
20.如权利要求19所述的基底处理系统,其中所述至少一个室中的每个室包括在位置上固定的运输机器人。
CN201280064498.7A 2011-10-26 2012-10-26 半导体晶片搬运和运输 Expired - Fee Related CN104011845B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161551779P 2011-10-26 2011-10-26
US61/551779 2011-10-26
US61/551,779 2011-10-26
PCT/IB2012/002688 WO2013072760A2 (en) 2011-10-26 2012-10-26 Semiconductor wafer handling and transport

Publications (2)

Publication Number Publication Date
CN104011845A true CN104011845A (zh) 2014-08-27
CN104011845B CN104011845B (zh) 2018-05-11

Family

ID=48430279

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280064498.7A Expired - Fee Related CN104011845B (zh) 2011-10-26 2012-10-26 半导体晶片搬运和运输

Country Status (6)

Country Link
US (3) US9862554B2 (zh)
JP (3) JP2015502654A (zh)
KR (2) KR102244137B1 (zh)
CN (1) CN104011845B (zh)
TW (2) TWI719331B (zh)
WO (1) WO2013072760A2 (zh)

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104269368A (zh) * 2014-08-29 2015-01-07 沈阳拓荆科技有限公司 一种利用前端模块为晶圆加热的装置及方法
CN104267256A (zh) * 2014-09-19 2015-01-07 南京中电熊猫晶体科技有限公司 适用于高基频mesa晶片测试的装置及测试方法
CN105388866A (zh) * 2015-11-17 2016-03-09 合肥芯福传感器技术有限公司 用于ic芯片或mems器件的全流程生产工作站
CN106992132A (zh) * 2015-10-20 2017-07-28 朗姆研究公司 半导体制备和研发制造设施的资本设备上使用的检修隧道
CN107534008A (zh) * 2015-04-24 2018-01-02 应用材料公司 晶片交换器
CN107615446A (zh) * 2015-05-21 2018-01-19 东京毅力科创株式会社 处理系统
TWI623397B (zh) * 2016-06-30 2018-05-11 Kawasaki Heavy Ind Ltd Horizontal articulated robot
US20180182658A1 (en) * 2015-05-25 2018-06-28 Kawasaki Jukogyo Kabushiki Kaisha Horizontal articulated robot
CN108346599A (zh) * 2017-01-24 2018-07-31 Spts科技有限公司 用于电化学处理半导体基底的方法和装置及装置维修方法
CN108591826A (zh) * 2018-04-23 2018-09-28 睿力集成电路有限公司 气体处理系统及处理方法
CN108657767A (zh) * 2017-03-28 2018-10-16 株式会社大福 物品搬运设备
CN105676815B (zh) * 2015-01-12 2018-11-16 澳门科技大学 用于单臂多组合设备的调度和最优缓冲空间构造
CN109716498A (zh) * 2016-10-18 2019-05-03 马特森技术有限公司 用于工件处理的系统和方法
CN110223934A (zh) * 2018-03-01 2019-09-10 株式会社荏原制作所 调度器、衬底处理装置及衬底输送方法
CN110246798A (zh) * 2019-05-09 2019-09-17 四川九州光电子技术有限公司 一种芯片载具用的多功能拆卸装置
CN110577082A (zh) * 2018-06-08 2019-12-17 徽拓真空阀门有限公司 晶片传送单元和晶片传送系统
CN111106038A (zh) * 2018-10-29 2020-05-05 台湾积体电路制造股份有限公司 自动化处理端系统以及方法
CN111180367A (zh) * 2015-10-02 2020-05-19 Ap系统股份有限公司 利用真空层压的粘结系统
CN111354657A (zh) * 2018-12-24 2020-06-30 沈阳拓荆科技有限公司 半导体多站处理腔体
CN111801785A (zh) * 2019-02-07 2020-10-20 株式会社日立高新技术 真空处理装置的运转方法
CN112840447A (zh) * 2018-10-04 2021-05-25 应用材料公司 运输系统
CN113970392A (zh) * 2021-10-25 2022-01-25 安徽大学 柔性接近觉与压力触觉传感器、传感系统及柔性电子皮肤
US11705358B2 (en) 2018-10-29 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for automated processing ports

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100086490A (ko) * 2007-10-24 2010-07-30 오씨 외를리콘 발처스 악티엔게젤샤프트 작업편 제조방법 및 장치
US9004788B2 (en) * 2010-06-08 2015-04-14 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and storage medium
JP6118114B2 (ja) * 2013-01-15 2017-04-19 昭和電工株式会社 磁気記録媒体の製造方法及び装置
JP6118130B2 (ja) 2013-02-25 2017-04-19 昭和電工株式会社 磁気記録媒体の製造方法及び装置
US20140261168A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Multiple chamber module and platform in semiconductor process equipment
JP2014216519A (ja) * 2013-04-26 2014-11-17 株式会社ディスコ 加工装置及びウエーハの輸送方法
US10328580B2 (en) * 2013-08-09 2019-06-25 Persimmon Technologies Corporation Reduced footprint substrate transport vacuum platform
CN105814677B (zh) * 2013-10-18 2019-06-18 布鲁克斯自动化公司 处理设备
CN103560102B (zh) * 2013-10-29 2016-04-27 中国科学院苏州纳米技术与纳米仿生研究所 一种机械手操作系统
CN104979227B (zh) 2014-04-02 2018-03-30 中芯国际集成电路制造(上海)有限公司 半导体数据收集方法及系统
US9669550B2 (en) * 2014-04-18 2017-06-06 Kla-Tencor Corporation Pick and place device with automatic pick-up-height adjustment and a method and a computer program product to automatically adjust the pick-up-height of a pick and place device
JP2015231036A (ja) * 2014-06-06 2015-12-21 キヤノン株式会社 リソグラフィ装置、および物品製造方法
CN105446281B (zh) * 2014-09-01 2019-04-26 中芯国际集成电路制造(上海)有限公司 工艺腔室的派货方法和系统
US10332770B2 (en) * 2014-09-24 2019-06-25 Sandisk Technologies Llc Wafer transfer system
CN107534000B (zh) 2015-04-20 2021-12-17 应用材料公司 缓冲腔室晶片加热机构和支撑机械臂
KR102587203B1 (ko) 2015-07-13 2023-10-10 브룩스 오토메이션 인코퍼레이티드 온 더 플라이 자동 웨이퍼 센터링 방법 및 장치
US10001772B2 (en) * 2015-09-20 2018-06-19 Macau University Of Science And Technology Optimally scheduling of close-down process for single-arm cluster tools with wafer residency time constraints
JP6539558B2 (ja) * 2015-10-05 2019-07-03 リンテック株式会社 処理装置
US10014196B2 (en) * 2015-10-20 2018-07-03 Lam Research Corporation Wafer transport assembly with integrated buffers
US20170115657A1 (en) * 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US20170194181A1 (en) * 2016-01-04 2017-07-06 Micron Technology, Inc. Overhead traveling vehicle, transportation system with the same, and method of operating the same
US10788264B2 (en) 2016-04-12 2020-09-29 Vanrx Pharmasystems, Inc. Method and apparatus for loading a lyophilization system
US10518985B2 (en) * 2016-05-31 2019-12-31 Wood's Powr-Grip Co., Inc. Control systems and methods for vacuum lift equipment
JP6937549B2 (ja) * 2016-06-10 2021-09-22 株式会社ジャパンディスプレイ 発光素子の製造装置
US11482434B2 (en) 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing
JP6802726B2 (ja) * 2017-02-14 2020-12-16 株式会社Screenホールディングス 基板搬送装置、それを備える基板処理装置および基板搬送方法
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US11061386B2 (en) * 2017-05-16 2021-07-13 Smartfabs Corporation Method and system to route semiconductor parts to machines distributed in a multi-building plant
US11236013B2 (en) * 2017-07-19 2022-02-01 Intevac, Inc. System for forming nano-laminate optical coating
US10153282B1 (en) * 2017-08-11 2018-12-11 Lam Research Corporation Ultra-high vacuum transport and storage
GB2570510A (en) 2018-01-30 2019-07-31 Pragmatic Printing Ltd System and method for manufacturing plurality of integrated circuits
US11574830B2 (en) * 2018-03-16 2023-02-07 Brooks Automation Us, Llc Substrate transport apparatus
KR101943268B1 (ko) * 2018-04-26 2019-01-28 캐논 톡키 가부시키가이샤 진공 시스템, 기판 반송 시스템, 전자 디바이스의 제조 장치 및 전자 디바이스의 제조 방법
JP7049909B2 (ja) * 2018-05-11 2022-04-07 川崎重工業株式会社 基板搬送ロボット及び基板保持ハンドの光軸ずれ検出方法
US11535460B2 (en) * 2018-05-31 2022-12-27 Brooks Automation Us, Llc Substrate processing apparatus
US11414748B2 (en) * 2019-09-25 2022-08-16 Intevac, Inc. System with dual-motion substrate carriers
JP7190900B2 (ja) 2018-12-28 2022-12-16 株式会社Screenホールディングス 基板処理装置、キャリア搬送方法およびキャリアバッファ装置
KR20210125067A (ko) 2019-02-08 2021-10-15 야스카와 아메리카 인코포레이티드 관통 빔 자동 티칭
CN112103206A (zh) * 2019-06-17 2020-12-18 上海微电子装备(集团)股份有限公司 工件传输系统、工件传输方法及激光退火设备
US11574826B2 (en) * 2019-07-12 2023-02-07 Applied Materials, Inc. High-density substrate processing systems and methods
JP7221403B2 (ja) * 2019-09-05 2023-02-13 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
CN112582318A (zh) * 2019-09-30 2021-03-30 沈阳芯源微电子设备股份有限公司 涂胶显影设备
KR102270760B1 (ko) * 2019-11-29 2021-06-30 에이엠티 주식회사 미세 피치를 갖는 디바이스의 테스트장치
US20240009856A1 (en) * 2020-03-03 2024-01-11 Lam Research Corporation Collaborative robot system on a mobile cart with a chamber docking system
US11565402B2 (en) 2020-03-09 2023-01-31 Applied Materials, Inc. Substrate transfer devices, systems and methods of use thereof
US11521870B2 (en) * 2020-07-08 2022-12-06 Applied Materials, Inc. Annealing chamber
KR20220053854A (ko) * 2020-10-23 2022-05-02 피코앤테라(주) 이에프이엠
KR102610837B1 (ko) * 2020-12-29 2023-12-06 세메스 주식회사 기판과 기판을 접합하기 위한 기판 접합 설비에서의 기판 보관 및 정렬 장치
EP4318246A1 (en) * 2021-03-23 2024-02-07 Kioxia Corporation Storage system
CN114035466B (zh) * 2021-11-05 2022-05-31 肇庆高峰机械科技有限公司 一种双工位磁片排列机的控制系统
JP2023072528A (ja) 2021-11-12 2023-05-24 東京エレクトロン株式会社 基板搬送装置及び基板搬送方法
TWI806617B (zh) * 2022-05-19 2023-06-21 京鼎精密科技股份有限公司 晶圓測量裝置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070274810A1 (en) * 2006-05-26 2007-11-29 Holtkamp William H Linearly distributed semiconductor workpiece processing tool
US20080232948A1 (en) * 2003-11-10 2008-09-25 Van Der Meulen Peter Semiconductor wafer handling and transport
CN101801817A (zh) * 2007-07-17 2010-08-11 布鲁克斯自动化公司 具备集成到室壁上的电动机的基片加工装置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0666295B2 (ja) * 1983-06-29 1994-08-24 東京応化工業株式会社 多段プラズマ処理装置
JP2592511B2 (ja) * 1988-12-03 1997-03-19 株式会社フレンドテック研究所 縦型半導体製造システム
JPH08340034A (ja) * 1995-06-09 1996-12-24 Mitsui Toatsu Chem Inc 薄膜形成装置
KR100269097B1 (ko) 1996-08-05 2000-12-01 엔도 마코토 기판처리장치
JP2003060009A (ja) * 1996-08-05 2003-02-28 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US20050113964A1 (en) * 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Sensor methods and systems for semiconductor handling
JP4627992B2 (ja) * 2004-01-08 2011-02-09 住友精密工業株式会社 基板処理システム
JP2008028035A (ja) * 2006-07-19 2008-02-07 Phyzchemix Corp 半導体製造装置
JP2009147236A (ja) * 2007-12-17 2009-07-02 Mitsubishi Heavy Ind Ltd 真空処理装置
JP5303222B2 (ja) 2008-08-29 2013-10-02 株式会社Ihi 搬送制御装置及び搬送制御方法
JP5562759B2 (ja) 2009-11-04 2014-07-30 東京エレクトロン株式会社 基板処理装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080232948A1 (en) * 2003-11-10 2008-09-25 Van Der Meulen Peter Semiconductor wafer handling and transport
US20070274810A1 (en) * 2006-05-26 2007-11-29 Holtkamp William H Linearly distributed semiconductor workpiece processing tool
CN101801817A (zh) * 2007-07-17 2010-08-11 布鲁克斯自动化公司 具备集成到室壁上的电动机的基片加工装置

Cited By (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016029701A1 (zh) * 2014-08-29 2016-03-03 沈阳拓荆科技有限公司 一种利用前端模块为晶圆加热的装置及方法
CN104269368A (zh) * 2014-08-29 2015-01-07 沈阳拓荆科技有限公司 一种利用前端模块为晶圆加热的装置及方法
CN104267256A (zh) * 2014-09-19 2015-01-07 南京中电熊猫晶体科技有限公司 适用于高基频mesa晶片测试的装置及测试方法
CN104267256B (zh) * 2014-09-19 2016-11-16 南京中电熊猫晶体科技有限公司 适用于高基频mesa晶片测试的装置及测试方法
CN105676815B (zh) * 2015-01-12 2018-11-16 澳门科技大学 用于单臂多组合设备的调度和最优缓冲空间构造
CN107534008A (zh) * 2015-04-24 2018-01-02 应用材料公司 晶片交换器
CN107615446B (zh) * 2015-05-21 2020-12-04 东京毅力科创株式会社 处理系统
CN107615446A (zh) * 2015-05-21 2018-01-19 东京毅力科创株式会社 处理系统
US20180182658A1 (en) * 2015-05-25 2018-06-28 Kawasaki Jukogyo Kabushiki Kaisha Horizontal articulated robot
CN111180367B (zh) * 2015-10-02 2023-08-15 Ap系统股份有限公司 利用真空层压的粘结系统
CN111180367A (zh) * 2015-10-02 2020-05-19 Ap系统股份有限公司 利用真空层压的粘结系统
CN106992132A (zh) * 2015-10-20 2017-07-28 朗姆研究公司 半导体制备和研发制造设施的资本设备上使用的检修隧道
CN111739819A (zh) * 2015-10-20 2020-10-02 朗姆研究公司 半导体制备和研发制造设施的资本设备上使用的检修隧道
CN106992132B (zh) * 2015-10-20 2020-06-09 朗姆研究公司 半导体制备和研发制造设施的资本设备上使用的检修隧道
CN105388866A (zh) * 2015-11-17 2016-03-09 合肥芯福传感器技术有限公司 用于ic芯片或mems器件的全流程生产工作站
TWI623397B (zh) * 2016-06-30 2018-05-11 Kawasaki Heavy Ind Ltd Horizontal articulated robot
CN109716498B (zh) * 2016-10-18 2023-10-24 玛特森技术公司 用于工件处理的系统和方法
CN109716498A (zh) * 2016-10-18 2019-05-03 马特森技术有限公司 用于工件处理的系统和方法
CN108346599A (zh) * 2017-01-24 2018-07-31 Spts科技有限公司 用于电化学处理半导体基底的方法和装置及装置维修方法
CN108346599B (zh) * 2017-01-24 2024-03-08 Spts科技有限公司 用于电化学处理半导体基底的方法和装置及装置维修方法
US11643744B2 (en) 2017-01-24 2023-05-09 Spts Technologies Limited Apparatus for electrochemically processing semiconductor substrates
CN108657767A (zh) * 2017-03-28 2018-10-16 株式会社大福 物品搬运设备
CN108657767B (zh) * 2017-03-28 2021-04-09 株式会社大福 物品搬运设备
CN110223934A (zh) * 2018-03-01 2019-09-10 株式会社荏原制作所 调度器、衬底处理装置及衬底输送方法
CN110223934B (zh) * 2018-03-01 2021-10-08 株式会社荏原制作所 调度器、衬底处理装置及衬底输送方法
CN108591826A (zh) * 2018-04-23 2018-09-28 睿力集成电路有限公司 气体处理系统及处理方法
CN110577082B (zh) * 2018-06-08 2023-02-21 徽拓真空阀门有限公司 晶片传送单元和晶片传送系统
CN110577082A (zh) * 2018-06-08 2019-12-17 徽拓真空阀门有限公司 晶片传送单元和晶片传送系统
CN112840447A (zh) * 2018-10-04 2021-05-25 应用材料公司 运输系统
CN111106038B (zh) * 2018-10-29 2023-01-31 台湾积体电路制造股份有限公司 自动化处理端系统以及方法
CN111106038A (zh) * 2018-10-29 2020-05-05 台湾积体电路制造股份有限公司 自动化处理端系统以及方法
US11705358B2 (en) 2018-10-29 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for automated processing ports
CN111354657B (zh) * 2018-12-24 2023-09-26 拓荆科技股份有限公司 半导体多站处理腔体
CN111354657A (zh) * 2018-12-24 2020-06-30 沈阳拓荆科技有限公司 半导体多站处理腔体
CN111801785A (zh) * 2019-02-07 2020-10-20 株式会社日立高新技术 真空处理装置的运转方法
CN111801785B (zh) * 2019-02-07 2023-09-05 株式会社日立高新技术 真空处理装置的运转方法
CN110246798A (zh) * 2019-05-09 2019-09-17 四川九州光电子技术有限公司 一种芯片载具用的多功能拆卸装置
CN110246798B (zh) * 2019-05-09 2024-01-05 四川九州光电子技术有限公司 一种芯片载具用的多功能装卸装置
CN113970392A (zh) * 2021-10-25 2022-01-25 安徽大学 柔性接近觉与压力触觉传感器、传感系统及柔性电子皮肤
CN113970392B (zh) * 2021-10-25 2023-09-22 安徽大学 柔性接近觉与压力触觉传感器、传感系统及柔性电子皮肤

Also Published As

Publication number Publication date
JP2015502654A (ja) 2015-01-22
US9862554B2 (en) 2018-01-09
KR102244137B1 (ko) 2021-04-23
TWI637892B (zh) 2018-10-11
US20140271083A1 (en) 2014-09-18
US20190218041A1 (en) 2019-07-18
CN104011845B (zh) 2018-05-11
WO2013072760A3 (en) 2013-10-24
WO2013072760A4 (en) 2013-12-12
WO2013072760A2 (en) 2013-05-23
US10239707B2 (en) 2019-03-26
JP2020170866A (ja) 2020-10-15
TW201335050A (zh) 2013-09-01
JP2018164108A (ja) 2018-10-18
TW201919970A (zh) 2019-06-01
KR20200136058A (ko) 2020-12-04
US20180141762A1 (en) 2018-05-24
KR20140087023A (ko) 2014-07-08
KR102185752B1 (ko) 2020-12-02
TWI719331B (zh) 2021-02-21
JP7432457B2 (ja) 2024-02-16
US11352220B2 (en) 2022-06-07

Similar Documents

Publication Publication Date Title
CN104011845A (zh) 半导体晶片搬运和运输
US9884726B2 (en) Semiconductor wafer handling transport
US8313277B2 (en) Semiconductor manufacturing process modules
US7959403B2 (en) Linear semiconductor processing facilities
US7458763B2 (en) Mid-entry load lock for semiconductor handling system
US20070264106A1 (en) Robotic components for semiconductor manufacturing

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20180511

Termination date: 20181026

CF01 Termination of patent right due to non-payment of annual fee