JP2020170866A - 基板処理システム - Google Patents

基板処理システム Download PDF

Info

Publication number
JP2020170866A
JP2020170866A JP2020115001A JP2020115001A JP2020170866A JP 2020170866 A JP2020170866 A JP 2020170866A JP 2020115001 A JP2020115001 A JP 2020115001A JP 2020115001 A JP2020115001 A JP 2020115001A JP 2020170866 A JP2020170866 A JP 2020170866A
Authority
JP
Japan
Prior art keywords
processing
transfer
vacuum
robot
module
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020115001A
Other languages
English (en)
Other versions
JP7432457B2 (ja
Inventor
ティー キャベニー、ロバート
T Caveney Robert
ティー キャベニー、ロバート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Azenta Inc
Original Assignee
Brooks Automation Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brooks Automation Inc filed Critical Brooks Automation Inc
Publication of JP2020170866A publication Critical patent/JP2020170866A/ja
Application granted granted Critical
Publication of JP7432457B2 publication Critical patent/JP7432457B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G47/00Article or material-handling devices associated with conveyors; Methods employing such devices
    • B65G47/74Feeding, transfer, or discharging devices of particular kinds or types
    • B65G47/90Devices for picking-up and depositing articles or materials
    • B65G47/901Devices for picking-up and depositing articles or materials provided with drive systems with rectilinear movements only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67715Changing the direction of the conveying path
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67733Overhead conveying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2201/00Indexing codes relating to handling devices, e.g. conveyors, characterised by the type of product or load being conveyed or handled
    • B65G2201/02Articles
    • B65G2201/0297Wafer cassette

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Abstract

【課題】真空半導体処理ワークピースのハンドリングおよび搬送に関し、微粒子汚染及びサーマルバジェットを制限するウェハ搬送およびハンドリングシステムを提供する。【解決手段】少なくとも2つの垂直方向に積み重ねられた搬送チャンバモジュール4012と、搬送チャンバモジュールのそれぞれに配置された搬送ロボット4002とを備える基板処理システムであって、垂直方向に積み重ねられた搬送チャンバの少なくとも1つは、線形搬送チャンバを形成するために別の搬送チャンバモジュールに連結するように配置された少なくとも1つのバッファステーション4010を備える。搬送チャンバモジュールのそれぞれに配置された搬送ロボットの連結部は、各線形搬送チャンバによって形成される線形経路に沿って位置的に固定されている。【選択図】図5

Description

[関連出願の相互参照]
本願は、2011年10月26日に出願された米国仮特許出願第61/551,779号明細書の利益を主張する非仮特許出願であって、その仮特許出願の開示内容は、その全体を参照することによって本明細書に含まれる。
本明細書に開示する発明は概して、半導体処理システムに関し、具体的には真空半導体処理ワークピースのハンドリングおよび搬送に関する。
現在の半導体製造装置はいくつかの異なる形態をとり、それぞれ重大な欠点がある。クラスターツール、中心ロボットアームの周りに半導体処理モジュールのグループを半径範囲に配置する装置は、大量のスペースをとり、比較的低速で、その構造のために、通常最高で約5つか6つの少数の半導体処理モジュールに限られる。線形ツールは、クラスターツールより多くの柔軟性を有し、速度を上げる可能性を有しているが、最新の半導体製造設備の現在のインフラにうまく適合しない。さらに、半導体製造の一般的な真空環境での装置の構成要素の線形動作は、現在の線形システムにおいて、例えば構成要素間の摩擦によって許容できないレベルの微粒子が生じるなどの問題を引き起こしている。径方向の処理モジュール配置と線形配置の組み合わせを用いるいくつかのハイブリッド構造が存在する。
半導体製造の複雑さが増すにつれて、多くの異なる処理モジュールまたは処理モジュールのクラスターの間で、また時にはかなりの距離離れているツールとモジュールとの間で、ウェハを移送することはますます必要になる。これは多くの困難をもたらし、特にウェハを離れた真空処理設備間で移送する時に困難をもたらす。真空環境間または真空環境と他の処理環境との間での移送はしばしば、(ロードロックにおけるウェハの注入および放出によって)微粒子汚染のリスクが増すことにつながると同時に、ウェハが移送中に加熱されたり、あるいは冷却されたりする場合のサーマルバジェットの増加につながる。
半導体製造環境における使用のために、ウェハ搬送およびハンドリングシステムは改良の必要性がある。
本明細書には、半導体の製造、ハンドリング、および搬送の改良に用いられる方法とシステムが提供されている。モジュール式のウェハ搬送およびハンドリング設備は、真空半導体処理システムにおいて、より多くのレベルの柔軟性、有用性、効率性、および機能性を与えるさまざまな方法で組み合わせられる。さまざまな処理モジュールおよび他のモジュールは、距離を伸ばし真空環境の汎用性を広げるために、トンネルおよびカート搬送システムと相互接続されてもよい。バイパス熱調整装置、バッファアライナ、バッチ処理、多機能モジュール、低微粒子ベント、クラスター処理セルなどの他の改良点が、機能性を広げ処理効率を向上させるために組み込まれる。
本明細書において「ロボット」は、任意の種類の公知のロボットまたは機械的能力および制御能力を備える同様の装置または設備を含むものとする。それは、コントローラ、プロセッサ、コンピュータまたは同様の設備の組み合わせ、モータまたは同様の設備のセット、1つまたは2つ以上のレゾルバ、エンコーダまたは同様の設備、アーム、ホイール、脚部、リンク、つめ、エクステンダ、グリップ、ノズル、噴霧器、エンドエフェクタ、アクチュエータなどの1つまたは2つ以上の機械的設備または操作設備、および上記のものの任意の組み合わせを含んでもよい。1つの実施形態はロボットアームである。
本明細書において「駆動部」は、動作を誘導するための任意の形態の駆動機構または設備を含むものとする。実施形態において、「駆動部」はロボットのモータ/エンコーダセクションを含む。
本明細書において「軸」は、リンク機構、ベルトまたは同様の設備によってアーム部材などの機械部材に機械的に接続されたモータまたは駆動部を含むものとする。「N軸駆動部」は、N個の軸を含む駆動部を含むものとし、例えば「2軸駆動部」は2つの軸を含む駆動部である。
本明細書において「アーム」は、1つまたは2つ以上のアームまたは脚部材、ベアリング、およびハンドリングされる材料を保持または把持するための1つまたは2つ以上のエンドエフェクタを含み得る受動型または能動型(モータ/エンコーダを含むことを意味する)リンク機構を含むものとする。
本明細書において「SCARAアーム」は、当業者に知られている1つまたは2つ以上の形態のSCARA(選択的柔軟多関節ロボットアーム)型ロボットアームを意味するものとし、駆動部に接続された1つまたは2つ以上の上部リンク、駆動部の一部であるモータにベルトまたは装置によって接続された1つまたは2つ以上の下部リンク、およびエンドエフェクタまたはアクチュエータなどの1つまたは2つ以上のエンドユニットからなるアームを含む。
本明細書において「旋回半径」は、アームが完全に格納させられた時に適合する半径を意味するものとする。
本明細書において「リーチ」は、ロボットアームに関して、アームが完全に伸ばされた時に得られる最大の届く距離を含むものとする。完全に伸ばされていないアームを制御するのはより容易であるので、通常、機械的限界は実際の有効リーチを少し超えている(実施形態において、制御するのが困難となり得る、完全な伸長時の左/右の特異性がある)。
本明細書において「収納」は、最小半径の仮想的な円がアーム/エンドエフェクタ/材料の周りに描かれるように、アームが最適に格納された時の状況を意味するものとする。
本明細書において「リーチ収納比率」は、ロボットアームに関して、最小収納に対する最大リーチの比率を意味するものとする。
本明細書において「ロボットからロボット」距離は、2つの異なるロボット駆動部の機械的回転中心軸の間の水平距離を含むものとする。
本明細書において「スロットバルブ」は、(真空チャンバのポンプダウンを制御する真空(隔離)バルブとは対照的に)ロボットアームが通り抜けられるように開閉する矩形状のバルブを含むものとする。例えば、SEMI E21.1−1296規格(半導体製造に関する公表規格)の特定の半導体製造処理モジュールにおける300mmウェハのスロットバルブは、開口幅336mm、開口高さ50mm、合計のバルブの厚さ60mmを有し、その規格はまた取り付けボルトと位置合わせピンも規定する。
本明細書において「移送面」は、材料がスロットバルブを通ってロボットチャンバから処理モジュールチャンバに送られる面(エレベーション)を含むものとする。半導体製造装置のSEMI E21.1−1296規格によって、移送面はスロットバルブ中心線より14mm上にあり、工場フロアの面より1100mm上にある。
本明細書において「セクション」は、1つまたは2つ以上のロボット駆動部を内部に有する真空チャンバを含むものとする。これは線形システムにおいて最小の反復可能な要素である。
本明細書において「リンク」は、別のリンク、エンドエフェクタ、またはロボット駆動部の両端において接続されたロボットアームの機械部材を含むものとする。
本明細書において「L1」、「L2」、「L3」などは、駆動部からエンドエフェクタまでのアームリンクの番号付けを含むものとする。
本明細書において「エンドエフェクタ」は、ロボット駆動部から遠位で、ロボットアームが作用する物に近位のロボットアームの作動端にある要素を含むものとする。エンドエフェクタは、半導体プロセスにおいて搬送される材料を受動的または能動的に把持するロボットハンド、またはロボットアームの端部に配置された他のアクチュエータであってもよい。
本明細書において「SCARAアーム」は、1つまたは2つ以上のリンクを含み、エンドエフェクタを含み得るロボットアームのことを指し、制御下のアームは、例えば物体を係合するなどのために線形に動くことができる。SCARAアームは、例えば3、4、またはそれ以上などのさまざまな数のリンクを有してもよい。本明細書において「3リンクSCARAアーム」は、3つの部材(リンク1(L1)、リンク2(L2)、エンドエフェクタ)を有するSCARAロボットアームを含むものとする。3リンクSCARAアームの駆動部は通常3つのモータを有する。1つのモータはL1に接続され、1つのモータはベルトシステムに接続され、同様にプーリとZ(上昇)モータによってエンドエフェクタに接続する。第4のモータをエンドエフェクタに接続することができ、それは3つのモータでは不可能な一般的でない移動を可能にする。
本明細書において「デュアルSCARAアーム」は、共通の駆動部に任意に接続された2つのSCARAアームの組み合わせを含むものとする(例えば2つの3または4リンクSCARAアーム(通常AおよびBと指定))。実施形態において、デュアルSCARAアームは完全に独立したものか、または共通のリンク部材L1を共用するものである。独立したデュアルSCARAアームの駆動部は通常5つのモータ(L1−Aに接続されたモータ、L1−Bに接続されたモータ、アームAのベルトシステムに接続されたモータ、アームBのベルトシステムに接続されたモータ、共通のZ(上昇)モータ)のいずれかを有する。独立したデュアルSCARAアームの駆動部は通常、アームAおよびBの共通の共用L1リンクを有し、通常4つのモータ(共通リンクL1に接続されたモータ、アームAのベルトシステムに接続されたモータ、アームBのベルトシステムに接続されたモータ、共通のZ(上昇)モータに接続されたモータ)を含む。
本明細書において「4リンクSCARAアーム」は、4つの部材、L1、L2、L3、およびエンドエフェクタを有するアームを含むものとする。4リンクSCARAアームの駆動部は4つのモータ(L1に接続されたモータ、L2およびL3に接続されたベルトシステムに接続されたモータ、エンドエフェクタに接続されたモータ、およびZモータ)を有することができる。実施形態において、3つのモータ(L1に接続されたモータ、L2、L3、およびエンドエフェクタに接続するベルトシステムに接続されたモータ、Zモータ)のみが必要とされる。
本明細書において「フロッグレッグ型アーム」は、5つの部材(L1A、L1B、L2A、L3B、およびエンドエフェクタ)を有するアームを含むものとする。フロッグレッグ型アームの駆動部は3つのモータ(伝動装置などによってL1Bに機械的に接続されたL1Aに接続されたモータ、アームアセンブリ全体を回転させるタレットに接続されたモータ、およびZモータ)を有することができる。実施形態において、駆動部は3つのモータ(L1Aに接続されたモータ、L1Bに接続されたモータ、およびZモータ)を含み、モータ間の調整によって所望の動作を達成する。
本明細書において「デュアルフロッグレッグ型アーム」は、8つの部材L1A、L1B、L2A−1、L2A−2、L2B−1、L2B−2、および2つのエンドエフェクタを有するアームを含むものとする。第2のリンク部材L2A−1およびL2B−1は1つのフロッグレッグ型アームを形成し、第2のリンク部材L2A−2およびL2B−2もまた1つのフロッグレッグ型アームを形成するが、反対方向に向いている。デュアルフロッグレッグ型アームの駆動部はシングルフロッグ型アームの駆動部と同じであってもよい。
本明細書において「リープフロッグレッグ型アーム」は、8つの部材L1A、L1B、L2A−1、L2A−2、L2B−1、L2B−2、および2つのエンドエフェクタを有するアームを含むものとする。第1のリンク部材L1AおよびL1Bはそれぞれ、それらの末端部よりも略真ん中でモータの1つに接続される。第2のリンク部材L2A−1およびL2B−1は1つのフロッグレッグ型アームを形成し、第2のリンク部材L2A−2およびL2B−2もまた1つのフロッグレッグ型アームを形成するが、同じ方向を向いている。デュアルフロッグ型アームの駆動部はシングルフロッグ型アームの駆動部と同じであってもよい。
本明細書には、リンク可能な柔軟なロボットシステムおよび処理モジュール間の真空中で1つまたは2つ以上のウェハを運ぶために可動カートを用いた真空トンネルシステムとを組み合わせるための方法およびシステムが開示されている。真空トンネルカートは、処理モジュール間でまたはクラスター間でウェハを移送するために用いることができるが、リンク可能なロボットシステムは、局所的なウェハのハンドリングのために各モジュール内または各クラスター内で用いられる。カートは、例えば磁気浮上/推進などの真空環境に適した任意の搬送媒体を用いてもよい。
また本明細書には、単一の処理環境内でより多様な機能を可能にするために異種ハンドリングシステムがモジュール方式で組み合わされている真空搬送システムのさまざまな構成が開示されている。一般にロボットは、ウェハハンドリングのために、互いに近接した処理モジュールの内部および間に備えられてもよく、同時に比較的遠い処理セル間で迅速で便利なウェハの搬送を可能にする。そのような異種ハンドリングシステムは、例えばSCARAアームのようなロボットアームが処理モジュール内でまたはクラスター内でウェハをハンドリングするために用いられるシステムを含んでもよく、カートまたは同様の設備が処理モジュール間でまたはクラスター間でウェハを搬送するのに用いられる。カートまたは同様の設備は、本明細書に開示するさまざまな実施形態を含めて、浮上カート、レール付きカート、チューブシステム、または任意の多種多様なカートシステムまたはレールウェイシステムを含んでもよい。
本明細書に開示する方法およびシステムはまた、カートシステムが「U」字型および「I」字型、回路、線形、二本線形構成(並んでいる構成および上下構成)などを形成する構造を含めて、カートシステムと組み合わせたロボットハンドリングシステムのさまざまな構成を含む。
本明細書には、真空半導体処理システムにおいて真空処理およびハンドリングモジュールを支持するための方法およびシステムが開示されている。本明細書に開示するペデスタルサポートシステムは、隣接モジュール間で適切な真空密閉を円滑にするために正確に真空モジュールを配置することができる。実施形態において、ペデスタルの円筒形は、支持された真空モジュールに小さい設置面積で安定性を与えながら、便利な製造方法の機会を与える。
実施形態において、ペデスタルサポートシステムは、さらに真空モジュール内でのロボット動作のためのロボットモータ機構を組み込んでもよく、さらに真空処理システムの全体のサイズとコストを低減する。
回転基部を有するペデスタルサポートシステムはまた、処理モジュールおよびハンドリングモジュールを迅速にコスト効率良く再構成するのに必要な柔軟性を提供することができる。
本発明のこれらのシステムおよび他のシステム、方法、目的、特徴、および利点は、以下の好適な実施形態の詳細な説明および図面から当業者に明らかである。本明細書に記載された全ての文書は、全体を参照することよって本明細書に含まれる。
本発明の前述された目的と他の目的および利点は、添付の図面を参照して、以下のさらなる説明からより十分に理解されるであろう。
さまざまな製造装置タイプの装置構造を示す。 半導体製造工程において物品をハンドリングするための従来のクラスター型構造を示す。 2つから6つの処理モジュールとの間で適合する一連のクラスター型システムを示す。 2つから6つの処理モジュールとの間で適合する一連のクラスター型システムを示す。 製造工程において物品をハンドリングするための線形処理構造の上位の構成要素を示す。 図4のものと同様の構造を有するものなどの線形処理システムの上面図を示す。 3リンクSCARAアームを示す。 4リンクSCARAアームを示す。 SCARAアームのリーチおよび収納特徴を示す。 ロボットシステムの上位の構成要素を示す。 ハンドリングシステムにおいて使用するロボットアームシステムのデュアルアーム構造の構成要素を示す。 4リンクSCARAアームのリーチおよび収納能力を示す。 4リンクSCARAアームの干渉特徴を示す。 4リンクSCARAアームの干渉特徴を示す。 伝達機構としてベルトを用いた4リンクSCARAアームのデュアルアームセットの側面図を示す。 伝達機構としてスプラインリンクを用いた4リンクSCARAアームのデュアルアームセットを示す。 伝達機構としてスプラインリンクを用いた4リンクSCARAアームのデュアルアームセットを示す。 伝達機構としてスプラインリンクを用いた4リンクSCARAアームのデュアルアームセットを示す。 線形構造を有するハンドリングシステムの外部リターンシステムを示す。 線形ハンドリングシステムのU字型構造を示す。 図14のハンドリングシステムの外部リターンシステムの特定の詳細を示す。 図14のハンドリングシステムの外部リターンシステムのさらなる詳細を示す。 図14のリターンシステムにおける出力キャリアの移動を示す。 図14のリターンシステムにおける空のキャリアのハンドリングを示す。 図14のリターンシステムにおける空のキャリアのロードロック位置への移動を示す。 図14のリターンシステムにおける下げられて退避させられた空のキャリアおよびグリップの移動を示す。 図14のリターンシステムにおいて満載のキャリアが空にされて、空のキャリアが材料を受け取っているのを示す。 図14のリターンシステムにおける新たなリターンサイクルを開始する、保持位置に移動した空のキャリアを示す。 線形構造におけるデュアルアームロボットアームシステムおよびリターンシステムを有する製造工程のハンドリング設備の構造を示す。 本発明のハンドリング方法およびハンドリングシステムの全体のシステム構造の代替的な実施形態を示す。 従来のクラスターシステムと線形システムとの設置面積の比較を示す。 従来のクラスターシステムと線形システムとの設置面積の比較を示す。 本発明の実施形態によるハンドリングシステムにおいて特大の処理モジュールを用いて配置された線形構造を示す。 本発明の実施形態によるハンドリングシステムの後部出口構造を示す。 本発明のさまざまな実施形態による線形ハンドリングシステムを用いた製造設備のさまざまな配置の可能性を示す。 本発明のさまざまな実施形態による線形ハンドリングシステムを用いた製造設備のさまざまな配置の可能性を示す。 ロボットが複数の駆動部および/または複数のコントローラを備えることができる本発明の実施形態を示す。 本発明の実施形態に関する移送面およびスロットバルブ特徴を示す。 ウェハを中心に置くための転倒式グリップを示す。 ウェハを中心に置くための受動型スライド式傾斜部を示す。 中間入口設備を備える製造設備を示す。 上から見た中間入口設備を備える製造設備を示す。 上から見た中間入口設備を備える製造設備を示す。 上から見た中間入口設備を備える製造設備を示す。 本発明の実施形態によるロボットアーム位置および材料の検出のために光学センサの配置を含む製造設備を示す。 光線経路および代替的な光線経路を示す断面側面図における製造設備を示す。 光線経路および代替的な光線経路を示す断面側面図における製造設備を示す。 光線経路および代替的な光線経路を示す断面側面図における製造設備を示す。 ロボットアームによってハンドリングされる材料の中心を判定するために、どのように光学センサを用いることができるかを示す。 ロボットアームによってハンドリングされる材料の中心を判定するために、どのように光学センサを用いることができるかを示す。 従来の3軸ロボット真空駆動部構造を示す。 本発明の実施形態による3軸ロボット真空駆動部構造を示す。 本発明の実施形態による垂直方向に配置されたロードロックアセンブリを示す。 本発明の実施形態によるウェハ製造設備の両側に垂直方向に配置されたロードロックアセンブリを示す。 本発明の実施形態による垂直方向に配置されたロードロックおよび垂直方向に積み重ねられた処理モジュールを示す。 本発明の実施形態による断面側面図における垂直方向に積み重ねられた処理モジュールを有する、線形に配置された2つのレベルのハンドリング構造を示す。 上面図における図42のハンドリング配置を示す。 本発明の実施形態による、標的に対する機器搭載された物体の近接性を検出するセンサとともにロボットmm上の機器搭載された物体を示す。 いかにして標的の上方のセンサの動きによってロボットアームが障害物に対する標的の位置を検出できるかを示す。 機器搭載された物体が、位置を中央コントローラに連絡するために真空環境で無線周波通信をどのように用いることができるかを示す。 一連のセンサの出力を位置の関数として示す。 本発明の実施形態により、どのように物体の熱処理のためにロードロックに加熱要素を設置できるかを示す。 エンドエフェクタの能動振動状態を低減する、二次元における先細になったエンドエフェクタを示す。 エンドエフェクタの能動振動状態を低減する、二次元における先細になったエンドエフェクタを示す。 垂直方向の積み重ね高さに大きく影響を及ぼさないで、アームセットの振動を減らすためにロボットの平面アームのロボットアーム要素の垂直方向の先細をどのように用いることができるかを示す。 垂直方向の積み重ね高さに大きく影響を及ぼさないで、アームセットの振動を減らすためにロボットの平面アームのロボットアーム要素の垂直方向の先細をどのように用いることができるかを示す。 デュアルインディペンデントSCARAロボットアームを示す。 デュアルインディペンデントSCARAロボットアームを示す。 デュアルディペンデントSCARAロボットアームを示す。 デュアルディペンデントSCARAロボットアームを示す。 フロッグレッグ型ロボットアームを示す。 フロッグレッグ型ロボットアームを示す。 デュアルフロッグレッグ型ロボットアームを示す。 デュアルフロッグレッグ型ロボットアームを示す。 可動カート上に取り付けられた4リンクSCARAアームと逆可動カート上に取り付けられた4リンクSCARAアームを示す。 図55Aの上面図を示す。 ウェハを実質的に線形の軸に沿って送るための3リンクシングルまたはデュアルSCARAアームロボットシステムの使用を示す。 上部処理モジュールおよび底部処理モジュールがロボットアームの垂直軸によってアクセス可能な2レベル真空ハンドリングロボットシステムを示す。 2レベルのうちの1つのレベルの実質的に線形の軸に沿って基板が送られる2レベル処理設備を示す。 基板がシステムの後部から取り出される図58Aの変形を示す。 実質的に線形の軸において非常に大きい処理モジュールを備える製造設備を示す。処理モジュールの内部にアクセス可能にするためにサービススペースが利用できる。 4つの大きい処理モジュールと1つの小さい処理モジュールのよりコンパクトなレイアウトを示す。 デュアルフロッグレッグ型ロボットマニピュレータおよびシステムの同じ側にある基板を示す。 デュアルフロッグレッグ型ロボットマニピュレータおよびシステムの同じ側にある基板を示す。 真空トンネルカートが移送ロボットを介して処理モジュールとともに構成される好適な実施形態の平面図である。 真空トンネルカートが複数の移送ロボットを介して複数の処理モジュールとともに構成される好適な実施形態の平面図である。 真空トンネルの両側に沿って処理モジュールをさらに備える図62の実施形態を示す。 真空トンネルカートは移送ロボットを介してクラスター処理セルとともに構成される好適な実施形態の平面図である。 真空トンネルの両側に沿って複数のクラスター処理セルおよび複数の移送ロボットをさらに備える図64の実施形態を示す。 真空トンネルカートは移送ロボットを介して線形処理セルとともに構成される好適な実施形態の平面図である。 複数の線形処理セルをさらに備える図66の実施形態を示す。 複数のクラスター処理セルおよび複数の線形処理セルがトンネル移送カートとともに構成される好適な実施形態の平面図である。 複数の移送カートをさらに備える図68の実施形態を示す。 代替的なクラスター処理セルがトンネル搬送カートシステムおよび線形処理グループの両方と組み合わされる代替的な実施形態の平面図である。 トンネルが「L」字型を形成する代替的な実施形態の平面図である。 トンネルが「T」字型を形成する代替的な実施形態の平面図である。 トンネルが「U」字型を形成する代替的な実施形態の平面図である。 長時間プロセスと短時間プロセスの両方が必要である代替的な実施形態の平面図である。 複数の搬送カートが搬送トンネルの中にある図74の実施形態を示す。 複数のトンネル搬送カートシステムがワークピースハンドリング真空モジュールによって相互接続される代替的な実施形態である。 トンネル搬送カートシステムが完全なループを形成する図76の実施形態を示す。 完全な処理グループを示した代替的な実施形態を示す。 真空処理システムにおいてワークピースバッファゾーンの実施形態を示す。 真空トンネルにおける並んだデュアルインディペンデント搬送カートを示す。 真空トンネルにおける垂直方向に対向したデュアルインディペンデント搬送カートの側面図を示す。 ワークピースのハンドリングのために移送ロボットも備える処理システムにおけるロボットアームと搬送カートの実施形態を示す。 搬送カートを有するデュアルインディペンデント搬送トンネルの実施形態を示す。 図83に示された実施形態の、ワークピースエレベーターがワークピースを下側トンネルから上側トンネルへ移動させるために用いられる実施形態を示す。 2種類のフロッグレッグ型ロボットが主なワークピースハンドリング移送ロボットとして構成されるシステムの実施形態である。 本明細書に記載のシステムの別の実施形態を示す。 垂直方向のリフターおよび/またはエレベーターを用いた付加的な実施形態を示す。 垂直方向のリフターおよび/またはエレベーターを用いた付加的な実施形態を示す。 垂直方向のリフターおよび/またはエレベーターを用いた付加的な実施形態を示す。 垂直方向のリフターおよび/またはエレベーターを用いた付加的な実施形態を示す。 垂直方向のリフターおよび/またはエレベーターを用いた付加的な実施形態を示す。 計測またはリソグラフィハードウェアを共用するシステムを示す。 トンネル中のカート、ワークピースハンドリング真空モジュール、処理モジュール、および処理の流れに直列のおよび平行な多機能モジュールを組み合わせた線形処理システムを示す。 ワークピースハンドリング真空モジュールアクセスのあるバイパス機能付き熱調整モジュールの側面切り取り図を示す。 半導体真空処理システムにおいて用いられる設定可能な多機能半導体真空モジュールの斜視図である。 真空処理システムにおける複数の真空拡張トンネルを示す。 4つの保管された半導体ワークピースとバッファアライナモジュールを示す。 図97のアライナの位置合わせ動作を示す。 図97のアライナの位置合わせ動作を示す。 図97のアライナの位置合わせ動作を示す。 図97のアライナにおける第2のワークピースの位置合わせを示す。 図97のアライナにおける第2のワークピースの位置合わせを示す。 図97のアライナにおける第2のワークピースの位置合わせを示す。 図97のアライナから移送された位置合わせされたワークピースのバッチを示す。 図97のアライナから移送された位置合わせされたワークピースのバッチを示す。 真空処理システム環境における真空モジュール支持ペデスタルを示す。 モジュール式ユーティリティ配送モジュールを組み込んだ半導体処理システムの一部の分解斜視図である。 処理チャンバと上昇させられた真空ハンドリングモジュールとの応用におけるモジュール式ユーティリティ配送システムの側面図である。 モジュール式真空処理システムに取り付けられたモジュール式ユーティリティ配送モジュールを示す。 半導体真空モジュールとともに用いた低微粒子ベントシステムの実施形態の側面図を示す。 バッチ処理システムを示す。 バッチ処理システムでの使用のためのロボットアームを示す。 バッチ処理システムでの使用のためのロボットアームを示す。 バッチ処理システムでの使用のためのマルチ棚バッファを示す。 バッチ処理システムでの使用のためのマルチ棚バッファを示す。 バッチ処理システムでの使用のためのマルチ棚バッファを示す。 本実施形態の態様による例示的な基板処理システムの一部を示す。 図109の実施形態の態様による例示的な基板処理システムの一部を示す。 図109の実施形態の態様による例示的な基板処理システムの一部を示す。 図109の実施形態の態様による例示的な基板処理システムの一部を示す。 図109の実施形態の態様による例示的な基板処理システムの一部を示す。 処理セルの一部が取り外された図109の例示的な基板処理システムの部分を示す。 本実施形態の態様による基板処理システムの側面図を示す。 図109の実施形態の態様による例示的な基板処理システムの一部を示す。 図109の実施形態の態様による例示的な基板処理システムの一部を示す。
図1は、さまざまな製造装置タイプの装置構造1000を示している。それぞれのタイプの製造装置は、半導体ウェハなどの物品を化学蒸着工程、エッチング工程などのさまざまな工程間でハンドリングする。半導体製造工程は一般的に、微粒子や揮発性有機化合物などの混入物質に非常に影響を受けやすいため、その工程は一般的に真空環境で、特定の工程専用の1つまたは2つ以上の処理モジュールで行われる。半導体ウェハは、チップなどの最終製品を作製するために、ハンドリングシステムによってさまざまな工程間で移動させられる。さまざまな構造1000は、ハンドリングシステムのために存在する。一般的なシステムはクラスターツール1002で、処理モジュールがロボットアームなどの中央ハンドリングシステムの周りで径方向に配置される。他の実施形態において、実施形態1004のように、ハンドリングシステムは物品を水平方向に回転させることができる。それぞれのタイプのツールの重要な態様は、「設置面積」または装置が半導体製造設備において占める面積である。設置面積が大きいと、製造設備に複数の装置を備えるためにはより多くのスペースが必要となる。また、より大きい設置面積は、一般的により大きい真空システムの必要性に関係し、サイズが増すとコストは大きく増加する。構造1004は「レイジースーザン(回転台)」設備において物品を回転させる。1006の構造は処理モジュールに、そして処理モジュールから物品を移動させ、処理モジュールは互いに隣接して配置される。構造1008は、1002と同様のクラスターに処理モジュールを配置するが、中心のロボットが並んでいる2つのウェハをハンドリングする点が異なる。これらのシステムのそれぞれは、多くのクラスターツールの課題を共用し、それは、あるウェハが所定の処理モジュールに移動させられ、別のウェハがその処理モジュールから移動させられる時、かなりの交換時間の遅れがあることと、システム中で移動するウェハの数が増すにつれて、処理モジュールの真空環境の清浄度を保持することは相当困難になることを含む。
図2は、半導体製造工程において物品をハンドリングするための従来のクラスター型構造2000を示している。ロボットアーム2004は、ロボットアーム2004の周りのクラスターに配置されたさまざまな処理モジュール2002の間でウェハなどの物品を移動させる。大気基板ハンドリングミニエンバイロメントチャンバ2008は、装置によるハンドリングの材料を受容し、処理が終わると材料を保持する。処理モジュール2002を追加することがいかに難しいかということに留意する。もう1つのモジュール2002が適合する可能性があるが、実用的な構成は5つの処理モジュール2002に限られる。6つめのモジュールを追加することは装置、特にロボットアーム2004の保守性(serviceability)に著しく影響を及ぼす。
図3Aおよび3Bは、真空ベースの製造工程用のフレキシブル構造システムからの、クラスターツールモジュール、大気ミニエンバイロメントハンドリングチャンバ、真空ハンドリングチャンバ、および他の構成要素3000を示している。異なるモジュールを、所望の処理技術の製造を促進するために取り付けることができる。例えば、所定のチップは、異なる処理モジュールで異なる化学成分(例えばチタン、窒化物、タングステンなど)の化学蒸着を必要とし、そして他の処理モジュールでエッチングを必要とする。異なる処理モジュールにおける処理の順序によって独特な最終製品を生み出す。半導体構成要素の複雑性が増す場合、製造者がより多くの処理モジュールを追加できるフレキシブルな構造を有することがしばしば望ましい。しかしながら、前述のクラスターツールではスペースが限られ、したがってより多くの処理モジュールを追加することは不可能である可能性があり、より複雑な半導体ウェハを仕上げるためには、製造を別のクラスターツールへ移すことが必要な場合もある。図3Aおよび図3Bで見られるように、クラスターツールは2つの3002、3つの3004、4つの3006、5つの3008、3010、または6つの3012の処理モジュールを有する構成を、段階的真空隔離をして備えることができる。他の構成要素は装置に関連して供給することができる。
図4は、製造工程において物品をハンドリングするための線形処理構造4000の上位構成要素を示している。その構造は、線形に配置された2つまたはそれ以上の据置式ロボット4002を用いる。ロボット4002は、システムの底部に取り付けられるかチャンバの蓋から吊り下げることができ、あるいはその両方を同時に行うことができる。その線形システムはロボットの周りに真空チャンバ4012を用いる。そのシステムは複数の接続された真空チャンバ4012を含むことができ、それぞれは線形に配置された自身のロボットを含む真空チャンバ4012である。実施形態において、1つのコントローラが、構造の1つまたは2つ以上のセクションをハンドリングするために配置されてもよい。実施形態において、真空チャンバ4012セクションは拡張可能であり、すなわち製造者は容易にセクション/チャンバ4012を加えることができ、それによってクラスター構造よりも容易に処理能力を増やすことができる。それぞれのセクションはそれぞれのロボット駆動部4004およびアーム4002を用いるので、追加のセクションとそれによってロボットが加えられた時、処理量は高いまま維持できる。それに反して、クラスターツールにおいては、製造者が処理チャンバ2002を加えた時、ロボットがデュアルアームを備えていても、システムは1つのロボットについての負荷を増やし、結果的にロボットの速度は制限要因となり得る。実施形態において、システムは1つの駆動部の中に付加的なロボットアーム4002を追加することによってこの問題に対処する。他の製造業者は、例えばデュアルSCARAまたはデュアルフロッグレッグ型ロボットなどの2つの完全に独立したアームを有する4軸ロボットを用いてきた。本明細書に開示する線形システムはロボット能力によって制限されないことが可能で、各セクション4012はロボットを含むため、クラスターツールよりも多くの材料を搬送することができる。
実施形態において、システムの構成要素は、ソフトウェアコントローラによって制御することができ、それは実施形態において、構成要素のそれぞれを制御する中央コントローラであってもよい。実施形態において、構成要素はソフトウェアの制御下でリンク可能なハンドリングシステムを形成し、ソフトウェアは、別のロボットへ材料を渡すために、または次のロボットが取り出すようにバッファの中に入れるために、各ロボットを制御する。実施形態において、ソフトウェア制御システムは、処理モジュールまたはロボットなどの新しい構成要素がシステムに接続された時、新しい構成要素の追加を把握することができ、例えばUSB、Ethernet(登録商標)、FireWire(登録商標)、Bluetooth(登録商標)、802.11a、802.11b、802.11gまたは他のネットワークなどのネットワークを介して構成要素を把握することができる。そのような実施形態において、次のロボット、処理モジュール、または他の構成要素が、ウェハなどの材料のハンドリングの流れのためのソフトウェアスケジューラに接続されるとすぐに、材料がシステム中の新しいリンクによって送られるように自動的に再構成され得る。実施形態において、ソフトウェアスケジューラはニューラルネットに基づき、またはルールに基づくスケジューラであってもよい。実施形態において、処理モジュールは、それらをそのようなネットワークを介して認識させることができ、それによってソフトウェアコントローラはどのような新しい処理モジュール、ロボット、または他の構成要素が接続されたかを認識する。新しい処理モジュールが空のファセットに接続される時、システムはそれを把握し、ハンドリングする材料の流れの予定に入れることができる。
実施形態において、ソフトウェアシステムは、ユーザーがシステムのシミュレーションを実行できるようにインターフェースを備えてもよい。インターフェースは、(例えば材料の流れをさまざまな構成要素の中で移動させることによって、または処理モジュールを移動させることによって、またはロボットを移動させることによってなど)構成を最適化するためにユーザーが、さまざまなリンク、ロボットアーム、および他の構成要素のリンクおよび構成を見ることができ、どの構成を供給者から購入するかを決定できる。実施形態において、インターフェースはウェブインターフェースであってもよい。
本明細書で開示する方法およびシステムは、ロボット駆動部間で任意のバッファステーション4010を用いることができる。ロボットは互いに直接受け渡しすることができたが、最適化は技術的により困難であり、2つのロボットを使用することになる。なぜなら、受け渡しをするためには、それらを同時に使用可能としなければならず、これは、準備できたときに他方のロボットが取り出すことができる、ロボットの間のダミー場所4010にそれらのロボットが置く場合よりも制限がある。また、システムは両方のロボットが使用可能になるのを待つ必要がないので、バッファ4010はより高い処理量も可能にする。さらに、バッファ4010は、例えば加熱、冷却、位置合わせ、検査、計測、試験または洗浄などのウェハにいくつかの小さい処理ステップを行うのに良い機会を与えることもできる。
実施形態において、本明細書で開示する方法およびシステムは、ロボット領域/セグメント4012間で任意の真空隔離バルブ4006を用いる。それぞれのセグメント4012は他のセグメント4012から完全に隔離することができる。ロボットがセグメント4012の中で、超清浄でデリケートな材料(例えばウェハ)をハンドリングする場合、セグメント4012をシステムの残りの部分から隔離することは、汚れたセグメント4012から清浄なセグメント4012への二次汚染を防ぐことを可能にする。また、製造業者は、異なる圧力でセグメント4012を稼働させることができるようになる。製造業者は、真空が装置内で次第に良い状態になるように真空レベルを段階別にすることができる。セグメント4012間で真空隔離バルブ4006を用いる大きな利点は、(洗浄ステップの後、環境から汚染されないで処理モジュール間で搬送される必要があったことから作製された)原子的に清浄なウェハのハンドリングが、隔離されたチャンバセグメント4012に入るシステムの他の部分の材料またはウェハからガス放出なく行うことができることである。
実施形態において、ロボット間の真空隔離が可能で、これは例えばバッファモジュール4010、ミニプロセスモジュールまたは検査モジュール4010を用いてなどのロボット間の材料バッファのように可能である。
図5は、図4の構造に類似した線形構造を有するもののような、線形処理システム4000の上面図を示している。
図4および5に関連して開示されたクラスターツールでも線形処理機でも、半導体製造装置において異なる形態のロボットが使用され得る。
図6Aおよび6Bは、3リンクSCARAアーム6002および4リンクSCARAアーム6004を示している。3リンクまたは4リンクアーム6002、6004はロボット駆動部によって駆動される。3リンクアーム6002が業界において一般に用いられる。3リンクSCARAアーム6002が用いられる時、システムはリーチ収納比率があまり良くないという点で効率的に利用されない。したがって、真空チャンバはより大きくする必要があり、コストは真空チャンバのサイズに伴って著しく上がるため、3リンクSCARAアーム6002を有することはシステムのコストを上げる可能性がある。またシステムの全体的な設置面積は、3リンクSCARAアーム6002を用いることによってより大きくなる。さらに、3リンクSCARAアーム6002のリーチは、4リンクアーム6004のリーチより短い。いくつかの場合において、製造業者は処理モジュールの中に大きく深いハンドオフを達成したい場合があり、4リンクアーム6004は、その収納比率を超えてさらに深くリーチする。これはいくつかの非SEMI規格処理モジュールにおいて利点がある。またそれは製造業者がセグメント間の長距離をカバーしたい場合に利点がある。
4リンクアーム6004は、3リンクSCARAアーム6002よりずっと小さい収納比率で折り畳まれ、同じ収納直径で従来の3リンクSCARA6002よりはるかに深くへ到達するという利点がある。システムの上部に第2の駆動部と第2の4リンクアーム6004を取り付けられる能力を組み合わせて、処理モジュールにおいて迅速な材料交換を可能にしてもよい。4リンクSCARAアーム6004は、例えば図示したように据付型駆動部の上にまたはアームおよびベルトを作動する回転動作の伝達を行う可動カートの上に取り付けられてもよい。いずれの場合も4リンクアーム6004と任意で第2の4リンクアーム6004を付けることによって、開口部のエッジに衝突しないで小さい開口部を通ることができるコンパクトなロングリーチアームを提供することができる。
図7は、4リンクSCARAアーム7004のリーチおよび収納特徴を示している。実施形態において、4リンクSCARAアーム7004のリンク長さは、いくつかの他のシステムにおけるようにリーチ収納比率の最適化によって制約されない。リーチ収納比率の最適化は、過度に長い第2のアーム部材につながることがある。最小収納直径が実現可能な近さで配置されたスロットバルブを通ってアームが伸びるとき、この第2のアーム部材はスロットバルブのエッジの内部と衝突することがある。したがって、第2(および第3)のリンクは、アームが通って伸びるように設計されたスロットバルブとの衝突の回避を踏まえて寸法が決められてもよい。これはL1、L2、L3の間で非常に異なる比率になる。L2の長さはL3の長さを制約してもよい。最適なアームの長さの等式は反復解に従う4乗方程式(4th power equation)であってもよい。
図8は、コントローラ8004、駆動部/モータ8008、mm8010、エンドエフェクタ8012、ハンドリングされる材料8014を含むロボットシステム8002の上位の構成要素を示している。
図9は、ハンドリングシステムで使用するロボットアームシステムのデュアルアーム9002構造の構成要素を示している。一方のアームは底部9004から取り付けられ、他方のアームは上部9008から取り付けられる。実施形態において、両方のアームは4リンクSCARAアームである。第2のアームを上部に取り付けることは有利である。いくつかの他のシステムにおいて、アームはチャンバの上部を介して取り付けられた駆動部に接続されているが、下側および上側の駆動部は従来、機械的に連結されている。実施形態において、図4および図5に関連して開示された線形システムにおいて、2つの駆動部間で機械的連結はないが、代わりに(衝突を防ぐために)2つのアームの連携がソフトウェアシステムまたはコントローラにおいて行われてもよい。第2(上部)のアーム9008は、必要な場合、処理量の理由のみで任意に備えてもよい。
別の特徴は、従来のSCARAアームと同様に、4リンクアームを駆動するのに2つのモータのみが必要とされ得るということである。アームにおけるベルトは平行を維持することができる。また例えばベルトの代わりに平行なバーを用いて、平行または他の連携された動作を達成することができる。一般に、わずか2つのモータの使用は、実質的にコスト面でメリットを与える。同時に3つのモータは、最後(L4)のリンクを独立して操縦できるという機能的利点はあるが、付加的なベルト、ベアリング、接続部、シャフト、およびモータは、システムをより高価なものとし得る。さらに、追加のベルトは、アーム機構に大幅な厚みを加え、アーム(SEMI規格)がスロットバルブを通るのを難しくする。また、より少ないモータの使用は、一般に関連する制御ソフトウェアを単純化する。
本明細書に開示する4リンクSCARAアームの別の特徴は、リストが中心線からオフセットされていてもよいということである。理想的なシステムは上部マウント9008および底部マウント9004の4リンクアームを有するので、アーム部材の垂直方向の配置は、製造業者がSEMI規格にも従わなければならない場合、固守するのが困難な場合がある。簡単に言うと、これらの規格は、スロットバルブ4006を通って処理モジュールに入るサイズとリーチの要件を規定する。またこれらの規格は、ウェハが運ばれなければならない中心線の上方のレベルを規定する。多くの既存の処理モジュールはこの規格に準拠する。準拠しないシステムにおいては、開口部サイズは移送面の定義と同様にわずかに異なる可能性があるが、スロットバルブ4006は非常に類似した形状をしている。SEMI規格寸法制限は非常にコンパクトなアームの実装を求める。オフセットされたリストを用いることによって上部アーム9008および底部アーム9004は共に近づき、これらのアームがスロットバルブ4006を通ることが容易になる。リストがオフセットされていない場合、アームは垂直方向にさらに離れた状態にある必要があり、駆動部は垂直方向により多く動く必要があるので、ウェハ交換はより多くの時間がかかる可能性がある。上部アームの提案された設計は、リストオフセットがあることを必要としないが、リストオフセットは有利にシステムの旋回半径を減らす可能性があり、より良い機械的アーム配置を可能にし、それによって干渉は起こらない。
図10は4リンクSCARAアーム6004のリーチおよび収納能力を示している。
図11は4リンクSCARAアーム6004の干渉特徴1102を示している。リストオフセットは、他の方法で可能な場合よりアームをより小さいスペースで折り畳むのに役立つことができる。
図12は、4リンクSCARAアーム6004のデュアルアームセットの側面図を示している。特に上部アームの実装上の制約のために、いくつかの独特の特徴を有するアームの構築が必要な場合がある。実施形態において、格納時に一方のリンクが別のアームリンクの切欠に一部入る。ベルトは、単一のベルトでなく二重に取り付けられ、一方のベルトは切欠の上部12004で他方のベルトが下部12008である。4リンクアームであるということに無関係に、1つの解決法は、L2をかなり低い12002とし、L1に対して垂直方向の間隔を有し、それによってL3およびL4は内側に折り畳まれることが可能となる。L2を低くした12002によって、L3およびL4が的確な移送面に到達することができ、より良い収納比率を可能にし得る。移送面の決定のためにL2を低くする12002が必要となり得る。
図13はベルトとリンク機構の組み合わせが用いられている実施形態を示している。L1(13002)およびL3(13006)を通じた動きの伝達は、シングルベルトまたはデュアルベルトの配置のいずれかによって達成することができる。それに対して、L2(13004)における動きの伝達は、機械的リンク機構(スプライン)13010によって達成することができる。そのような配置の利点は、内部にある連結部を用いることができるため、アームアセンブリの垂直方向の寸法を低減し、アームがSEMI規格スロットバルブをより容易に通ることを可能にする。
図14は、線形構造14000を有するハンドリングシステムの外部リターンシステムを示している。リターン機構は、任意に線形真空チャンバの上部にある。従来の真空ハンドリングシステム上には、リターン経路はしばしば進入経路と同じ領域を通る。これは、処理工程間で移動する清浄なウェハが、洗浄されていない汚れたウェハからシステムに進入する残留物によって汚染される時に起こる二次汚染の可能性を広げる。また、それはロボット4002が外に出る材料のみでなく中に入る材料をハンドリングすることを必要とし、それは真空環境を制御することを困難にする。後部で真空システムを出て上部のウェハを空気トンネル14012の前部に移動させることによって、いくつかの大きな利点がある。それはエアリターンを比較的安価に行うことができるということである。またエアリターンは、真空ロボット4002が外に出る材料をハンドリングする必要がないため、真空ロボット4002を解放することができる。さらにエアリターンは、仕上がった材料を受入領域の外へ出すことができ、それによって二次汚染のリスクを低減することができる。後部の小さいロードロック14010の使用はいくらかコストを上げる可能性があり、空気トンネル14012および短いシステムにおいても同様で、真空レベルおよび二次汚染がそんなに重要でない場合、エアリターンは重要でなくなるが、多くの統合された処理工程を有する長いシステムにおいては、上記のシステムのエアリターンは大きな利点を持ち得る。リターンシステムはまた、真空リターンシステムであってもよいが、実装によりコストがかかり、より複雑なものとなる。いくつかの実施形態において、図14が示すように、ロードロック14010は線形システムの端部に配置されてもよいが、ロードロック14010はシステムの中央などの他の場所に配置されてもよいことを理解すべきである。そのような実施形態において、製造物品は、システムを出てエアリターンに入るなどのためにシステムのそうした別の点でシステムに入るまたはシステムから出てもよい。システム中央出口ポイントの利点は、部分的なシステムの障害時に材料またはウェハを取り戻すことができることである。システム中央入口ポイントの利点は、はるかに柔軟な処理の流れを可能にし、システムの多くの場所にウェハを挿入することができることである。実質的に、システム中央入口位置またはシステム中央出口位置は、事実上EFEM位置をなくし、2つの装置がシステム中央位置によって接続されるように作用する。また図14およびその後に続く図の実施形態は直線システムで、その線形システムは曲線を成してもよく、すなわち曲線、U字型またはV字型、S字型、またはそれらの組み合わせ、または任意の他の曲線経路など、製造業者が、製造設備の構成に適合させるなどのために望む形を有してもよいということを理解すべきである。どの場合においても、システムは、任意に入口ポイントおよび入口ポイントからライン(任意に直線でない場合もあるが)を下った出口ポイントを備える。任意に、エアリターンは物品を出口ポイントから入口ポイントへ戻す。任意に、システムは1つより多い出口ポイントを備えることができる。それぞれの場合において、本明細書に記載するロボットアームは、他の線形システムの問題を起こさずに、物品を効率的にラインを下って移動させることに役立つことができる。図14AはU字型線形システムの実施例を示している。
図14を参照すると、システムの実施形態は、仕上がったウェハを迅速にシステムのフロント部に戻すことができるように、また空のキャリア14008を満載のキャリアが取り出されたばかりの場所に配置できるように、デュアルキャリア機構14008を用いる。実施形態において、エアリターンはN個のウェハを含むキャリア14008を特徴とする。Nは処理量およびコスト要求によって最適化できる。実施形態において、満載のキャリア14018が真空ロードロック14010から取り出された時、新しい空のキャリア14008がただちに配置され、ロードロック14010が他の材料を受容するために排気できるように、エアリターン機構は空のキャリア14008を含んでもよい。実施形態において、エアリターン機構はウェハをシステムのフロント部に移動させることができてもよい。引き渡し位置において、垂直リフト14004は、キャリアをEFEM(装置フロントエンドモジュール)ロボットが到達できるレベルに下げるために使用されてもよい。ロードロック位置で、垂直リフト14004はロードロックから空のキャリア14008を取り出すために下げることができる。
実施形態において、エアリターン機構は、最端部かつロードロック14010の位置の後ろ側におそらく配置される空のキャリア14008の保管領域14014を特徴としてもよい。この理由は、ロードロック14010がキャリア14018を放出するとき、グリッパー14004はキャリア14018を把持でき、それをわずかに前方に動かすことができる。次に、グリッパー14004は満載のキャリア14018を放し、完全に戻り、空のキャリア14008を取り出し、ロードロック14010上に配置することができる。この位置で、ロードロック14010は排気することができる。ここでグリッパー14004は満載のキャリア14018に戻ることができ、満載のキャリア14018をシステムのフロント部まで移動させることができる。キャリア14018がEFEMによって空にされると、次のサイクルを待つ前の場所まで戻ることができる。
またグリッパーの垂直運動を用いないでロードロックにリフトを設置することが可能だが、よりコストがかかる。またそれはわずかに柔軟性に劣る。製造業者によっては2〜3の場所でキャリア14018の垂直運動を必要とする場合があり、製造業者は1つの垂直機構があればよいので、グリッパー14004において垂直運動を行う方がより経済的である。
図15は、図14のハンドリングシステムの外部リターンシステムの特定の付加的な詳細を示している。
図16は、図14のハンドリングシステムの外部リターンシステムのさらなる詳細を示している。
図17は、図14のリターントンネル14012における出力キャリア14018の移動を示している。
図18は、図14のリターンシステム14012における空のキャリア14008のハンドリングを示している。
図19は、図14のリターントンネル14012における空のキャリア14008のロードロック14010の位置への移動を示している。
図20は、図14のリターンシステムにおいて下げられて排気された空のキャリア14008およびグリッパー14004の移動を示している。
図21は、図14のリターントンネル14012において満載のキャリア14018が空にされているときに、材料を受容している空のキャリア14008を示している。
図22は、図14のリターントンネル14012において新しいリターンサイクルを開始する待機位置に運ばれた空のキャリア14008を示している。
図23は、製造工程のハンドリング設備の構造および線形構造におけるデュアルアームロボットアームシステム23002ならびにリターンシステムを示している。
図24は、本発明のハンドリング方法およびシステムの全体的なシステム構造の代替的な実施形態を示している。
図25は、従来のクラスターシステム25004と線形システム25002との設置面積の比較を示している。製造業者は、線形システム25002を用いると、システムの処理量に影響を及ぼさないで、モジュールを追加して容易に装置を拡張することができる。例えば、図25Aに示すように、真空セクションのみで、W = 2*750 + 2*60 + 440 = 2060である。同様に、D = 350*2 + 440* 1.5 + 3*60 + 745/2 = 1913、およびA = 3.94m2である。図25Bに関しては、真空セクションのみで、W = 2*750 + 2*60 + 1000 = 2620である。同様に、D = 920 + cos (30) * (500+60+750) + sin (30)*745/2 = 2174である。したがって、A = 6.9m2で、45%大きい。
図26は、本発明の実施形態によるハンドリングシステムにおける、特大の処理モジュール26002とともに配置された線形構造を示している。
図27は、本発明の実施形態によるハンドリングシステムの後部出口構造を示している。
図28は、本発明のさまざまな実施形態による線形ハンドリングシステムを利用した製造設備のさまざまな配置の可能性を示している。
図29は、ロボット29002は複数の駆動部29004および/または複数のコントローラ29008を備えることができる本発明の実施形態を示している。実施形態において、コントローラ29008は、複数の駆動部29004およびスロットバルブ、真空計などの他の周辺装置を制御することができ、したがって、ロボット29002は複数の駆動部29004を有する1つのコントローラ29008または複数の駆動部29004を有する複数のコントローラ29008であってもよい。
図30は、本発明の実施形態に関する移送面30002およびスロットバルブ30004の特徴を示している。
図31は、ウェハを中心に置くための転倒式グリッパー31002を示している。図32の受動型センタリンググリッパー32002より優れた転倒式グリッパー31002の利点は、タンブラー31004とウェハ31008の背面との間の相対運動が少なくなることにある。タンブラー31004は、ウェハ31008を徐々に動かし、エンドエフェクタ上で中心に置かれ、ウェハは下方に移動され、両側を支持されてもよい。真空環境内のような特定の製造工程では、ウェハ31008を中心に置くことが望まれる場合がある。ロボットアームの端部でエンドエフェクタを使用する際、ハンドリングの間、ウェハの両端を支持することができるので、転倒式グリッパー31004によって、非常に脆いウェハ31008のハンドリングが可能になり得る。
図32は、ウェハ31008を保持する受動型センタリングエンドエフェクタ32002を示している。一般的に、エンドエフェクタが持ち上がる(またはウェハ31008が下がる)と、ウェハ31008はわずかに中心を外れる。これにより、ウェハ31008は傾斜部を滑り落ちて、切欠32004内に落ち込む。これによりウェハ31008は、急に落ちまたは移動する可能性があり、それによって微粒子を生じることがある。
本明細書で開示する方法およびシステムは、製造プロセスの間、材料または物品のハンドリングにおいて多くの利点を提供する。とりわけ、ロボット間で真空の隔離が可能となってもよく、またロボット間で材料のバッファが可能となってもよい。製造業者は、真空部を介することなく、システムの上部に仕上がったウェハを戻すことができ、これは、必要なハンドリングステップの半分のみを必要とし、仕上がった材料と仕上がっていない材料との間での二次汚染を排除することができ、既存のクリーンルーム設計に依然として適合するといった非常に大きな利点となり得る。製造業者が、比較的汚れているウェハをシステムに入れる際、通常、プロセスの最初のステップで洗浄される間、製造業者は、そのウェハを装置の残りの部分から分離することを望む場合がある。これは、仕上がったまたは部分的に仕上がった材料を、装置の清浄部分から離しておけるという利点となり得る。
他の利点が本明細書で開示する方法およびシステムによって提供され得る。(上部および底部に取り付けられている)デュアルアームは調整された方法で動作し、非常に素早い材料の交換を可能にし得る。正確なアーム設計(3リンク、4リンク、その他)に関わらず、底部のアームに機械的に接続されていないアームを蓋部に取り付けることは有利であり得る。本明細書で記載する4リンクSCARAアームのリンク長は、従来のアームと異なりスロットバルブとチャンバ半径の機械的な制限によって決定されるので、かなり有利であり得る。また本明細書で開示する4リンクSCARAアームは、Zモータに加えて3つのモータを使用するのではなく、Zモータとともにリンク用の2つのモータを使用することができるという点で有利である。
材料が後部から出る線形真空システムは相当の利点をもたらし得る。他の実施例は、2つの対向する壁を介して設置された入口システムおよび出口システムの両方を有することがある。
また本明細書で開示する4リンクSCARAアームによって、上部ロボット駆動部に関して、リンクL3をリンクL2内へL2の上部へスイングさせることができてもよい。これは、3リンクSCARAまたは既存の種類の4リンクSCARAアームでは誤ったリンク長を有するために、容易になされないであろう。
線形システムにおけるキャリアに対するグリッパーおよび複数のキャリアの位置はまた、線形製造構造における材料ハンドリングにおいて、相当な利益をもたらし得る。グリッパーおよび/または後部ロードロックにおいて垂直運動を含むこともまた、利益をもたらし得る。
本発明を特定の好適な実施形態に関連して記載したが、当業者は、本明細書に含まれる他の実施形態を認識するであろう。
図33は、中央入口ポイント33022を含む製造設備を示している。ある実施形態では、製造設備は、ウェハ31008を出し入れ可能な流れの中央33002のロードロック14010を含んでもよい。二重の処理能力(例えば、互いに後ろで2つの装置を接続しているが、1つのEFEMを使用することしか必要としない)をもたらす処理設備を提供することを含むこのようなシステムには、相当な利点があり得る。ある実施形態では、エアリターンシステム14012はまた、新たなウェハ31008を中央ポイント33022に運び、そこでウェハ31008を入れることができる。
図34は、中央入口ポイント33002を備えた製造設備のいくつかの上面図を示している。また図面は、中央入口ポイントの組み合わせがどのように効果的に機能し、EFEM34002の1つを排除するかを示している。
図35は、一連のセンサ35002を含む製造設備を示している。多くの製造設備において、そのようなセンサ35002は、材料35014がロボットアーム35018上に依然として存在するかどうかを検出するのに一般に使用される。一般に、そのようなセンサ35002を、各真空チャンバ4012の入口ポイントおよび出口ポイントに配置することができる。そのようなセンサ35002は、垂直な光線からなり、エミッタおよび検出器を使用するか、あるいはエミッタ/検出器の組み合わせとリフレクタを使用するかのどちらかである。真空ハンドリング設備においては、ロボットステーションのトレーニングは一般に、ロボットアームおよび材料の位置を視認し、材料35014が確実に正しい位置に配置されるようにロボットの位置を調節する熟練オペレータによって遂行される。しかしながら、しばしばこれらの位置は観察することが非常に難しく、視差および他の光学的な問題が、ロボットシステムを正確にトレーニングする際の大きな障害となる。したがってトレーニングの進行に、何時間もの装置の停止時間を費やしてしまう可能性がある。
いくつかの自動トレーニングアプリケーションが開発されているが、それらは壁またはエッジなどの物理的な障害物にロボットアームをぶつける可能性がある。この方法は、障害物にロボットを物理的に接触させることによってロボットまたは障害物のどちらかに損傷を与えるリスクがあるという著しく不利な面がある。例えば多くのロボットエンドエフェクタは、壊れやすいが非常に高いウェハ温度に耐え得るセラミック材料を使用して作られている。同様に、多くの処理モジュールの内部には、非常に脆く、容易に損傷される物体がある。さらにウェハ31008などの特定の材料がロボットエンドエフェクタ上に存在する場合に、自動トレーニング手順を採用できない可能性がある。さらに、障害物にぶつかることによって生じるアームへの上方向の力または下方向の力を検出することが、より一層困難であるために、垂直位置の決定はさらに困難である。
本明細書で記載するシステムでは、一連のセンサ35002〜35010は水平センサ35004〜35010および垂直センサ35002を含んでもよい。センサ35002〜35010を組み合わせることによって、例えば遮断される光線によって、ロボットエンドエフェクタ、アーム、またはハンドリングされる物の検出が可能となってもよい。垂直センサ35002を、ロボットアーム35018が格納した位置にある時に、ウェハ31008の領域のわずか外側に位置決めしてもよい。また、あるいは代わりに、ロボットが完全に格納した際に、ウェハによって覆われ、入口開口部の前で中心に置かれているウェハの範囲内のポイント35012などの位置に、垂直センサ35002を位置決めしてもよい。この位置において、センサは、周囲のモジュールからウェハ31008をうまく取り上げたということを、ロボットのコントローラに伝えることができてもよい。
水平センサ35004〜35010もまた、有利に使用することができる。真空クラスターツールでは、水平センサ35004〜35010は、真空チャンバの大きな直径のために、時に実用的ではなく、水平センサ35004〜35010の位置合わせはより複雑な場合がある。上述したシステムにおいては、チャンバのサイズは大幅に低減される可能性があり、よって1つまたは2つ以上の水平センサ35004〜35010を含むことが実用的な場合がある。
図36は、例えばチャンバ(36002、36008)を直線的に横切る、および/または真空システム内に配置されたミラー36006を経由する水平センサ35004〜35010および垂直センサ35002の他の可能な位置を示している。
図37は、ロボットアームが完全に格納した時、ウェハ37001の半径のわずかに外側にセンサ35002を配置する場合の、考えられる利点を示している。格納動作の間、センサ35002は、ポイント「a」37002においてウェハ37001の前方エッジを検出し、ポイント「b」37004において後方エッジを検出する。これらの結果は、ウェハ37001がうまく取り出されたことを示し得るが、ロボット駆動部に存在するエンコーダ、レゾルバ、または他の位置決め要素にセンサ35002の信号を結びつけることによって、エンドエフェクタに対してウェハ37001が中心に置かれているかを計算することもできる。線セグメント「a−b」37002、37004の中点は、ウェハ37001が円形形状であるため、エンドエフェクタの中心に対応する。ウェハ37001がエンドエフェクタ上で滑る場合、一致しない長さの測定値が、ずれを示し得る。
加えて、その後の回転および移動の間、第2の線セグメント「c−d」37008、37010は、ウェハ37001のエッジがセンサを通過した時に検出されてもよい。再度、「c」37008および「d」37010の間の中点は、エンドエフェクタの中心と一致し、ウェハのセンタリングの測定または確認を可能とし得る。
上記の方法によって、ロボットは、ウェハ37001を検出するとともに、ウェハ37001がエンドエフェクタ上の予測された位置からずれているかを判定することを可能とし得る。
水平センサと垂直センサ35002〜35010の組み合わせによって、システムは非接触法を用いて非常に急速に教え込まれ、機械的な接触を必要とすることなく、ロボットアームおよびエンドエフェクタを光学的に検出することを可能としてもよい。さらに全てのウェハ37001のハンドリング移動の間、ウェハ37001が正しい位置にあることを検証するために、光線は、ウェハ37001ハンドリングのリアルタイムで利用可能である。
図38は、2つの回転軸38020、38018と、垂直(Z)軸38004とを備えた従来の真空駆動部38000を示している。ベローズ38016によって、垂直Z軸38002の運動を可能としてもよい。ベローズ38016の底部に取り付けられた薄い金属シリンダ38024は、モータ38010と38014のロータとステータとの間に真空の障壁をもたらし得る。この配置は、電線やフィードスルー、エンコーダ、信号LEDやピックアップ38008、ベアリング38012、および磁石38006の多くの構成要素を真空内に配置することを必要とする場合がある。磁石38006、ベアリング38012、電線やコネクタおよびエンコーダは、真空環境に存在する残留処理ガスの影響を受けることがある。さらにガスは真空排気される時、渦巻き状の経路38022を進む可能性があるので、シリンダ38024の底部で捕捉されたガスを取り除くことは困難であり得る。
図39は、本明細書に記載するシステムとともに利用可能な真空ロボット駆動部39000を示している。回転駆動力は、2つのモータカートリッジ39004および39006によってもたらされ得る。各カートリッジは、統合されたエンコーダ39008、ベアリング39018および磁石39020を有してもよい。これらの構成要素のいくつかまたは全てを、真空容器の外側に配置してもよい。同心のデュアルシャフト回転シールユニット39016は、例えばリップシールまたは磁性流体シールを用いて回転運動のために真空隔離をもたらしてもよい。この方法は、真空システム内の構成要素の数を減らし得る。それはまた、モータ39004、39006およびエンコーダ39008を、真空を破ることなく動作可能にし、したがって駆動ユニットの保守性を増すことができる。
図40は、真空環境内に材料を入れるための積み重ねられた真空ロードロック4008、40004を示している。真空システム内にウェハ31008を入れる際の1つの制限要因は、ロードロックが高真空にまで真空排気することのできる速度である。ロードロックのポンプによる排気が速すぎると、ロードロックのチャンバ内で空気の圧縮が発生する場合があり、ウェハ31008の表面上に核沈殿をもたらす。その結果、微粒子が生じて、装置の性能を不良にし、低下させる可能性がある。クラスターツールは、それぞれが交互に真空排気される2つの並んだロードロックを使用してもよい。したがって、各ロードロックのポンプによる排気速度はより遅くすることができ、その結果、システムの性能を向上させる。垂直に積み重ねられた2つのロードロック4008、40004を用いると、装置の設置面積は非常に小さく維持でき、より遅いポンプによる排気速度の利点を保持することができる。実施形態において、ロードロック40004を任意で加えることができる。実施形態において、ロボットアーム4004、40006のそれぞれは、2つのロードロック4008、40004のいずれかにアクセスすることができる。実施形態において、残りのハンドオフモジュール7008を1つのレベルのハンドオフモジュールとしてもよい。
図40Bは、他のロードロックの配置を示している。この図では、ウェハ31008は、システムの両側で2つのレベルで、入れたり出したりすることが可能であるが、システムの残る部分においては同じレベルを進む。
図41は、積み重ねられたロードロック4008、40004の前述の概念が、2つの処理モジュール41006、41008を積み重ねるプロセスを通じてどのように実施可能であるかを詳細に示している。このようなモジュールはSEMI規格に準拠していないが、このような構造は、装置の設置面積および処理量において相当な利益をもたらし得る。
図42は、2つのハンドリングレベル4008、40004、4010、42004を備えたシステムを示し、ウェハは上部リンク40006または底部リンク4004のいずれかを用いて、モジュール間を独立して搬送されてもよい。任意に、各ハンドリングレベルは、上記の低下した真空排気速度の利点をもたらすために2つのロードロックを有してもよい。したがって、4つのインプットロードロック、2つのハンドリングレベルおよび任意に4つのアウトプットロードロックを備えたシステムもまた、付加的なロードロックおよびハンドリングレベルを備えたシステムであると、本明細書の記載によって考えられる。
図43は、図42のシステムの上面図を示している。
図44は、ウェハなどの特別な機器搭載された物体44014を示している。1つまたは2つ以上のセンサ44010がその物体44014に組み込まれてもよく、物体44014の周囲の環境因子を検出することができてもよい。センサ44010は、容量、光学、または磁気近接センサなどの近接センサを含んでもよい。センサ44010は、電池電源を利用して、無線周波数信号または802.11b規格に適合する信号などの他のセンサ信号を受信機44004に送信する増幅器/送信機44012に接続されてもよい。
多くの場合、機器およびセンサと通信し、電力を送るのに必要とされる電線が、適切なロボットの運動またはロボットが進む環境の邪魔になるので、ロボットをトレーニングするのに用いられる物体44014上に機器を配置することは困難であるかまたは不可能な場合がある。物体に対して無線接続を使用することによって、物体に取り付ける電線の問題を解決することができる。
物体44014は、異なる種類の数多くのセンサを異なる幾何学的に有利なパターンで備え付けることができる。本実施例では、センサ1〜6(44010)が、標的物体44008の半径に等しい半径で配置されている。実施形態において、これらのセンサは近接センサである。例えばセンサ1およびセンサ6などのセンサ44010からの過渡信号を比較することによって、物体44014が正しい向きで標的44008に接近しているかどうかを判定することができる。標的44008が正しく接近されていない場合は、2つのセンサ44010のうちの1つが、早期のトリガを示してもよい。複数のセンサ44010を監視することによって、ハンドオフに影響が及ぶ前に、物体44010が標的44008の上方で正確に中心に置かれているかどうかをシステムは判定することができる。センサ44010は、例えば信号解析の効率または任意の他の制約にしたがう任意のパターンで配置することができる。また無線周波数の信号は、真空環境において有利に作用する。
図45は、標的44008に対する機器搭載された物体44014の方向付けの非接触性を示す図44のシステムの側面図を示す。センサ44010は、温度などの標的44008の特性を測定するための他のセンサを含んでもよい。
図46は、1つまたは2つ以上のセンサを用いた無線周波数通信を示している。無線周波数センサ信号44016は、真空内のアンテナ46002に送られてもよい。波長の適切な選択は、完全に金属製の真空容器を用いて信号伝搬を向上させることができる。外部受信機とコントローラを用いた無線通信におけるセンサの使用は大きな利点をもたらし得る。例えば、この技術は、標的の中心を見つけるなどの動作に必要とされる時間を減らすことができ、視覚フィードバックをオペレータに提供するために、またはロボットアームを用いた特定の動作を自動化するために、センサからの情報を用いることができる。さらに、1つまたは2つ以上のセンサの使用は、他の方法では真空を解除し大気にさらし、物理的にチャンバを検査することを必要とするチャンバ内の測定を可能にし得る。これは、(湿気または水蒸気を出すための)減圧やベーキングなどのチャンバの内部を調整する際のコストまたは時間がかかるステップを回避することができる。
図47は、ロボット運動の関数として、複数のセンサ44010からの出力を示している。ロボットが標的44008の上を移動する時、その動作は、これらのセンサが近接センサである場合、例えば標的44008までの距離などに関する情報をこれらのセンサが与えることにつながり得る。信号は、センサに対する標的44008の位置を判定するために、個別に、またはまとめて、分析することができる。位置または形状は、標的44008に物理的に接触することなく、2つの異なる方向にセンサを移動しセンサ信号を測定することによって、異なる方向で分析されてもよい。
図48は、真空システムからウェハ48008を挿入し、取り出す技術を示している。一組の加熱要素48002、48004および48006などの1つまたは2つ以上の加熱要素が、チャンバ4008および基板材料48008を50℃〜400℃またはそれ以上の昇温に加熱するために、個別にまたは組み合わせて、使用されてもよい。この開始温度の上昇は、他の方法では圧力がチャンバ内で減少した時に発生する結露を軽減することができ、真空を作るためにより急速なポンプダウン順序を可能にすることができる。加熱されたウェハ48008は、ロボットアーム4002によってロードロック4008に移動させられる時、それらは加熱ユニット48004、48006よりかなり熱くなっている可能性があり、加熱ユニット48004、48006が接触するウェハを冷ますことができる。加熱用電源装置は、加熱ユニットおよび/またはウェハに対して所望の温度を維持するために、加熱ユニット48004、48006に供給された熱を調節してもよい。加熱ユニット48004の適切な材料の選択は、加熱電力の変化に対するシステムの迅速な反応につながることができ、異なる条件に対する異なる温度設定の可能性につながり、例えばチャンバ4008のポンプダウンの間のより高い温度の設定およびチャンバ4008の排気の間、より低い温度の設定につながり得る。
ウェハ48008を予熱することは、結露および微粒子を減らし、同時に処理時間を減らし得る。それと同時に、ウェハ48008は、システムから出る時、安全上の問題をもたらす、あるいはプラスチックなどのハンドリングおよび支持材料を溶かすほどに、過度に熱くなっている可能性がある。約80℃〜100℃の内部温度および約50℃またはそれ以下の外部温度が例えばこれらの一般的な懸念に合致する場合がある。
図49は、ロボットエンドエフェクタ49002を示している。ロボットエンドエフェクタ49002は、1つまたは2つ以上の軸を通って不均一な厚さを有するようにテーパ状であってもよい。例えば、ロボットエンドエフェクタ49002は、側面からまたは上部から見た場合、テーパを有してもよい。テーパはエンドエフェクタ49002に沿って共振振動を軽減することができる。それと同時に、(側面から見た場合に)相対的に狭い断面輪郭がウェハ49006間のより容易な操作を可能としてもよい。側面テーパは研削または機械加工によって、あるいはテーパを有するエンドエフェクタ49002の鋳造工程によって達成されてもよい。アルミニウムケイ素炭化物(AlSiC 9)は、後続の機械加工または他の仕上げステップを回避するために、有利にこの形状に鋳造され得る。鋳造工程は、鋳造工程の間、ウェハ支持材料49004を鋳型に鋳込むことができ、それによって物理的組み立てを必要とする構成要素の数を減らせるというさらなる利点をもたらす。
図50に示すように、同様の技術がロボットアームセグメント50002および50004に適用されてもよい。同じ減衰効果が、上述のようにアームセグメント50002、50004における共振振動を弱めるように達成されてもよい。テーパ形状はさまざまな既知の工程を用いて達成されてもよく、より迅速な運動および得られたロボットアームセグメントのより正確な制御を可能にしてもよい。
図51は、5つのモータ51014を用いた独立したデュアルSCARAアームを示している。それぞれの下側アーム51002および51008は、モータ51014によって独立して作動させることができる。これらのアームは、先端で上側アーム51004および51010に接続される。この配置は比較的小さい格納半径をもたらすが、いくらか伸長を制限する。
図52は、4つのモータ52010を用いた独立したデュアルSCARAアームを示している。リンク52002および52004はエンドエフェクタ52006および52008に共通してもよい。モータ52010は、下側アーム52002の伸長運動の間、所望のエンドエフェクタ(例えば52008)が処理モジュールの中に伸長でき、一方で停止中のエンドエフェクタ(例えば52006)は処理モジュールとは別の方向へ向くようにエンドエフェクタ52006および52008を制御してもよい。
図53は、フロッグレッグ型ロボットアームを示している。アームは、本明細書に記載されたさまざまな実施形態に関連して使用することができ、例えば半導体ウェハなどのワークピースを一連のこのようなアームにおいてアームからアームへ移動させることができたり、例えば半導体処理モジュール間でワークピースを移動させたりする。
図54は、本開示で記載する線形のアームツーアーム(arm-to-arm)システムのアームなどの、平面的なロボットシステムにおいて使用され得るデュアルフロッグレッグアームを示している。
図55Aは、カート55004に取り付けられた、本開示に記載された4リンクSCARAアームを示している。このようなカートは、ガイドレールまたは磁気浮上トラック55008によって直線的に移動してもよく、システムの内部または外部のモータ55002によって駆動させられてもよい。4リンクSCARAアームは、3リンクSCARAアームよりも小さい格納半径に折り畳め、一方でアームが到達するために通らなければならない開口部と衝突を避ける間はずっと、処理モジュールなどの周辺モジュール内へとより大きく伸長できるという利点を有する。逆さのカート55006は基板をカート55004に渡すのに用いられてもよい。
図55Bは、図55Aに記載されたシステムの上面図を示している。
図56は、独立したデュアルSCARAロボットアームとシングルSCARAロボットアームの組み合わせを用いた本開示に記載された線形システムを示している。このようなシステムは、4リンクSCARAアームロボットシステムを用いたシステムほどコンパクトでなくてもよい。
図57は、4リンクSCARAロボットアームを用いた垂直に積み重ねられたハンドリングシステムを示し、ここでアームは周辺の処理モジュール5002のいずれかおよび全てに到達することができる。上位57004における処理モジュールをほぼ45度回転させ、上位構成要素を下位チャンバ57002に取り付けることによって、処理モジュールのそれぞれの上部と下部は、サービスアクセスおよびポンプ、電極、ガスラインなどの取付コンポーネントに対してむき出しのままであってもよい。提案された配置は、非常にコンパクトなスペースで7つの処理モジュール5002の組み合わせを可能にしてもよい。
図58Aは、図57の変形例を示し、本開示に記載されたようにシステムの下位58002は複数のロボットシステムから構成され、上位システム58004は、主要システム軸に対して45度の角度に向けられた処理モジュール5002を用いる。提案された配置は、非常にコンパクトなスペースで9つの処理モジュール5002の組み合わせを可能にする。
図58Bは、半導体ウェハなどの基板をシステムから取り出すために後部出口ロードロック設備を用いた図58Aの変形例を示している。
図59Aは、大型基板処理モジュール59004を備え、さらにサービスアクセス59002を可能にし、また同時にさらに2つの標準サイズの処理モジュール5002の場所を設ける線形ハンドリングシステムを示している。
図59Bは、4つの大型処理モジュール59004および標準サイズの処理モジュール5002を備え、同時に処理モジュール5002の内部にサービスアクセス59002を可能にするシステム配置を示している。
図60は、ロボット駆動構成要素の実質的に同じ側にあるアームを有するデュアルフロッグロボットを示している。下部アーム60002は、モータセット54010と機械的に連結される2組の上部アーム60004を支持する。
さまざまな技術が、上述されたもののように半導体製造設備内でのウェハのハンドリングおよび搬送のために用いられてもよい。特定の処理モジュール、ロボット構成要素および関連システムが上述されたが、他の半導体処理ハードウェアおよびソフトウェアが、後述する搬送およびハンドリングシステムとの組み合わせで適切に使用できることが理解される。当業者には明らかである全てのこのような変形および修正は、本開示の範囲に含まれることが意図されている。
図61を参照すると、真空処理システムにおいて、処理グループ6100は、交換ゾーン6120に接続される装置フロントエンドモジュールなどのハンドリングインターフェース6110を備えてもよく、さらに、ワークピースを交換ゾーン6120から搬送トンネル6150内の搬送カート6140に搬送するワークピースハンドリング真空モジュール6130に接続されてもよい。
さまざまな搬送/ハンドリング機構の検討を促すために、移送ロボット6131と1つまたは2つ以上の処理モジュール2002との組み合わせが、本明細書では処理セル6170と呼ばれる。処理セルは、ウェハを移送する関連したまたは付加的なロボット技術と共に従来型の処理モジュールまたは非従来型の処理モジュールおよび/または幅広い工程を行うクラスターツールを含む多くの構成を有してもよいことが理解されるべきである。これには市販の処理モジュール、特別注文の処理モジュールなどと同様にバッファ、加熱器、計測ステーションもしくはウェハ搬送システムからウェハを受容するまたはウェハ搬送システムにウェハを供給する任意の他のハードウェアまたはハードウェアの組み合わせを含んでもよい。処理モジュール2002および/または処理セル6170は、クラスター構成、直線または曲線の側面に沿って整列した構成、四角形または長方形の構成、または垂直に積み重ねられた構成などのさまざまな構成で配置されてもよい。同様に、処理セル6170に用いる1つまたは2つ以上のロボット6131は、垂直に積み重ねられた、または対向する位置にある、互いに一直線に設けられる場合などを含み、異なる構造の処理モジュールを収容するために、様々に構成することができる。
処理グループ6100は、グループ6100内で真空ゾーンを選択的に隔離し真空ゾーン間のワークピースの交換を促す、スロットバルブなどの1つまたは2つ以上の隔離バルブ6180をさらに備えてもよい。この隔離バルブ6180は、1つまたは2つ以上の処理ステップの間にそれぞれのワークピースに対する適切な真空環境を保持するために制御してもよく、同時に真空ゾーン間でワークピースの断続的な移動を可能にする。
図61の実施形態において、ワークピースハンドリング真空モジュール6130および6131は、グループ6100の他の構成要素間でワークピースを移送し、より詳細には、搬送カート6140とさまざまな目的地との間でワークピースを移送する。搬送カート6140は、ワークピースを、例えばワークピースハンドリング真空モジュール6130、6131間などの目的地から目的地へ移動させるのを担当している。製造設備のさまざまな配置において、処理モジュールなどは、図61に示すロボット6130、6131などのロボットを用いてワークピースを直接にまたは便利に移送するために過度に離れていてもよい。これは多くの理由から発生してもよく、例えば、処理モジュールのサイズまたは形状、処理モジュールの入口ポイントおよび出口ポイントの位置、特定の製造配置における処理モジュールの数などの理由がある。大きな利点として、中間搬送システムとしての1つまたは2つ以上の搬送カート6140の使用は、幅広いモジュールおよび他の装置と複雑な多目的処理設備への柔軟性のある相互接続を可能にする。
搬送カート6140は、半導体ウェハなどのワークピースを、ワークピースハンドリング真空モジュール6130によってアクセス可能な位置に搬送してもよく、ウェハまたは他のワークピースなどの物品を処理のために処理モジュール2002に選択的に搬送してもよい。搬送カート6140は、多くの実施形態において認識することができ、磁気浮上および/または駆動カート、レールウェイ上のカート、アームまたは伸長部材を有するカート、車輪を有するカート、伸縮部材により推進されるカート、電気モータにより推進されるカート、ティッピングまたはティルティング(傾斜)が可能なカート、1つまたは複数のワークピースをある高さから他の高さに移動させる傾斜トンネルを通ることができるカート、搬送トラックからつるされた逆さのカート、搬送中にワークピースに対して処理またはいくつかの機能の1つを行うカートなどを含む。
カート6140は、カート6140の経路の水平方向の位置合わせにおける変化に対応するためにジンバル上にあってもよいし、あるいはゴンドラとしてつるされてもよい。同様に、カートは、ジンバル上にある、またはワイヤなどからつるされたウェハホルダー(例えば、支持部、棚、グリッパーなど)を備えてもよく、それによってウェハホルダーは、実質的に安定した配向を維持でき、カートは斜面を通ることができる。したがって、特定の実施形態において、カートは、斜面、下り勾配または真っ直ぐな垂直経路を通ってもよく、同時にウェハまたは他のワークピースは、実質的に均一な高さの水平方向の位置合わせを維持する。このようなカートは、水平面における加速または減速などの動作がワークピースのティッピング(傾斜)を引き起こさないように、選択して固定した水平方向の位置合わせを有してもよい。他の実施形態において、カートは、加速または減速の間、カート6140上のワークピースの位置を安定させるために、傾いてもよい。
カート6140は、真空内での使用に適した材料から作られていてもよく、例えば望ましくない微粒子の生成を抑制する材料やガス放出特性の低い材料などである。ある実施形態において、カート6140はロボットアームがない簡易なカートである。重要な利点として、アームがないカートを用いることは、機械的にカートを単純化することであり、したがってメンテナンス、修理、および真空環境の物理的汚染を軽減する。そのような実施形態において、カート経路のそれぞれの入口/出口は、好ましくは、カート上にワークピースを置いたり取り出したりするためにロボットまたは同様の装置を備える。
さまざまな考えられる実施形態間で区別するために、以下の記述では、ロボットアームまたはウェハを載せたり降ろしたりするための他の機構のないカートを示すために「受動型カート」の用語を用いる。上述のように、この構成は、設計の簡潔さおよび真空中の実施形態の点で多くの利点を与え、機械的活動から汚染物質が生じるのを軽減する付加的な利点を与える。「能動型カート」の用語は、ロボットアームを備えるカートを示すために本明細書で用いられる。能動型カートはさまざまな利点を示し、特にロボットアームを有することの汎用性を高め、カートとともにいつでもアームが使用可能で、またトンネル6150の各ポート6180におけるウェハハンドリングハードウェアの対応する要件の軽減がある。ロボットを有するカートとロボットを有しないカートを区別するために有用な用語を与えるが、それにもかかわらず、いわゆる「受動型カート」は、車輪、センサなどの他の機械的または能動型構成要素を有してもよいことが理解される。
カート6140は、単一ウェハなどのためのスペースを備えてもよい。いくつかの実施形態において、カート6140は、複数のウェハがカートによって搬送できるように複数の棚を備えてもよい。棚は、固定した高さのロボットによってウェハの異なる棚へのアクセスに対応するために調節可能な高さなどを有してもよく、または棚は、Z軸制御部を有するロボットハンドラーを用いた使用のために固定した高さを有してもよい。さらに他の実施形態において、カート6104は、多数のウェハのためのスペースを有する1つの表面を備えてもよい。複数のウェハのバリエーションは(各カート上で複数の考えられるウェハの位置を明らかにするために)付加的な程度の処理制御を必要とし、また本明細書で記載するシステムにより多くの柔軟性や容量をもたらす。他の実施形態において、カート6140は複数のウェハキャリアを運ぶように、または複数のウェハを同時にハンドリングおよび/または処理するように構成されてもよい。
カート6140は、補足的機能を提供してもよい。例えば、カート6140は、搬送中のウェハの温度を制御する冷却システムまたは加熱システムを備えてもよい。また、あるいは代わりに、カート6104は、ウェハの中心を見つけるセンサ、ウェハ計測センサなどを備えてもよい。さまざまな考えられる補足的機能がカート6104によってサポートされ、固体感知および処理を用いるそれらの機能は、好ましくは、クリーン処理環境の保全を促すために用いられてもよい。
トンネル6150は、任意の断面形状および搬送カート6140および任意の関連する積載物に適合するための適切なサイズであってもよい。一般にトンネル6150は、例えば真空などの接続されたさまざまな処理セルと同様のまたは同一の環境を維持できる。真空環境は、各ポート6180(スロットバルブは密封機構を開いたり閉じたりする機構のことを指し、ポートはウェハなどが通ることができる開口部のことを指すことが理解されるが、概してスロットバルブ6180と同一の広がりを持ったものとして図61に示されている)の独立した真空隔離に関して例えばスロットバルブなどを設けることによって達成されてもよい。スロットバルブまたはスリットバルブは隔離装置の1つの共通した形態であるが、多くの他のものが知られていて、それらが本明細書で記載のシステムとともに使用されることが適切であってもよい。したがって、スロットバルブ、スリットバルブ、隔離バルブ、隔離機構などの用語は、より狭義が明確に示されるか、または別の方法で文脈から明らかでない限り、真空環境内でさまざまなチャンバ、処理モジュール、バッファなどを隔離するのに適切な任意の装置または装置の組み合わせを指すのに広義に解釈されるべきであることが理解される。
いくつかの実施形態において、トンネル6150は、例えば異なる処理セルが異なる真空レベルを用いるか、処理に関連した他のガスを備える中間環境を維持してもよい。トンネル6150は、角度、曲線および他の搬送カート6140の移動に対応するのに適した経路の他の変化を含んでもよい。さらに、トンネル6150は、搬送カート6140を場所から場所へ運ぶのに用いられる推進システムに合致したトラックまたは他の表面を含んでもよい。いくつかの実施形態において、トンネル6150は、接続されたさまざまな処理セル間で高さの変化に対応する傾斜または他の変化を含んでもよい。ウェハまたは他のワークピースを処理環境内で移動させるカート6140とともに用いることのできる全てのこのような変化は、本開示の範囲内にあることを意図している。
図62は、搬送システムを含むウェハ処理システムの別の実施形態を示している。図のように、システム6100は、同時に複数のウェハをハンドリングできる、および/または処理できる複数の移送ロボットおよび処理モジュールを含んでもよい。またシステム6100は、システム6100内のさまざまな処理にしたがってカート6140の動作の予定を組む搬送および処理システム部材と相互接続されるコンピュータ設備(図示せず)などのコントローラを含んでもよい。各ワークピースの処理は、搬送カート6140の位置および利用可能性が多くの処理セル6170内の処理の開始時間および終了時間と調和するように制御されてもよい。処理セル6170は、同一であっても、異なってもよい。さまざまな実施形態において、システム6100は、複数のワークピースを一度に処理するために、順次処理を行っても、並行処理を行っても、またはこれらを組み合わせた処理を行ってもよく、それによって処理セル6170内で処理リソースの稼働率を向上させる。
図63は、ウェハ搬送システムを含む半導体処理設備の別の実施形態を示している。図63に示すように、処理セル6170は搬送トンネル6150の両側に接続されてもよい。上記の図61〜62に示すものなどのワークピース処理の多くのバリエーションが、図63の構成と組み合わせて用いられてもよい。これらの図が示すように、さまざまな構成の任意の数の処理セル6170が、処理セル6170を相互接続する搬送カート6140によって容易に供給されてもよい。これはより多くの数の処理セル6170および曲線状の、角度がついた、複数車線のおよび他のカート経路を含む。例えば、カート経路の一方の側にあるセルは、共通のトンネル6150、搬送カート6140、移送ロボット6130、交換ゾーン6120およびインターフェースモジュール6110を有する二重の3ステップ処理グループをもたらすために右側の処理セルに酷似していてもよい。
図64は、ワークピースハンドリング真空モジュール6131およびクラスターツール6410として配置された複数の処理モジュール2002を用いる構成を示している。この配置は、任意の数の付加的な処理セルと柔軟に相互接続することができるカートに基づいた搬送システムとともにクラスターツールのコンパクトな設置面積と機能性を提供する。
図65は、搬送システムを含む半導体処理設備の別の実施形態を示している。このシステムにおいて、多くのクラスターツール6410は、概して上述したように、搬送カート6140およびトンネル6150を用いて相互接続される。この配置は、サイズにかかわらず任意の数のクラスターツールの相互接続を可能にすることに留意する。重要な利点として、これは、シングルまたはマルチロボットハンドリングシステムの周りに配置されるクラスターツールが高密度群である必要性を減らす。
図66は、水搬送システムを用いた半導体製造設備の別の実施形態を示している。この実施形態において、線形処理システム6610は、線形システム6610内でウェハハンドリングのためにロボットからロボットへのハンドオフを用いるいくつかのロボット6131、6632、6633によって機能的に相互接続される複数の処理モジュール2002A〜2002Dで構成される。この線形システム6610は、ウェハを線形システム6610および搬送システムに接続された任意の他の処理セル6170へ移動させ、またそこから移動させる搬送カート6140とのインターフェースを含んでもよい。図示された実施形態において、各移送ロボットは2つの処理モジュール2002で使用可能であり、別の移送ロボットへのワークピースの移送をハンドリングするが、他の線形配置も使用できることが理解される。
作動中、ワークピースは移送ロボット6131の操作によって搬送カート6140から線形処理セルに移動してもよい。移送ロボット6131は、ワークピースを移送ロボット6632へ移送しても、または2つの処理モジュール2002Aまたは2002Bのうちの1つへ移送してもよい。移送ロボット6632は、移送ロボット6631から処理されるワークピースを受け取ってもよく、移送ロボット6633に移送するか、2つの処理モジュール2002Cまたは2002Dのうちの1つに移送する。移送ロボット6633は、移送ロボット6632から処理されるワークピースを受け取ってもよい。仕上がったワークピースは、移送ロボット6131によってトンネルの搬送カート6140に移されるまで、連続する隣接した移送ロボットに移送されてもよい。ある実施形態において、ロードロックは、線形システム6610の一方の端部に設けられてもよく、線形システムの両端で、搬送カートインターフェースからウェハを追加したりまたは取り出したりすることを可能にする。
図67は、搬送システムを含む半導体製造設備を示している。図67が示すように、多くの線形システム6610が、搬送カート6140およびトンネル6150を用いて相互接続されてもよい。重要な利点として、多くの異なる線形システム6610の単一の真空環境は、各線形システム6610の配置および物理的寸法にかかわらず、相互接続されてもよい。さらに、個々の処理セルのより長い処理のシーケンスまたはワークピースの処理量の増加が、本明細書に記載したカートおよびトンネルシステムを用いて容易に達成することができる。
ある態様において、トンネル6150に接続された処理セルの選択は、システム全体の処理量のバランスをとったりまたは制御したりするために、有利に行われてもよい。したがって、例えば、比較的速い処理時間を有する処理セルは、より時間のかかる処理時間を有する異なる処理を提供する、適切な数の並行処理セルと組み合わせてもよい。このようにして、速い処理時間を有する処理セルは、単一の真空環境内で複数の下流または上流処理セルを使用することによって、より十分に利用することができる。より一般的には、搬送カート6140およびトンネル6150またはいくつかのこのようなカートおよびトンネルを用いて、製造工程配置により大きな設計柔軟性が提供され、積載のバランスをとりおよび/またはさまざまな処理時間および処理量限界を有する処理セル間での稼働率を高めることができる。
図68は、搬送システムを有する半導体製造設備を示している。図のように、製造設備は、さまざまな異なるツールおよびモジュールの種類を含んでもよい。例えば、設備は、真空内で一時的にワークピースを保管するためのマルチウェハバッファを提供する保管セル6820とともに複数のクラスター処理セル6410および複数の線形処理セル6610を含んでもよい。さらに図示するように、システムは、例えばトンネル6150の両端の2つのフロントエンドモジュールのように複数のフロントエンドモジュールを含んでもよい。以下の記述から明らかなように、他の形状が可能であり、T接続、V接続、X接続、または任意の他の種類の相互接続を含んでもよく、それらの任意または全てがフロントエンドモジュールで終了しても、もしくは1つまたは2つ以上の付加的なトンネル6150に接続してもよい。このように、相互接続された処理モジュールの大きく複雑な配置は、より容易に実施されてもよい。処理設備を異なる処理要件に適合させるために、個々の処理セルがそのようなシステムから追加されたり除去されたりしてもよいことがさらに理解される。
図69は、搬送システムを有する半導体製造設備を示している。図69の実施形態において、隔離バルブ6180が真空トンネル6150の真っすぐな長さの内部に設けられる。隔離バルブ6180は、トンネル6150の部分の隔離を可能にし、より詳細には、異なる真空環境が処理セルの異なるグループに対して適切である処理を可能にする。この実施形態において、隔離バルブ6180が閉じている間、トンネル6150の各半分が個別の搬送カートを備えるように、第2の搬送カート6940が備えられる。特定の処理において、隔離バルブは開いたままで、両方のカートがトンネル6150の半分の両方で使用可能であってもよいことが理解される。より一般的には、これはさまざまな異なる処理ツールを用いて複雑な処理に対応するための搬送システムの柔軟性を示している。図69に示すように、システムはまた、複数のワークピースの保管場所を提供するためにワークピース保管エレベーター6920を備えてもよい。
図70を参照すると、クラスターおよび線形処理グループは、複雑な処理グループを設けるために、複数のトンネル搬送カートシステムと組み合わされてもよい。図70の実施形態において、2つのクラスター処理セル、処理グループの第1の端部にある第1のクラスター処理セル7010および処理グループの第2の端部にある第2のクラスター処理セル7011のそれぞれは、処理セル間でワークピースを搬送するためにトンネル搬送カート6140、6140Aと相互接続する。図示するように、線形処理セル7050は、各端部にアクセスポートを備えてもよい。
図70の実施形態において、例となるワークピースの流れは、第1のクラスター処理セル7010においてインプットインターフェースモジュール6110からワークピースを受け取ること、必要に応じてクラスターセル7010においてワークピースを処理することを含んでもよい。次に第1のトンネル搬送カート6140がワークピースを線形処理グループ7050に搬送してもよく、ここでワークピースはワークピースハンドリング真空モジュール6130によって受容され、必要に応じて、1つまたは2つ以上の処理モジュール2002において処理される。線形処理グループ7050内の全ての処理がワークピースに関して完了するまで、線形処理グループ7050内でワークピースは隣接移送ロボット間で移送されてもよく、完了時に、ワークピースは、第2のクラスター処理セル7011に搬送するために第2のトンネル搬送カート6140Aに移送される。必要に応じて、さらなるワークピースの処理が第2のクラスター処理セル7011において行われてもよく、そして自動または手動の取り出しのために出口インターフェースモジュール7020に受け取られてもよい。
システムは複数のウェハを一度にハンドリングしてもよいことが理解される。いくつかの実施形態において、ウェハはある入口(例えば、第1のフロントエンドモジュール7020)からある出口(例えば、第2のフロントエンドモジュール6110)へ一様に送られてもよい。しかしながら、図示された配置は、ウェハを同時に反対方向に移動させることや、あるいはフロントエンドモジュールの1つを通ってウェハを出し入れすることや、もしくはこれらの組み合わせに容易に対応することができる。上述したように、これは、特定の処理ツールの稼働率を著しく向上させる製造設備の設置を可能にし、単一の製造システム内で多くの異なる処理の実施を可能にする。
図71は、2つの端部を有するL字型のトンネル7110を示している。図72は、3つの端部を有するT字型のトンネル7210を示している。図73は、2つの端部を有するV字型のトンネル7130を示している。トンネルは、設備内のフロアスペースから装置の個々の部品の形状およびサイズまでのさまざまな設計要素に対応するために、これらの形状の任意のものを用いても、他の形状およびこれらの組み合わせを用いてもよい。3つの図面が示すように、さまざまな異なる種類の処理セルは、必要に応じて特定の工程に対してトンネルに接続されてもよい。
図74を参照すると、搬送カート6140は異なる処理時間を有するシステムを相互接続してもよい。例えば、搬送カート6140は、プレクリーン工程6130と化学蒸着(「CVD」)などの比較的長い処理時間のシステム7410および物理蒸着(「PVD」)などの比較的短い処理時間のシステム7420とを接続してもよい。
実質的に異なる所要時間の処理ステップを含む構成に関して、より時間のかかる処理7410は、組み合わされた処理システム7400の処理量のバランスをとるために、(クラスターまたは線形グループとして設置されてもよい)比較的多くの関連ツールによってサポートされてもよい。したがって、本明細書で記載する搬送システムを用いて、複雑な半導体製造工程における概念的妨げは、より時間のかかる処理の前後で単に処理能力を増やしたりすることによって対処でき、それによって比較的時間のかかる処理を有するツールの稼働率を向上させる。限定ではないが例として、1(プレクリーン):2(PVD):10(CVD)の所要時間比を有する処理は、カート6140およびトンネル6150によってサポートされる単一の真空環境で共に作動する2つのプレクリーンツール、20のCVD処理ツール、および4つのPVD処理ツールを有する設備によってサポートすることができる。この比率を維持しながら、それぞれの種類のツールの合計数は、製造設備内のフロントエンドモジュールまたは他の個々のシステムの処理能力などのさらなる処理制約に応じて拡大されたり縮小されたりしてもよい。
図75を参照すると、図74の構成は、別の方法として、1つのトンネル6150の中に複数のカート6140を備えてもよく、ここで各カートはワークピースをトンネル6150の一部において搬送する。同サイドの処理セルで隣接カートが衝突しないようにカートの調整が行われてもよい。
代替的な実施形態は、端部の処理セルに到達した搬送カートがループにおいてインプットインターフェースモジュールに入り、搬送用の新たなワークピースを受け取れるようにループとして構成されたトンネルを含んでもよい。ループは水平ループか垂直ループか、あるいはこれらの組み合わせのいずれかで構成されてもよい。
図76を参照すると、複数のトンネル搬送カートがワークピースハンドリング真空モジュールによって相互接続されてもよい。図76の実施形態において、移送ロボット6pOは、2つの個々のトンネル搬送カート6140と6140Aとの間のインターフェースとして使用可能であってもよく、さらに真空環境にワークピースを移送し、また真空環境からワークピースを移送する目的で、フロントエンドモジュール6110に対してインターフェースとして使用可能であってもよい。図76の実施形態は、処理セルの使用に関して実質的な柔軟性を含んでもよい。各インターフェースモジュールは、トンネル搬送カートの両方にアクセス可能であってもよく、各トンネルに関連した処理セルが同じ場合、処理能力を向上させるのに役立つ。あるいは、図76の実施形態は、処理の冗長化(redundancy)、異なる処理に対して共通のインターフェースモジュールを可能にすることができ、あるいは個々のトンネル搬送カートシステムを組み合わせて1つの処理グループにすることによって付加的な処理ステップを支持することができる。
図77は、搬送システムが完全なループ7710を形成する、システム6100を示している。この実施形態において、搬送カート6140は、ワークピースを処理内の適切な場所で加えたり、または取り出したりしながら、ループの周りを1つの方向に連続的に移動することができる。さらに、1つまたは2つ以上の場所が、ワークピースを真空環境へ移送したり、真空環境から移送させたりするために、装置フロントエンドモジュールによって使用可能であってもよい。重要な利点として、この配置は、システムに接続された任意の2つの処理セル間で直接の移送を可能にする。任意の数の搬送カート6140がトンネルを共用してもよく、複数の搬送カート6140を有することは、一度で複数のセル間移送を可能にすることによって、処理の選択肢を増やすことが理解される。
図78は、搬送システムを含む半導体処理システムを示している。システム7800は、さまざまなカートおよび処理モジュール構成を含む混合システムである。特に、図78のシステム7800は、4つのフロントエンドモジュール、1つの保管モジュール、4つの独立したカート搬送システム、および6つの個々の線形処理モジュールを備える。例として、線形処理モジュール6110の1つは2つのフロントエンドモジュール(各端部に1つ)を備え、隣接処理システムと相互接続するために2つのトンネルと交差することに留意する。より一般的には、また概して上述したように、ツール、クラスター、および関連ハードウェアの任意の配置は、本明細書で記載するように、1つまたは2つ以上のトンネルおよびカートを用いて共用することができる。図78の実施形態は、検査、化学機械研磨、または電気めっきなどの大気処理を受けるために、(フロントエンドモジュールとして図示した)いくつかの場所でワークピースを真空環境から取り出すことができてもよい。またワークピースは、必要に応じて真空環境に戻してもよい。幅広いバリエーションの可能性がこの種類のシステムから生じる。
図78の構成において、移送ロボット6130は、ワークピースを搬送カート6140から処理セル6170またはインターフェースモジュール6110へ移送するのと、個々の搬送真空トンネル6150のカート6140間でワークピースを移送するのに用いることができる。
この構成は、ワークピースを真空環境から取り出す必要なく、搬送真空トンネルのうちの1つまたは2つ以上と関連した工程のうちの1つまたは2つ以上でワークピースを処理することができる。移送ロボットによって搬送真空トンネルをつなげることは、搬送真空トンネルの1つまたは2つ以上の隔離を可能にし、したがって異なる真空環境の隣接使用を可能にし、各搬送真空トンネルに関連した工程の独立した稼働を可能にする。
図79は、処理モジュール間に位置された真空チューブを含む実施形態を示している。より一般的には、これらの真空チューブ7910は、物理的空間に真空環境を広げるために、任意の隣接する真空ハードウェア間に配置されてもよい。真空チューブ7910は任意の適切な材料で構成されてもよく、例えば内部の可視性が望まれる場合、ガラス等の材料としてもよい。これらの真空チューブ7910は、これまでの段落および以下で記載するように、付加的な機能を提供することを意図してもよく、真空チューブが他のシステム構成要素に物理的に接続する場合、真空シールを形成することが好ましいことを除いては、ごく少数の設計制約を有してもよく、またウェハ、ワークピース、および任意のロボットアーム等のハンドリングに関連したこれらの通過のために十分な内部空間を備えてもよい。一般に、真空チューブ7910は、ハードウェアの物理的寸法のために直接達成することができない機能的連結を可能にするために、処理モジュール(または、図示するように、1つのロボットを使用可能なモジュールペア)などの隣接ハードウェア間の物理的バッファとして機能する。
図80は、搬送システムを含む半導体処理システムを示している。図80の実施形態は、1つの真空トンネルの中に2つの並んだ独立した搬送カートを含む。カート6140および6140Aは、トンネル6150内の非干渉経路8010および8011上で独立して動作してもよい。ロボット6130は、第1のカート6140と第2のカート6140Aとインターフェース6110との間でワークピースを移送してもよい。ある実施形態において、1つまたは2つ以上の処理セルで使用可能なロボット8030は、ワークピースがカート6140A、6140Bのいずれかから取り出されるか、カート6140A、6140Bのいずれかにセットすることができるように、トンネル6150の全域に届くように構成されてもよい。いくつかのワークピースハンドリング真空モジュールは、カート6140、6140Aとそれぞれの処理セルとの間でワークピースを移動させてもよい。図80の実施形態は、2つの調整された搬送カートまたは1つの経路を用いる実施形態よりも迅速に、処理セル間でワークピースの移送を可能にする。別の態様において、経路8010、8011は、材料のハンドリングにおける柔軟性を高めるために、経路8010と8011との間で各カート6140、6140Aが交換できるように交換部またはクロスオーバーを含んでもよい。1つまたは2つ以上の隔離バルブが、トンネル6150のさまざまなセグメントを隔離するために設けられてもよい。
図81は、真空トンネル内の2つの垂直方向に対向する独立した搬送カートの側面図を示している。図81の実施形態において、トンネル6150は、レールウェイ上または浮上システムを走行する2つの搬送カート6140を取り囲む。ロボット6130は、インターフェース7410(ロードロックまたは装置フロントエンドモジュールなど)と搬送カート8110との間でワークピースを載せたり降ろしたりするために、隔離バルブ6180を通ってワークピースにアクセスしてもよい。同様にして、移送ロボット(図示せず)は、カート8110と処理セル8120との間でワークピースを移送してもよい。移送ロボット6130は、ロボットリフト8140または他のZ軸コントローラを使用して垂直方向に調節可能であってもよく、異なるカートレベル間でワークピースを移送するのを促す。
図82は、ワークピースハンドリングのために移送ロボットも備える処理システムにおいてロボットアームを有する搬送カートの実施形態を示している。移送ロボット6130および6130Aは、カートロボット8210と連動し、ワークピースのハンドリングを促す。1つまたは2つ以上の真空拡張部7910が、隣接処理セルと物理的に適合するために設けられてもよい。
図83は、2つの独立した搬送トンネル6150を備えた半導体製造システムを示している。各トンネルは搬送カート6140を備えてもよい。図83の実施形態において、垂直運動能力を有する移送ロボット8310は、下部トンネルにおける搬送カート、上部トンネルにおける搬送カート、およびロードロック1410の間でワークピースを移送してもよい。同様にして、移送ロボット(図示せず)は、上部カート6140、下部カート6140、および処理セル8120の間でワークピースを移送してもよい。
図84は、図83に示す実施形態の代替的な実施形態であり、ワークピースエレベーター8410は、ワークピースを下部トンネルから上部トンネルに移動させるのに用いられる。さらに、移送ロボット6130は、ワークピースエレベーター8410と搬送カート6140との間でワークピースを移送するために、各トンネル6150に付随してもよい。さらに移送カート6130は、ワークピースエレベーター8410とロードロック1410との間でワークピースの移送を促すために、ワークピースエレベーター8410とロードロック1410との間で必要とされてもよい。
図85は、フロッグレッグ型ロボットを用いたトンネルシステムの実施形態を示している。フロッグレッグ型ロボットは、主要なワークピースハンドリング移送ロボットであってもよい。移送ロボット8510は、ワークピースをインターフェース6110からカート6140へ移送するのに用いられることが可能であり、完全に格納させられたフロッグレッグ型ロボットとして示されている。また移送ロボット8520も格納させることができ、トンネル6150の右側にクラスターセル構成で示されている。システム内のさらなるロボットは、フロッグレッグロボットであってもよく、トンネル6150の左側に線形処理配置で大まかに示されている。線形処理グループにおいて、移送ロボット8530は処理チャンバに伸長することができ、移送ロボット8540は移送ロボット8550の方へも伸長することができ、関連する処理チャンバの両方の方へ部分的に同時に伸長されたデュアルフロッグレッグロボットとして示されている。
図86は、「バケツリレー」型線形グループ8610、ウェハ搬送シャトルシステム8620、および従来のクラスターツールシステム8630の集積スキームの実施形態を示している。より一般的には、従来のクラスターツールシステム8630、線形「バケツリレー」型システム8610、およびシャトルシステム8620の任意の組み合わせが可能である。ある応用において、クラスターツールの短いプロセスは、バケツリレーにおいて、より長いプロセスと組み合わせることができ、システム内の各々のツールの稼働率を向上させる。
半導体ハンドリングおよび処理ハードウェアの多くの配置を記載してきたが、多くの他のバリエーションが、フロアスペース使用を低減したり、関連する処理グループ間の距離を短縮したりするために可能であることが理解される。例えば、真空搬送システムは、歩行者(foot traffic)または追加の装置のためのフロアスペースを取り除くことによってなど製造設備の配置を向上させるために、フロアの下部に、壁面の後ろに、オーバーヘッド式レール上に、または他の場所に、役立つように設置されてもよい。一般に、これらの実施形態は、ウェハまたは他のワークピースを処理モジュール間で積載するまたは移送する際に、ロボットアームや他のハンドリング装置と組み合わせて垂直リフトを使用してもよい。図87は、垂直リフトを備えた、そのようなシステムを示している。
図87は、ウェハ製造における使用のための典型的なロード/アンロードシステムを示している。オーバーヘッド式トラック8702は、ワークピースを有するカート8704を、ロード点8708および装置フロントエンドモジュール(EFEM)8710を備え得るウェハ正面開口式カセット一体型搬送保管箱(FOUP)に引き渡すことができる。例えば図87に示すワークピースハンドリング真空モジュール6130を使用して、ウェハをFOUP8708から1つまたは2つ以上の処理モジュールへ移送するために、ロードロック14010が用いられてもよい。ペデスタル10110によって支持された複数のワークピースハンドリング真空モジュールは、介在真空モジュール4010とともに、半導体真空処理システムとして構成されてもよい。ワークピースは、エレベーターまたは垂直伸長部8720を用いて、カート8704がFOUP8708まで下げるカセット8718の中で移送されてもよい。
図88は、真空トンネル6150の中の搬送カート6140が工場のフロアの下に設置されている改良されたウェハハンドリング設備を示している。真空リフト8810はウェハまたは1つまたは2つ以上のウェハを運ぶカセットを処理レベルまで移動させるのに使用することができる。1つのトンネル6150の中の1つのカート6140が図示されているが、任意の数のトンネル6150および/またはカート6140が、ウェハを底部アクセスロードロック14010に移送するリフター8810で交差してもよいことが理解される。
図89は、オーバーヘッド式カート6140および真空トンネルシステム6150の実施形態を示している。このシステムは、上述の任意の配置で使用されてもよい。図89で示す構成は、1つまたは2つ以上のウェハをトンネル6150からロードロック14010へ運ぶカート6140を移送しやすくする。しかしながら、一般に、リフター8810は、ウェハおよび/またはカートを(処理レベルにある)上部アクセスロードロックからオーバーヘッド式真空トンネル6150へ移動させるのに使用することができ、ここでカート6140は、ワークピースをレールシステムなどの搬送システムに沿って搬送することができる。ある実施形態において、リフターの駆動要素(図示せず)は、処理レベルの下(例えばフロア上またはフロアの下)にまたは処理レベルの上に設置されてもよい。処理レベルの下にリフターの機械的特徴を配置することは、リフターによって運ばれているウェハ上に落ちる微粒子の数および/またはサイズを有利に低減し得る。
図90は、下方にある処理レベルトンネル6150によって相互接続される線形処理グループなどの2つの処理グループを備える半導体真空処理システムを示している。上述の任意の真空トンネルシステムを備え得るトンネル6150は、例えば工場のフロアの下に配置されてもよい。トンネル6150は、大きく離れた処理モジュールのグループを接続することができ、例えば保管領域、スイッチ、仕分けシステムなどを設けることによって相互接続されたシステムのハンドリング能力を向上させることができる。処理グループは処理チャンバ、ロードロック、ワークピースハンドリング真空モジュール6130、真空モジュール4010:多機能モジュール、バイパス熱調整モジュール、リソグラフィ、計測、中間入口ロードロック、真空システムのリーチを広げる真空トンネル拡張部、およびさまざまな半導体処理関連機能を備えてもよい。処理グループはまた、ペデスタルによって支持されるモジュールを備えてもよい。トンネル6150およびカート6140を備える1つまたは2つ以上の処理グループは、ソフトウェアプログラムを実行するコンピュータ設備などのコントローラによって制御されてもよい。
図91は、オーバーヘッド式トンネルネットワークによって相互接続される2つの処理グループを示している。上述の任意の真空トンネルシステムを備えてもよいトンネルネットワーク9102は、例えば工場のフロアの上方の第2のフロア上に配置されてもよいし、あるいは工場の天井から吊り下げられてもよい。トンネルネットワーク9102は、大きく離れた処理モジュールのグループを接続することができ、例えば保管領域、スイッチ、仕分けシステムなどを設けることによって相互接続されたシステムのハンドリング能力を向上させることができる。
図92は、計測またはリソグラフィハードウェアを共用するシステムを示している。図示するように、本明細書に記載のトンネルネットワークおよび他のモジュール相互接続システムは、例えば共用される計測またはリソグラフィリソース9205組み込んでもよく、ここで真空ベースのカートシステムが流れの中から試料ウェハを取り出したり戻したりする。一般に、ウェハは、一方の装置フロントエンドモジュール9203または他の大気インターフェース入口ステーションから他方の装置フロントエンドモジュール9204へ「流れる」。工程間検査が特定の処理パラメーターのチェックに望ましい場合、このような検査はモジュール間バッファ9207などの場所で行ってもよい。本システムにおいて、このような検査を行うことができるいくつかのこのような中間の場所がある。しかしながら、いくつかの測定システムは、物理的にかなり大きい場合があり、その大きさのためにモジュール間バッファ9207などのモジュール相互接続に適応するのが難しい場合がある。
このような状況において、真空下で1つまたは2つ以上のウェハを流れから取り出して計測またはリソグラフィシステム9205に移すために、本明細書で概して開示されたように、真空カートおよびトンネルシステムを設けることは望ましい場合がある。カート9208は、ウェハを受け取るために処理モジュール間の場所9201で流れの中に配置されてもよい。特定の場所が図92で場所9201のように特定されるが、システム9200内で任意の数の場所が、所望の工程の流れ、能力、物理的な空間の制約などに応じて同様に使用されてもよいことが理解される。ソフトウェアまたは設定ロジックが、9201において流れからどのウェハを取り出すべきか判定してもよい。他の実施形態において、カートはシステム9200内のモジュール9202と結合してもよく、ここでウェハハンドリングロボットは、ウェハを計測またはリソグラフィシステム9205に搬送するためにウェハをカートに載せてもよい。
図92が示すように、計測またはリソグラフィシステム9205は、複数のワークピース処理システムによって共用されてもよい。ある実施例において、第1のロードシステム9203からのウェハは、第2のシステム9206からのウェハによってもアクセスされ得る計測システム9205において評価されてもよい。2つの線形システムが図示されているが、処理モジュールの他の配置が、図92を参照して記述した一般原則に従って、計測またはリソグラフィスステムなどの共用リソースを同様に利用してもよいことが理解される。例えば、さまざまなレール構成、例えば曲線やスイッチなどを有するレール構成を用いて、システムは、共通する場所の、任意の数の処理システムについての計測またはリソグラフィシステムおよび/または他の共用リソースを集中させるように構成されてもよい。そのようなシステムは、複数の場所および複数のシステムからのウェハに計測またはリソグラフィを適用してもよい。異なる処理時間を有する処理に関して上述したように、1つの計測またはリソグラフィシステムが、多くの処理セルまたはシステム間で、半導体製造システムにおける計測またはリソグラフィリソースの高い稼働率を達成するために共用されてもよい。
上述したように、本明細書に記載のカートおよびワークピースハンドリング真空モジュールシステムは、異なる処理ハードウェアの配置および相互接続の柔軟性のレベルを上げるために、ワークピースハンドリング真空モジュール6130に沿って、または隣接して配置され得る単純な真空チューブ拡張部と組み合わされてもよい。図93を参照すると、半導体ワークピース処理システムは、カート、トンネル、EFEM、複数のワークピースハンドリング真空モジュール、さまざまな処理チャンバ、および真空拡張トンネル9304を備えてもよい。
さらに、1つまたは2つ以上のリンクモジュール9302、9308が、上記の任意のハードウェアを相互接続するために設けられてもよい。(真空拡張部と同様に)ハードウェアの間隔を調整するのに加えて、モジュール9302、9308は、半導体処理システムに関連してさまざまな補足的機能を提供してもよい。例えば、リンクモジュール9308は、ウェハのプロセスの流れにおいて保管を行い、バッファとして作用してもよい。リンクモジュール9302は、ウェハの計測、測量、または試験を行ってもよい。リンクモジュール9308はワークピースへのオペレータアクセスを提供してもよく、その場合、リンクモジュール9308は隔離バルブおよび真空ポンプを備えてもよい。リンクモジュール9302、9308は、プロセス間でウェハを冷却または加熱することによってなどの熱管理を行ってもよい。リンクモジュールは、下記のバッファアライナ装置9700によって提供されるような1つのおよび/または複数のウェハのためのバッファおよび/または位置合わせ能力を提供してもよい。バッファアライナに関して、リンクモジュールにおいてこれを使用することは、単なる例にすぎないこと、およびバッファ位置合わせモジュールはまた、あるいは代わりに、装置フロントエンドモジュールなどの、ある処理の他の点で役立つように使用されてもよいことが理解される。例えば、処理チャンバが、2、3、4、または5、またはそれ以上のウェハのミニバッチにおけるウェハを処理する場合、位置合わせ時間が大きい処理において妨げとならないようにするためにバッファシステムをアライナで利用することは効率的であり得る。適切な数のウェハがEFEMのバッファで準備されると、大気ロボットはこれらの(位置合わせされた)ウェハをロードロックへバッチ移送するのに作用することができる。
リンクモジュールは、バイパス能力を提供してもよく、2つまたはそれ以上のウェハが処理モジュール間の経路を通過することを可能にする。より一般的には、リンクモジュール9302、9308は、上記で特定された任意のものを含む処理ツール間の真空環境で役立つように実行できる任意の機能およびそれらの組み合わせを提供してもよい。
重要な利点として、そのような多機能リンクモジュールは付加的な処理モジュールの必要性を低減することができ、さまざまなやり方で待ち時間を減らすことができる。例えば、衝突する経路はバイパスモジュール内では解消することができるので、バイパス能力は、1つのウェハをもう1つのウェハを加える前にクラスターまたは線形処理モジュールから取り出す必要性を軽減する。別の例として、リンクモジュール内の熱管理は、ウェハが特定のツールに到達した時の加熱または冷却を待つ必要性を低減することができる。他の利点は当業者には明らかである。
より一般的には、本明細書に記載のシステムおよび方法を用いて、搬送中および/または処理ツール間の待ち時間の間、ワークピースを処理することができる。これは上述のようにリンクモジュール9302、9308における処理だけでなく搬送カート6150上での処理、トンネル6150での処理、バッファでの処理、ロードロックでの処理、または処理ツール間でウェハハンドリングの間の任意の他の時点での処理を含んでもよい。
図94は、熱バイパス調整真空モジュールを示している。半導体製造プロセスの処理工程間でワークピースを加熱したり冷却したりすることは、望ましいことがある。また、同時にワークピースを加熱されたまたは冷却されたワークピースのそばを通れるようにすることが望ましい場合がある。ワークピースの冷却または加熱には、ほぼ20〜60秒またはそれ以上かかる場合があるので、冷却または加熱がワークピースの流れを妨害しないように他のワークピースの移送をしやすくするのはまた有利である。別の作業の温度調整を促進しながらロボット間でワークピースを交換することができる真空モジュールはまた、ワークピースの一時的な保管を可能にしてもよい。
そのような真空モジュールは、ワークピースが次の処理工程に移送される前に、移行段階でワークピースを取り込み、熱的に調整するための環境的に密閉可能なエンクロージャを備えてもよく、加熱または冷却プロセスの間に他のワークピースの調整された通過を可能にする。
改良された処理に関して処理チャンバの特定の必要性を満たすためにワークピースが加熱されたり冷却されたりできるように、真空半導体処理システムにおいて処理チャンバに極めて接近したそのような真空モジュールを備えることは有利であり得る。さらに、そのような真空モジュールを備えたり使用したりすることは、第2のワークピースが第1のワークピースが処理される温度にまで引き上げられることによって、システムにおける処理チャンバの有効利用を促すことができる。
さらに、ワークピースは、処理チャンバから取り出されるとすぐに、さらなる移送ロボットによってハンドリングされる前に、周囲温度に戻されてもよく、それによっていかなる待ち時間もなくし、別のワークピースが処理チャンバに移送される前に、そのワークピースは冷める。
また、柔軟性、利用、処理効率などをさらに促進するために、半導体処理システムにおいてカート/トンネルシステムと組み合わせてバイパス熱調整装置を備えることは有益であり得る。本明細書で開示するものは、ワークピースハンドリング真空モジュール、カート6140、トンネル6150、ならびに他の処理および機能モジュールと組み合わせたバイパス熱調整装置の有益な構成の例である。
図94を参照すると、ワークピースハンドリング真空モジュール6130のエンドエフェクタは、ワークピースを熱調整するために、ワークピースを熱調整バッファモジュール9402に移送している。
図94は、可動エンクロージャの上部内面に取り付けられた支持クリップ9404上にワークピースを置く、ワークピースハンドリング真空モジュール6103をさらに示し、ワークピースハンドリング真空モジュール6103は、エンクロージャ内の中心に置かれたワークピースのエッジを支持するためにフィンガなどを備えてもよい。可動エンクロージャは、エンクロージャ底部9410およびエンクロージャ上部9412の2つの部分からなる。エンクロージャ上部9412が底部9410と接触するために下げられたとき、支持クリップ9404によって支持されたワークピースは、エンクロージャ9408の外側の環境から完全に隔離される。バイパス熱調整装置9402はまた、可動エンクロージャが閉じているときに、第2のワークピースがモジュールを通って移送するのを促進する。
トンネルおよびカートシステムのさまざまな実施形態を真空拡張部およびリンクモジュールなどの他のリンクハードウェアとともに上述してきた。一般に、これらのシステムは、異なるベンダーで、異なる処理時間と他の特徴を有する半導体処理ツールのモジュール式使用および再利用をサポートする。ある態様において、そのようなシステムは、異なるトンネル形状(曲線状、L、U、S、および/またはT字型トンネル)や2つ、3つ、4つ、またはそれ以上の装置フロントエンドモジュールを支持する形状などのバリエーションによってさらに改良されてもよい。別の態様において、半導体製造システムの設計および使用においてさらなる柔軟性を与えるために、さらなるハードウェアが使用されてもよい。以下の記述は、本明細書に記載のシステムとともに使用するのに適したいくつかの追加の構成要素を特定する。
図95を参照すると、半導体ワークピースハンドリングロボット6130は、真空ポートを通って、設定可能な真空モジュール9502と接続してもよい。設定可能な真空モジュール9502は、処理中に使用するガス、水、空気、および電気などのユーティリティ用のポート9504を備えてもよい。
設定可能な真空モジュール9502は、ハンドリングロボット6130がワークピースを取り付けられた処理モジュールに移送する前に、ワークピースを予熱するためのワークピース加熱器を備える取り外し可能な底部プレートを備えてもよい。
設定可能な真空モジュール9502は、複数のワークピースの保管場所を備えてもよい。例として、ワークピースはハンドリングロボット6130によって、設定可能な真空モジュール9502内の回転台上に配置されてもよい。ワークピースの最大数は、各ワークピースのサイズおよび回転台のサイズによって決められてもよい。あるいは、設定可能な真空モジュール9502は、半導体ワークピースを支持するように構成された面を備えてもよく、その面は、重ならない配置で、複数のワークピースを面上に配置することができるほど十分に大きい。設定可能な真空モジュール9502内の保管場所は、複数のワークピース支持棚を有するワークピースエレベーターによって可能にされてもよく、エレベーターは、ハンドリングロボット6130によってアクセスされる特定の棚の選択に対して高さを調節するように制御することができる。
設定可能な真空モジュール9502は、ワークピースについての測定値を収集するために、計測装置を備えてもよい。例として、光学センサなどの計測装置は、設定可能な真空モジュール9502内でワークピースの存在を検出するのに使用することができ、マシンビジョンシステムによってワークピースの自動検査を開始することができる。そのような測定値は、関連処理モジュールにおいてワークピースに対して行われる製造処理の制御と質を維持し、向上させるのに役立つ。
設定可能な真空モジュール9502は、超高真空稼働を支持できるインターフェースポート9504をさらに備えてもよい。超高真空は、設定可能な真空モジュール9502によって実現されてもよく、設定可能な真空モジュール9502は、超高真空環境を支持することで知られるステンレス鋼などの材料で構成される。そのような環境は、環境内で微量のガスを取り除いたり、環境内の材料のガス放出によって引き起こされるガスの移入を低減したりすることに役立つことができる。
設定可能な真空モジュール9502は、真空処理環境にロードロック機能を提供してもよい。そのような機能は、設定可能な真空モジュール9502にワークピースを密閉し、密閉されたワークピースの周りに真空環境を生成することによって、ユーザーによって供給されたワークピースを真空環境に導入できるようにすることによって、ユーザーの周囲環境と真空処理環境との間のワークピース交換に役立ち得る。
設定可能な真空モジュール9502は、高速熱アニールまたはその場でのウェハ洗浄などのワークピースの製造処理をサポートしてもよい。高速熱アニールは、ドーパントを活性化したり、蒸着膜を高密度化したりすることなどの半導体ワークピースの特定の変化を達成するために、半導体真空処理環境において有益であり得る。その場でのウェハ洗浄は、チャンバ内での処理中に、ウェハ表面またはエッジから堆積した残留物または微粒子を取り除くために必要とされ得る。
設定可能な真空モジュール9502はまた、上記の任意の組み合わせおよび半導体製造環境において処理ツール間で使用するのに適した任意の他の能力を備えてもよい。
一般に、設定可能な真空モジュール9502は、所望の機能に関連したハードウェアの追加または除去によって、製造場所で構成されてもよいことが予想される。したがって、例えば、温度センサおよび加熱要素は取り外されてもよく、ウェハ保管のために複数の棚に取り替えられてもよい。高真空に適した材料から構成することなどの他の態様は、モジュール9502の製造の間、実施されてもよい。一般に、本明細書で記載のように、設定可能な真空モジュール9502は、モジュールハードウェアを取り外し可能なこと/取り替え可能なこと、または複数の能力(例えば、加熱、冷却、位置合わせ、温度感知、洗浄、計測、アニーリング、スキャニング、識別、移動、保管など)を提供するハードウェアの組み合わせを用いた特定の処理への適応に特徴づけられる。
上述の機能はまた、ウェハの搬送中にさまざまな処理機能を提供するために、トンネル内のリンクモジュールか、あるいはカートまたはトンネルに関連したリンクモジュールのいずれかとして、カートおよびトンネルシステム内で直接実行されてもよい。本明細書に記載のように、ワークピースハンドリング真空モジュールとカート/トンネルを組み合わせることは、大きく離れたローカル処理グループの相互接続を促進することによって、および極めて近接した大きい処理システムの相互接続を促進することによって、半導体処理システムにより大きな柔軟性を提供する。多機能モジュール9502とカート/トンネルシステムとを組み合わせることは、より迅速なウェハ処理を達成するために、搬送時間の生産的な利用を促進することができる。
図96を参照すると、真空拡張トンネル9602がより詳細に記載されている。本明細書で真空チューブまたは真空拡張部とも称される真空拡張トンネル9602は、真空モジュール間で連続的な真空接続を提供するために、半導体処理システムにおいてさまざまな位置で使用することができる。真空拡張トンネル9602は、1つまたは2つ以上の側面にインターフェースポートを有する略矩形形状を有してもよい。各インターフェースポートは、さまざまな真空モジュールへの接続のために真空密閉可能な業界標準インターフェースを提供してもよい。実施形態において、真空拡張トンネル9602と接続された真空モジュールとの間の真空隔離を確実にする手段を提供するために、隔離バルブ4006は各インターフェースポートに接続されてもよい。
図96に示すように、真空拡張トンネル9602は、さまざまなサイズの処理チャンバの使用を円滑にしながら、半導体処理システムにおいて線形拡張部を提供する。図96における例として、真空拡張トンネル9602を用いないで接続された場合、処理チャンバ2002Rよりも実質的に大きい処理チャンバ2002Lは装置フロントエンドモジュール34002と干渉する。このように真空拡張トンネル9602を使用することのさらなる利点は、大きい処理チャンバを、装置の隣接部間でウェハ搬送を行う関連するロボット真空チャンバ4012のサイズを大きくすることなく使用できることである。
真空トンネル拡張部9602はまた、真空モジュール間でサービスアクセスを生み出すためにロードロック14010とともに使用することができる。図96で示される2つのこのような例は、処理チャンバ上部ペアと下部ペアとの間のサービスアクセスおよび処理チャンバ上部ペアと装置フロントエンドモジュール34002との間のサービスアクセスを含む。サービスアクセスは、ユーザーが処理装置の近くに接近し、場合によってはワークピースハンドリング装置に直接アクセスすることを必要とする。真空トンネル拡張部9602なしでは、ユーザーは、保守のために容易に十分近くに接近することはできない。
真空トンネル拡張部9602は、システム内のさまざまな他の場所で利用されてもよい。例えば、真空トンネル拡張部9602は、線形処理システム、クラスターツール、共用計測システムまたは装置フロントエンドモジュールとカートおよびトンネル搬送システムと接続するために利用されてもよい。真空トンネル拡張部9602は、半導体処理システムのさまざまな配置形状を形成するのを容易にすることができ、さまざまな拡張長さで設けられてもよい。
より一般的には、任意の上記のシステムは組み合わせて用いられてもよい。例えば、搬送カート6140と組み合わされたワークピースハンドリング真空モジュールによる搬送などのワークピース搬送を含む線形処理システムは、バイパス熱調整装置と関連付けられてもよい。ワークピースハンドリング真空モジュールは、ワークピースをバイパス熱調整装置へ/バイパス熱調整装置から移送することを促進してもよい。搬送カート6140と組み合わされたワークピースハンドリング真空モジュールによる搬送などのワークピース搬送を含む線形処理システムは、ウェハ中心検出方法またはシステムと関連付けられてもよい。ワークピースハンドリング真空モジュールは、ウェハ中心検出方法およびシステムをサポートするために、ワークピースハンドリング真空モジュールによってハンドリングされているワークピースのデータを収集するのを促進してもよい。ワークピースハンドリング真空モジュールは、ウェハ中心検出をサポートするために複数のワークピースセンサを備えてもよい。ウェハ中心検出はまた、ワークピースが搬送カート6140によって搬送されている間、行われてもよい。ある実施形態において、ウェハ中心検出を促進するように構成されたワークピースハンドリング真空モジュールは、搬送カート6140に取り付けられてもよく、それによってワークピースハンドリング真空モジュール内に保持されたウェハ/ワークピースは、搬送中にウェハ検出プロセスを受けてもよい。
搬送カート6140と組み合わされたワークピースハンドリング真空モジュールによる搬送などのワークピース搬送を含む線形処理システムは、処理チャンバとつながってもよい。ワークピースハンドリング真空モジュールは、ワークピースを処理チャンバへ/処理チャンバから移送することを促進してもよい。本明細書に記載のように、さまざまな種類、サイズ、機能、性能、種類などの処理チャンバが、半導体処理システムの処理の柔軟性を促進するために、1つまたは2つ以上の搬送カート6140と組み合わされてもよい。搬送カート6140と組み合わされたワークピースハンドリング真空モジュールによる搬送などのワークピース搬送を含む線形処理システムは、本明細書に記載のように、ロードロック10410と関連付けられてもよい。ある実施例において、ワークピースハンドリング真空モジュールは、ロードロックと搬送カート6140との間でワークピースを移送するのを促進してもよい。搬送カート6140と組み合わされたワークピースハンドリング真空モジュールによる搬送などのワークピース搬送を含む線形処理システムは、ワークピース保管およびハンドリングカセットと関連付けられてもよい。ワークピースハンドリング真空モジュールは、図68および69に示すように、カセットへ/カセットからワークピースを移送するのを促進してもよい。ワークピースハンドリング真空モジュールは、製造ウェハ、試験ウェハ、較正ウェハ、清浄ウェハ、機器を搭載したウェハ、ウェハセンタリング固定具などのワークピースを、ワークピース保管場所へ/ワークピース保管場所から移送してもよい。
搬送カート6140と組み合わされたワークピースハンドリング真空モジュールによる搬送などのワークピース搬送を含む線形処理システムは、装置フロントエンドモジュール6110と関連付けられてもよい。ワークピースハンドリング真空モジュールは、装置フロントエンドモジュール6110へ/装置フロントエンドモジュール6110からワークピースを移送するのを促進してもよい。ワークピースハンドリング真空モジュールは、2つの装置フロントエンドモジュール6110間で1つまたは2つ以上のワークピースを移送してもよく、1つのモジュールはインプットモジュールで、1つのモジュールはアウトプットモジュールで、あるいは、モジュールの1つは中央入口のインプット/アウトプットモジュールである。搬送カート6140は、図78に示すように、ワークピースハンドリング真空モジュールを通って装置フロントエンドモジュール6110と関連付けられてもよい。図78におけるワークピースハンドリング真空モジュールは、装置フロントエンドモジュー6110と処理チャンバ2002の1つまたは別のワークピースハンドリング真空モジュールまたは搬送カート6140との間でワークピースを移送してもよい。図78に見られるように、ワークピースハンドリング真空モジュールおよび装置フロントエンドモジュール6110と真空トンネル6150内の搬送カート6140を組み合わせることによって、適宜複雑なまたは高度に柔軟性のある処理システムが構成しやすくなる。
搬送カート6140と組み合わされたワークピースハンドリング真空モジュールによる搬送などのワークピース搬送を含む線形処理システムは、ワークピースエレベーターとつながってもよい。ワークピースハンドリング真空モジュールは、1つまたは2つ以上のワークピースを垂直方向に別々になったワークピースハンドリングおよび/または処理システム間で搬送するために、ワークピースエレベーターへ/ワークピースエレベーターからワークピースを移送するのを促進してもよい。垂直方向に別々になった真空処理システムは、垂直方向に別々になった処理レベルおよびワークピースリターンレベルを備えてもよい。ワークピースリターンレベルは、1つまたは2つ以上のワークピースを真空処理システムの異なる場所に搬送するために、真空トンネル内にワークピース搬送カートまたは車両を備えてもよい。図88〜91は、ワークピースハンドリング真空モジュール、搬送カート6140、およびリフター8810としても知られるワークピースエレベーターを備える線形処理システムの例示的な構成を示している。
搬送カート6140と組み合わされたワークピースハンドリング真空モジュールによる搬送などのワークピース搬送を含む線形処理システムは、図70および86に示すクラスターシステムとつながってもよい。ワークピースハンドリング真空モジュールは、搬送カート6140を含む線形処理システムとクラスター処理セルとの間でワークピースを移送するのを促進してもよい。ワークピースハンドリング真空モジュールは、ワークピースハンドリングロボット、ロードロック、バッファのようなクラスターシステムの一態様へ/クラスターシステムの一態様からワークピースを移送してもよい。ワークピースハンドリング真空モジュールは、クラスター処理システムのその態様へ/クラスター処理システムのその態様から真空拡張トンネル9602を通ってワークピースを移送してもよい。
ワークピースハンドリング真空モジュールは、モジュール式にクラスターシステムと接続されてもよく、それによってワークピースハンドリング真空モジュールはワークピースのハンドリングを行い、クラスター処理システムは半導体ワークピースの処理を行うことができる。ワークピースハンドリング真空モジュールは、多機能モジュール、受動型シングルワークピースバッファ、受動型マルチワークピースバッファ、熱バイパス調整装置、バッファアライナ9700などのバッファモジュールを介して、クラスターシステムと接続されてもよい。バッファモジュールは、ワークピースハンドリング真空モジュールとクラスターシステムとの間でワークピースを移送するための一時的保管設備を提供してもよい。クラスターシステムのロボットコントローラは、移送するワークピースハンドリング真空モジュールのために、バッファモジュール内のワークピースにアクセスし、あるいはワークピースをバッファモジュール内に配置してもよい。複数のクラスターシステムは、1つのワークピースハンドリング真空モジュールに接続されてもよく、それによってワークピースハンドリング真空モジュールは、あるクラスターシステムから別のクラスターシステムに移送するのを促進する。そのような構成は、オペレータによるワークピースの交換のために、ロードロック1401および/または装置フロントエンドモジュール6110を含んでもよい。さらにワークピースハンドリング真空モジュールは、ワークピースハンドリング真空モジュールによってハンドリングされているワークピースの中心を判定するための設備を備えていてもよく、それによってワークピースは、クラスターシステムの中心基準に対して正確に中心に置かれたクラスターシステムに移送することできる。
搬送カート6140と組み合わされたワークピースハンドリング真空モジュールによる搬送などのワークピース搬送を含む線形処理システムは、他のワークピースハンドリング真空モジュールと関連付けられてもよい。ワークピースハンドリング真空モジュールは、他のワークピースハンドリング真空モジュールへ/他のワークピースハンドリング真空モジュールからワークピースを搬送するのを促進してもよい。
搬送カート6140と組み合わされたワークピースハンドリング真空モジュールによる搬送などのワークピース搬送を含む線形処理システムは、バッファと関連付けられてもよい。ワークピースハンドリング真空モジュールは、バッファへ/バッファからワークピースを搬送するのを促進してもよい。バッファは、処理されるのを待機しているワークピースを保持するのに役立ってもよい。バッファはさらに、ロボットワークピースハンドラーに関連する妨げ、処理時間の違い、真空環境変化に関連した遅れなどを減らすのに役立ってもよい。
搬送カート6140と組み合わされたワークピースハンドリング真空モジュールによる搬送などのワークピース搬送を含む線形処理システムは、コントローラと関連付けられてもよい。コントローラは、ワークピースハンドリング真空モジュールがワークピースを半導体処理システムの第1のセクションから第2のセクションへの移送を促進するように導いてもよい。システムの第1のセクションから第2のセクションへの移送は、搬送カート6140を用いることによって達成されてもよい。セクションは、バッファ、バッファアライナ9700、別のワークピースハンドリング真空モジュール、クラスターシステム、ワークピース保管場所、ワークピースエレベーター、装置フロントエンドモジュール、ロードロック、処理チャンバ、真空トンネル拡張部、低微粒子ベントを備えるモジュール、ペデスタルを備えるモジュール、モジュール式ユーティリティ供給設備を備えるモジュール、バイパス熱調整装置、多機能モジュール、ロボット(例えばシングルアーム、デュアルアーム、デュアルエンドエフェクタ、フロッグレッグなど)、さまざまな形状の処理システムなどのうちから1つまたは2つ以上を含んでいてもよい。
図97〜100を参照すると、ワークピースはバッファモジュールで一時的に保管されてもよい。バッファモジュールは、例えば、ハンドリングと処理量を促進するために、2つの移送ロボットモジュール間に配置されてもよく、または同様の理由でトンネル6150とロボットとの間に配置されてもよい。バッファモジュールは、いろいろな側からおよび/または複数のロボットによってアクセス可能であってもよい。バッファモジュールは、複数の半導体ワークピースを保持する能力を有してもよい。実施形態において、バッファはまた、バッファの中に配置された半導体ワークピースの位置合わせをすることができてもよい。そのようなバッファは、バッファアライナモジュール9700と称することもあり、その一例は図97に示されている。バッファアライナモジュール9700はバッファワークピースホルダー9702、アライナプラットフォーム9704、およびアライナビジョンシステム9708を備えてもよい。バッファワークピースホルダー9702は複数の半導体ワークピース9710、9712、9714、および9718を一度に保持することができ、それらはホルダー9702内で垂直方向に積み重ねられても、他の方法で配置されてもよい。実施形態において、アライナプラットフォーム9704は、1つの半導体ワークピースを保持できたり、アライナコントローラによって判定された所望の位置合わせ位置にワークピースを回転させたり、移動させたりできてもよい。コントローラは、半導体ワークピースがアライナプラットフォーム9704上に配置されると、回転または移動を開始することができ、アライナビジョンシステム9703によって発された信号に基づいて停止位置を判定することができる。
アライナビジョンシステム9708は、半導体ワークピース上のノッチ(切り欠き)または他のマークを感知することができ、ノッチが特定の場所に来た時にワークピースの回転を停止させることによってなど、コントローラはノッチを利用してワークピースの適切な位置合わせを判定する。アライナビジョンシステム9708はまた、ワークピースに関する位置合わせマークや文字情報などの半導体ワークピース上に示された情報を読み取り記録するために光学式文字認識(OCR)能力または他の画像処理技術を利用することができる。コントローラはまた、あるいは代わりに、バッファアライナモジュール9700上に配置された半導体ワークピースの位置合わせのために閉ループ感知および制御を行うことができる。
図98Aは、半導体ワークピース9720を、1つのワークピースエンドエフェクタを用いて、バッファアライナモジュール9700のアライナプラットフォーム9704上に移送する移送ロボット9802を示している。図98Bは、位置合わせされる半導体ワークピース9720を回転させるアライナプラットフォーム9704を示している。アライナプラットフォームが回転する間、アライナビジョンシステム9708は、ノッチ、マークなどのいくつかの物理的指標によってワークピース9720の位置を感知することができる。コントローラは、ワークピースが適切に位置合わせされていることを示すアライナビジョンシステム9708からの適切な信号に応じて回転を停止させることができる。位置合わせされると、図98Cに示すように、半導体ワークピース9720は、バッファワークピースホルダー9702に移送することができる。
図99Aは、第2の半導体ワークピース9720をアライナプラットフォーム9704に移送する移送ロボット9802を示している。第1のバッファ化ワークピース9710がバッファワークピースホルダーの一番上のスロットに先に保管されていることに留意する。図99Bは、位置合わせされている第2の半導体ワークピース9720を示している。図99Cは、第1のバッファ化ワークピース9710と第2のバッファ化ワークピース9712として保管された2つの位置合わせされた半導体ワークピースを示している。最終的に図100Aは、ワークピース9710、9712、9714、9718を同時に移動させるためにバッチエンドエフェクタ10002を使用して、移送ロボット9802によってバッファアライナモジュール9700から移送されている、全ての位置合わせされた保管されたワークピース9710、9712、9714、9718を示している。図100Bは、バッチエンドエフェクタ10002を用いて、半導体ワークピース9710、9712、9714、9718のバッチを目的地へ移動させる移送ロボット9802を示している。
搬送カート6140と組み合わされたワークピースハンドリング真空モジュールによる搬送などのワークピース搬送を含む線形処理システムは、バッファアライナ9700と関連付けられてもよい。ワークピースハンドリング真空モジュールは、例えば装置フロントエンドモジュール、ロードロック、ならびに他の半導体製造システムモジュール、ハンドラーおよびプロセッサへ/装置フロントエンドモジュール、ロードロック、ならびに他の半導体製造システムモジュール、ハンドラーおよびプロセッサからなどのバッファアライナ9700へ/バッファアライナ9700からワークピースを搬送するのを促進してもよい。バッファアライナ9700は、処理量を上げるために線形処理システムの他の要素と有利に組み合わされてもよい。実施例において、バッファアライナ9700は、真空環境において複数の位置合わせされたウェハの移送を行う搬送カート6140システムと組み合わされてもよい。処理チャンバが複数のウェハの同時の配送を必要とする時、バッファアライナは使用され、その場合、処理中にシステムが裏でウェハを位置合わせできるようにし、処理モジュールまたはロードロックにバッチ移送することによって、位置合わせ時のバッファがシステム処理量を大きく向上させることができる。
図101は、いくつかのモジュール式のリンク可能なハンドリングモジュール6130を示している。それぞれのリンク可能モジュール6130は、ペデスタル10110によって支持されてもよい。ペデスタル10110は、真空ロボットハンドラーと例えば上述のリンクモジュールなどの任意の関連ハードウェアの単一支持構造を形成してもよい。ペデスタル10110は、物理的にロボットシステムと他のハードウェアを支持するのに適切な外径と、ロボットの駆動、電気、およびを他のユーティリティの通路を許容する適切な内径とを有する略円筒状であってもよい。
ロボット駆動機構10120は、ペデスタル10110内で統合されてもよい。ロボット駆動機構10120を支持構造へ統合することは、ロボット駆動機構10120を収容するための別個の導管またはケースの必要性を有利になくしてもよい。ペデスタル10110内のアクセスポート10125は、モータ、増幅器、シールなどのロボット駆動部10120のさまざまな構成要素へのユーザーアクセスを提供してもよく、それによってこれらの構成要素はサービスなどのために個々のユニットとして取り外すことができる。
図101に示すペデスタル構成はさらなる利点をもたらす。フロアとモジュール6130との間の重要な未使用スペースを維持しながら、モジュール式リンク可能ハンドリングモジュール6130を実質的にフロアレベルより上に上げることによって、ペデスタル10110は、モジュール式リンク可能ハンドリングモジュール6130の下で、ペデスタル10110に沿って送られる、水、ガス、圧縮空気、電気などの処理チャンバのユーティリティの物理的経路を与える。したがって、ユーティリティアクセスの計画さえ行わず、ごく接近したペデスタルをベースにしたモジュールの単純な配置は、ワイヤ、チューブ、パイプ、および他のユーティリティキャリアの適切なアクセスを確実にする。この結果を達成するために、ペデスタル10110は好ましくは、上に支持されるモジュール6130の上部投影面積の完全に範囲内にある、上部投影面積(すなわち、上部から見た場合の形状)を有する。したがって、空間はペデスタルの全周にわたって余裕がある。
ペデスタル10110は、(相対的に常時設置用の調整可能なスタンドオフを有する)転動基部10130を備えてもよく、その上に付加的な制御部または装置10140を備えてもよい。転動基部10130はさらに、真空モジュール6130とモジュール式真空処理およびハンドリングシステムとの統合をしやすくする。
搬送カート6140と組み合わされたワークピースハンドリング真空モジュールによる搬送などのワークピース搬送を含む線形処理システムは、ペデスタルと関連付けられてもよい。ワークピースハンドリング真空モジュールは、ペデスタルがワークピースハンドリング真空モジュールに対して少なくとも支持できるようにモジュール式にペデスタルに取り付けられてもよい。ペデスタルは、ワークピースハンドリング真空モジュールにおいてロボットワークピースハンドラーの回転および他の運動をもたらす駆動機構をさらに支持する。ペデスタルは、本明細書に記載のように、ワークピースハンドリング真空モジュールと統合されてもよい。ペデスタルは、トンネル6150においてワークピースを搬送カート6140へ移送しやすくする位置で、ワークピースハンドリング真空モジュールの支持をさらに促進してもよい。
真空モジュール6130間のリンクモジュール10149は、例えば上述する設定可能な真空モジュール9502に関連して本明細書に記載の任意の機能またはツールを提供してもよい。これは、真空または他の機能シールにおいてリンクモジュール10149における開口部に取り外し可能に、また取り替え可能に取り付けられるさまざまなマシンユーティリティ(ガス、電気、水など)と、真空ポンプ、マシンビジョン検査ツール、加熱要素などの補助装置10150を含む。
図102は、リンクモジュールの周りの(ペデスタル支持構造によって作られた)未使用スペースが、半導体製造工程をサポートするのに必要なさまざまなユーティリティの間でどのように論理的に配置されているかを示している。図102を参照すると、モジュール式真空処理システムの一部が、分解立体図において示されている。図102に示すシステムの一部は、1つまたはそれ以上のリンク可能真空モジュール6130を含み得るワークピースハンドリングおよび処理システム10200を含む。リンク可能モジュール6130は、互いに相互接続されてもよく、または検査モジュール4010、真空拡張部、または任意の他の真空構成要素などの別のモジュールと相互接続されてもよい。図示するように、各リンク可能モジュール6130は、ペデスタル10130に取り付けられ、ペデスタル10130は基部10230に取り付けられる。
処理ツールはリンク可能モジュール6130のうちの1つのモジュールのポートのうちの任意のポートで、ワークピースハンドリングシステム10200と接続することができる。処理チャンバにおいて、ユーティリティ接続部の種類と位置に関して業界基準を適用することによって、リンクモジュールの体積の外側のユーティリティ接続部の位置は、リンク可能モジュール6130の位置に基づいて、実質的にあらかじめ定められてもよい。しかしながら、ペデスタル構成のために、リンクモジュールの周りのバッファゾーン10240、10250、10260が、リンク可能モジュールを用いて確実に設備全体にわたってユーティリティの任意の経路指定を実質的に提供するために、各ペデスタルの周りに空きスペースを割り当てることもできる。ハンドリングシステム10200は、ユーザーが処理チャンバを設置するのを準備する際に、モジュール式ユーティリティ配送構成要素10240、10250、および10260を活用できるようにする。
バッファゾーン10240、10250、および10260は、ガス、水、電気などのユーティリティを、リンク可能モジュール6130に接続された任意の処理チャンバに配送しやすくする。これらのバッファゾーン10240、10250、および10260は、業界基準の位置決め要件に特に対応してもよく、また容量、インターフェース、清浄度、配送圧力などの任意の業界基準要件にも対応してよい(もちろんバッファゾーン内でこれらの基準への適合は必要とされないで)。
概念的に、バッファゾーン10240、10250、および10260は、対応するユーティリティの配送に適切な複数の導管10270を支持する構造フレームを有してもよい。各導管10270は、特定のユーティリティの配送の特定の要件を満たすために選択された適切な材料で構成されてもよく、任意の好ましいパターンで、バッファゾーン内に配置されてもよい。さらに、各導管装置ポート接続部10280は、バッファゾーンの外側の接続をしやすくし、バッファゾーン内のモジュールからモジュールへのユーティリティ導管の位置合わせを確実にするために、(例えば、ユーティリティ接続部位置の業界基準に合った)所定のパターンで配列されてもよい。
装置ポート接続部10280は、各ユーティリティタイプに対して選択されてもよい。例えば、水用の接続部は、水圧、温度、および流量の要件に耐え得る信頼できる相互接続部を提供することができ、電気用の接続部は、電気インピーダンス、安全性、および電流容量の要件を満たす信頼できる相互接続部または導管を提供することができる。実施形態において、バッファゾーン内の装置ポート接続部10280の位置は、機械的に特定されても、および/または調整可能であってもよい(例えば、柔軟性のある導管によって)。
実施形態において、各バッファゾーン10240、10250、および10260におけるさまざまなユーティリティ用の接続部10280および導管を含む発泡用金型または他の構造フレームなどの物理的フレームなどの物理的装置は、キットとして備えられてもよく、それは、対応する基準の制約内で、高さ、幅、導管の位置、装置接続部の位置、およびフレーム取り付けなどの据え付けニーズを満たすさまざまな構成を可能にしてもよい。
実施形態において、バッファゾーン10240、10250、10260は、特定のユーザーの据え付けおよび稼働ニーズを満たすよう十分にカスタマイズされてもよい。そのような実施形態において、ユーザーは、高さ、幅、導管の位置、装置接続部の位置、取り付け方法、およびエンクロージャなどの選択的特徴などのシステムの特徴を含む仕様および基部を製造業者に提供してもよい。
実施形態において、バッファゾーン10240、10250、および10260は、所定のパターンで、ユーティリティの1つまたは2つ以上の標準層および1つまたは2つ以上のカスタマイズ可能な層を形成しながら、導管10270の1つまたは2つ以上とともに配置されてもよい。例えば、標準層は、水用および電気用であってもよく、カスタマイズ可能な層はガス用であってもよい。標準層は、水電気配線用の所定の導管を付加的に組み込んでもよい。
図103に示すように、バッファゾーン10240、10250、および10260の全体的なサイズは、処理チャンバ2002およびハンドリングシステム10200との統合をしやすくするようにあらかじめ定められてもよい。上述および図103に示したように、バッファゾーンは、関連するリンク可能モジュール6130の容積によって、少なくとも1つの寸法において定められた容積を有してもよい。
ユーティリティ構成要素接続領域においてより隔離バルブ接続領域においての方が幅広のチャンバであるような異なる形状の処理チャンバを有する実施形態において、バッファゾーン10240および10260の幅は、図103に示す実施形態とは異なる。あるいは、装置ポート接続部10280は、異なる形状の処理チャンバを調整するために、長さを伸長可能であってもよい。
図103に示す実施形態は、リンク可能モジュールおよび例えば検査モジュール4010の下にバッファゾーン10240、10250、および10260を設置することを可能にし、それによって組み合わされたハンドリングシステム10200の設置面積を減らし、ユーティリティのルート性能を確保する。
図104は、上述するバッファゾーンに適合されたユーティリティ導管を用いたいくつかのリンク可能なモジュールを示している。図示するように、ユーティリティ配送構成要素10404、10406、10408は、各リンク可能モジュールの基部10230に取り付けられる。ユーティリティ配送構成要素のそれぞれは、概して上述したように、任意の適切な基準に適合する導管、相互接続部、および接続ポートを備えてもよい。
実施形態において、ユーティリティ配送構成要素10404、10406、10408は、各ユーティリティの特徴(例えば、流量、ガスの流れ、温度、圧力など)を感知するためのセンサを備えてもよく、感知された特徴を表示するあるいはセンサデータをコントローラまたは他のデータ収集システムに送信する手段を備えてもよい。センサおよび関連する表示部は、据え付け、設定、トラブルシューティング、監視などに有用であってもよい。例えば、水を配送するモジュール式ユーティリティ配送構成要素10404は、水圧センサ、水流量センサ、および/または水温センサを備えてもよく、表示部は、対応する物理的データを表示してもよい。表示または監視のための他のセンサは、ガス圧、タイプ、流量、電圧、および電流を含んでもよい。さらにセンサは、ユーティリティコンピュータシステムによって監視することができる外部から検出可能な信号を送信してもよい。
搬送カート6140と組み合わされたワークピースハンドリング真空モジュールによる搬送などのワークピース搬送を含む線形処理システムは、モジュール式接続を通して空気、水、ガス、および電気などのユーティリティを半導体処理システムのセクションに供給することができるモジュール式ユーティリティ配送構成要素10240とつながってもよい。モジュール式ユーティリティ配送構成要素によってユーティリティが供給されている真空モジュールのグループ、例えば、処理チャンバ2002、多機能モジュール9702、バイパス熱調整装置9402、ワークピースハンドリング真空モジュール、1つまたは2つ以上のロードロック14010、ウェハ保存場所などは、搬送カート6140と組み合わせられてもよく、遠位グループ間で1つまたは2つ以上のワークピースの搬送を促進する。図67を参照すると、線形処理グループ6610は、モジュール式ユーティリティ配送構成要素10240、10250、10260とともに局所的に構成されてもよく、搬送カート6140は、一方のグループ6610から他方のグループへワークピースの搬送を行う。
図105は、低微粒子ベントシステムを示している。適切な真空環境がシステム10500内に作られると、システム10500は、真空処理環境へ/真空処理環境からワークピースを移送し、積載されて半導体処理設備で処理される準備のできたワークピース10510を含んでもよい。システム10500は、ガスラインバルブ10530に接続された適合されたガスライン10520、微粒子フィルタ10540、および衝撃波バッフルをさらに含む。
一般の稼働において、システム10500は、内部10502を外部環境から隔離するために、当業者が知る任意のさまざまな技術を用いて開いたり閉じたりできるドアを用いてワークピースを密閉する。稼働中、システムは、チャンバ10502に対してドア10501を開いたり閉じたりし、ガスバルブ10530を開いてシステム10500の内部10502にガスを供給し、ガスバルブ10530を閉じて、ワークピース10510のために真空を形成するために内部10502を排気する。ワークピース10510の取り出しは、システム10500が真空環境から始まり、開いたガスラインバルブ10530と適合されたガスライン10520を通って流れるガスによって加圧されているということを除いては同様に達成されてもよい。
ワークピース10510が内部10502に配置されると、ベントとポンピングが行われてもよい。この処理において、適合されたガスライン10520に沿って、またはチャンバ内部10502の開口部を横切るように構成された微粒子フィルタ10540は、ガスによって搬送されている大きい微粒子をろ過する。さらに、バッフル10550および適合されたガスライン10520は結合して、内部10502の真空シールを解除することによって生じる超音速衝撃波を吸収し、それによって内部10502内の微粒子の分裂を防いだり、緩和したりすることができる。
バルブ10530からモジュールへ通るガス用の、略円筒形状管であるガスラインは、超音速衝撃波を吸収しやすくするようにその形状を修正することによって適合される。ある実施形態において、適合されたガスライン10520は、ガスの移動に垂直なラインに対して角度のついた内壁面を有し得るという点で銃器消音装置に類似した形状であってもよい。より一般的には、ガスラインは任意の不均一な内面を備えてもよく、好ましくはガスラインの中心軸に垂直な内面である。そのような表面は、(例えば、真空シールの解除による)超音速衝撃波のエネルギーを分散し、打ち消し、およびまたは吸収する。
さらに超音速衝撃波の影響を減らすために、バッフル10550は、残っている衝撃波の移動を遮断し、さもなければ微粒子汚染を運び得る摂動からワークピース10510を保護する。バッフル10550は、超音速衝撃波の起こりやすい部分に反映させるために配置されてもよく、エネルギーのいくらかを打ち消し、微粒子を有し得る内部全体の表面に影響を及ぼす衝撃波を実質的に減らす。バッフル10550は、開口部より大きくても、開口部と同じ大きさでも、または開口部より小さくてもよく、一般的に開口部からチャンバの内部の方へ移動させられてもよい。ある実施形態において、バッフル10550は可動であってもよく、それによって衝撃波を遮断するように選択的に配置できたり、ワークピースの通過を可能にしたりする。
上述のように、低微粒子ベントシステムは、真空シールが解除されたり、作製されたりする場合に、任意の上記のシステムの内部の任意の場所に配置されてもよい。
多機能モジュール、バッチ保存場所、バッチエンドエフェクタなどの上記のシステムの多くは、フロアスペースを残し、特に複雑な処理のまたは単一の真空環境内でいくつかの異なる処理を適応させることを目的とした据え付けの処理時間を減らすために、本明細書に記載の高度なモジュール式システムと組み合わせて使用されてもよい。いくつかのバッチ処理概念、特にバッチアライナの使用を、ここでより詳細に説明する。
図106は、一度にいくつかのウェハを処理できるいくつかのバッチ処理モジュール10602を備えたシステム10600を示している。各モジュール10602は、例えば、2、3、4、またはそれ以上のウェハを同時に処理してもよい。システム10600はまた、バッチロードロック10604、真空中バッチバッファ10606、バッファアライナ10608、1つまたは2つ以上の真空ロボットアーム10610、大気ロボットアーム10612、および1つまたは2つ以上の正面開口式一体型ポッド10614を備えてもよい。上述の構成要素のそれぞれは、ウェハのバッチ処理に適合されてもよい。
正面開口式一体型ポッド10614は、4つなどのグループでウェハを保存してもよい。4つのウェハシステムが例示の目的で示されているが、システム10600はまた、あるいは代わりに、2、3、4、5、6、またはそれ以上のウェハのグループ、あるいはこれらの組み合わせを含むように構成されてもよく、全てのこれらのグループは、本明細書で用いられる用語のバッチと見なされてもよい。
大気中ロボット10612は、システム10600における処理に対してウェハの大気ハンドリングを全体的に管理するFOUP10614からウェハのグループを取り出すために動作してもよい。ロボット10612は、トラック、カートまたはFOUP10614、ロードロック10604、およびバッファアライナ10608にアクセスする他の機構上で移動してもよい。ロボットは、ウェハ(または他のワークピース)のバッチの同時ハンドリングのために、バッチエンドエフェクタを備えてもよい。ロボット10612はまた、または代わりに、デュアルアームなどを備えてもよく、それによって第1のアームはFOUP10614とバッチアライナ10608との間でピックアンドプレースを行うことができ、他方のアームは、バッファ10608における位置合わせされたウェハのバッチロードロック10604へのおよびロードロック10604からFOUP10614へ戻るバッチ移送のためにバッチエンドエフェクタを備える。
バッファアライナ10608は、バッファ処理の間、物理的に位置合わせされる対応する数のウェハ(例えば4つ)を収容してもよい。1つのバッファアライナが示されているが、処理のためにバッチのグループを収容するためにいくつかのバッファアライナが大気中ロボットの周りに配置されてもまたは垂直方向に積み重ねられてもよいことが理解される。また、バッファアライナ10608は、次のバッチハンドリングのために2つまたは3つ以上のウェハを同時に位置合わせするために、当業者が知る任意の能動的または受動的技術またはこれらの組み合わせを用いてもよいことが理解される。
重要な利点として、位置合わせされたウェハのバッチは、バッチフォームダウンストリームにおいてより迅速に処理することができる。したがって、例えば、位置合わせされたウェハのバッチは、真空中ロボット10610に移送するために位置合わせを保つようにロボット10612によってバッチロードロック10604に移送することができ、真空中ロボット10610は、真空内でのウェハのバッチハンドリングのためにデュアルアームおよび/またはデュアルエンドエフェクタを備えてもよい。さらに、真空中バッチバッファ10606は、真空中バッファおよび/またはロボット間のハンドオフの間に位置合わせを保つために棚などを用いてウェハのバッチを収容してもよい。もちろんバッチバッファ10606は、冷却温度制御保管場所または半導体製造工程における処理モジュール間で役立ち得る上述の任意の他の機能を提供してもよい。
図107は、図106のバッチ処理システムに有用なロボットアームを示している。図107Aは、ロボット10700の断面図を示し、図107Bは、斜視図を示している。一般に、ロボット10700は、シングルエンドエフェクタ10704を有する第1のロボットアーム10702およびデュアルまたは他のバッチエンドエフェクタ10708を有する第2のロボットアーム10706を備えてもよい。
このロボットアーム構成を用いて、シングルエンドエフェクタ10704は、モジュール内での個別のウェハのピックアンドプレースに使用されてもよく、デュアルエンドエフェクタ10708は、例えばバッチバッファ10606、ロボットからロボットへのハンドオフ、または任意の他の適切なバッチ処理技術による処理モジュール間のバッチ移送に使用されてもよい。
このバッチ技術の多くのバリエーションが可能であることが理解される。例えば、バッチエンドエフェクタは2つのブレード、3つのブレード、またはバッチ処理の使用に適した任意の他の数のブレード(または他の適切なウェハ支持物)を備えてもよい。同時に各ロボットアーム10702、10706は、マルチリンクSCARAアーム、フロッグレッグ型アーム、または本明細書に記載の任意の他のタイプのロボットであってもよい。さらに、製造工程の特定の配置によって、2つのアームは完全に独立していてもよく、または一部または選択的に依存していてもよい。そのような全てのバリエーションは本開示の範囲内にあることが意図されている。バッチサイズおよびロボットアーム構成のバリエーションに加えて、任意の数のバッチ処理モジュールが使用されてもよいことが理解される。さらに、処理時間が適切に比例するシステムに組み込まれた1つまたは2つ以上の非バッチまたは単一ウェハ処理モジュールを有することは特定の状況下で効率的または有効である場合があり、連携する単一処理モジュールとバッチ処理モジュールとの許容できる使用を提供する。
図108は、バッチ処理システムにおいてフロアスペースを節約するために、どのように複数の移送面を有効に使用することができるかを示している。図108Aは、リンクモジュール内でのウェハの単一または複数アクセスに対応する複数の移送面を含むリンクモジュールを示している。スロットバルブなどはリンクモジュールを隔離するために設けられる。図108Bは、複数の棚がロボット間で隔離されることなく配置されている代替的な構成を示している。この構成において、棚は、ロボットアームと棚上のウェハとの間の衝突を引き起こし得るさまざまなロボット運動を可能にするために、例えばロボットの上方に配置されてもよい。それにもかかわらず、この構成はバッチ処理およびまたはロボット間の複数のウェハのバッファを提供する。図108Cは、図108Bの実施形態の上面図を示している。図108Cで明らかなように、図108Bのロボット間の棚に付いた小さいアダプターは、ロボットからロボットへの直接のハンドオフを必要としないで、2つのロボットの比較的近い位置決定を可能にする。その代わりに、各ウェハまたはウェハのグループは、隣接ロボットによって次の取り出しのために高い棚に移送することができる。重要な利点として、この配置は2つの隣接ロボットの設置面積を減らし、さらに直接のロボットからロボットへのハンドオフを調整する余分な複雑さを減らしたりなくしたりする。
ここで図109を参照すると、任意の適切なサイズのウェハを処理する線形処理ツール10900の一部が、ある実施形態の態様によって示されている。線形処理ツール10900は、上述の処理セル8012に類似したモジュール式またはセル式に、上下に異なるレベル上に配列された(例えば処理モジュールは垂直方向に積み重ねられて配置されてもよい)任意の適切な処理モジュールまたはセル10901A、10901B、10902A、10902B、10903A、10903B、10904A、10904Bを備えてもよく、処理モジュール10901A〜10904Aは1つのウェハ処理レベル10909A上に配置され、処理モジュール10901B〜10904Bは、別のウェハ処理レベル10909B上に配置される。図109は、垂直方向に積み重ねられた処理モジュール10901A〜10904A、10901B〜10904Bで形成された2つの積み重ねられたウェハ処理レベル10909A、10909Bを示し、図109に示す実施形態の他の態様において、ウェハ処理ツール10900は任意の数の垂直方向に積み重ねられたウェハ処理レベルを有してもよいことが理解されるべきである。処理モジュールが実質的に線形に積み重ねられていることが図に示されているが、他の態様において、異なる処理レベル上の処理モジュールは、必要に応じて直線方向に互いからオフセットしてもよい(例えば水平方向にジグザグ状に)ことに留意する。それぞれの処理レベル上の処理モジュール10901A〜10904A、10901B〜10904Bは、各搬送トンネル10910、10911に連絡可能に連結されてもよい。垂直方向に積み重ねられた搬送トンネル/チャンバ10910、10911は、(例えば線形の搬送チャンバまたは線形に拡張された搬送チャンバを形成する)モジュール式真空チャンバ4012によって形成された移送チャンバに関して上述の搬送トンネル/チャンバと略同様であってもよく、1つまたは2つ以上のモジュール4012移送トンネル/チャンバ間に置かれたバッファステーション4010を備えてもよい。搬送トンネル10910、10911は、その中に真空または他の制御環境などの密閉環境を保持するように構成されることに留意する。搬送チャンバモジュール4012によって形成された搬送チャンバ/トンネル10910、10911は、図において類似しているように示されている。他の態様において、あるレベルの搬送チャンバ/トンネル10910、10911は、別のレベルの搬送チャンバ/トンネル10910、10911とは異なってもよい。
理解されるように、所望の処理能力に応じて構成され得る処理ツール10900はモジュール式ツールである。例えば、処理ツールは、1つまたは2つ以上のレベルを有する最初のモジュール式構成において最初に設けられてもよい。次に選択されたモジュールが1つのレベルでまたは2つ以上のレベルで、そのレベルに沿って線形に、または図110A〜110Dに示す構成の垂直方向のアレイ状に構成されて連結されてもよい。単なる例示目的で、処理ツールは、例えば搬送トンネル10910および処理モジュール10901A、10902A、10903A、10904Aを含む単一レベル10909A処理システムとして構成されてもよい(他の態様において、処理システムは最初に複数レベル処理システムとして構成されてもよいが)。処理レベル/量が増すにつれて、搬送トンネル10910は拡張されてもよい(例えば、付加的な搬送チャンバ4012を追加したり、および/またはバッファステーション4010を搬送トンネルに加えたりすることによって)し、および/または利用可能なフロアスペースに応じて、付加的な処理レベルが、処理ツールの処理量/能力を上げるために追加されてもよい。例えば、搬送チャンバ/トンネル10911は、処理モジュール10901B、10902B、10903B、10904Bとともにツールに追加されてもよく、それによって処理ツールの構成は、図109に示すような複数レベル10909A、10909B処理ツールになる。モジュール式処理ツール10900は、2つの処理レベルに限定されず、2つより多くの処理レベルおよび任意の所望の長さの搬送トンネルを有してもよい。
上述したように、各移送チャンバ4012は、移送ロボット4002または上述のロボットおよび米国特許第8,008,884号明細書および2011年8月26日出願の米国特許出願第13/219,267号明細書および2008年6月27日出願の第12/163,996号明細書に記載(その開示はその全体を参照することによって本明細書に含まれる)のロボットなどの任意の他の適切なロボットと実質的に同様であってもよい少なくとも1つの移送ロボット10920を備える。理解されるように、少なくとも1つの移送ロボット10920は、Z軸運動を伴う2つの自由度の駆動部を含んでいてもよい。他の態様において、ロボットは、Z軸運動を伴うまたは伴わない、2つより多いまたは少ない自由度の駆動部を含んでいてもよい。別の態様において、少なくとも1つの移送ロボット109250は、それぞれの搬送チャンバ/トンネル10910、10911によって形成される線形経路に沿って位置固定されるロボットのショルダー関節部などの少なくとも1つの関節部を有してもよい。また理解されるように、各モジュール式移送チャンバ4012における少なくとも1つの移送ロボット10920は、直接的にまたはバッファステーション4010を介してのような間接的に、隣接する搬送チャンバ4012における移送ロボット間のロボットからロボットへの基板ハンドオフのために配置されてもよい。上述したように、各移送チャンバ4012および/またはバッファステーション4010は、それぞれの搬送トンネル10910、10911の長さに沿って一方向のまたは双方向のウェハの移送を可能にしながら、各ウェハ処理レベル10909A、10909Bがそれぞれ垂直方向に積み重ねられたウェハ移送面を有するように、垂直方向に積み重ねられたウェハ移送面を有してもよい。例えば、各トンネルは、例えば上下に配置されたアームを有する垂直方向に積み重ねられたロボットによるような双方向のウェハ移動を有する場合、トンネルの長さに沿った第1の方向のあるレベルの搬送は、処理ステーションにウェハを供給するためのものであってもよく、反対方向の他のレベルの搬送は、ウェハが例えばさらなる処理または任意の他の適切なウェハ保持場所がなくEFEMに移送され得る、実質的に障害のないウェハのリターン経路を提供するためのものであってもよい。他の態様において、1つまたは2つ以上のレベルの移送チャンバ(またはその一部)は、1つのウェハ移送面を有してもよい。他の態様において、搬送トンネル10910、10911の一方は、第1の方向に、処理ツールのあるレベルの長さに沿って、ウェハを搬送するように構成されてもよく、異なるレベルの搬送トンネル10910、10911の他方は、処理ツールの長さに沿ってウェハのリターン搬送経路を提供するために用いられてもよい。理解されるように、任意の適切なコントローラ10900Cは、処理ツール10900におけるウェハの方向性のある移動(例えば処理の流れ)をもたらす処理ツールの構成要素を制御するために処理ツール10900に接続することができる。ある態様において、図112が示すように、搬送トンネル10910、10911の少なくとも1つは、上述のリターンシステム6150、14012に実質的に同様のリターンシステム11220に接続されてもよい。例えば、ロードロック11210は、搬送トンネルをリターンシステム11220に接続するために、少なくとも1つの搬送トンネルの1つまたは2つ以上の端部に配置されてもよい。ロードロック11210は、処理レベル10909A、10909Bのうちの1つまたは2つ以上と、あるレベルのリターンシステム11220との間でウェハを移送するために、上述のリフト8810に実質的に同様のリフトシステムを備えてもよい。リターンシステム11220は、搬送トンネル10910、10911の上方に配置されるように図112に示されているが、他の態様において、リターンシステムは、上述の方法と実質的に同様の方法で搬送トンネル10910、10911の下方に配置されてもよいし、あるいはトンネル間に配置されてもよい(例えば、一方のトンネルはリターンシステムより上に配置され、他方のトンネルはリターンシステムより下に配置される)。理解されるように、リターンシステム11220へのアクセスはまた、搬送トンネル10910、10911の端部の間のある地点で、例えばロードロックまたは密閉可能なバッファステーション4010Xを通って、例えば図14に関する上述の方法と実質的に同様の方法で設けられてもよい。
また、各移送チャンバ4012がロボット10920を有するように示されるが、他の態様において、搬送トンネルのそれぞれは、カートがそれに取り付けられたロボットを有するか、または受動型カート(例えば、それに取り付けられたロボットを有しないで、固定ロボットが、搬送トンネルを通って搬送するためにカートへおよびカートからウェハを移送するような)である、上述の搬送カートと実質的に同様の1つまたは2つ以上のウェハ搬送カートを備えてもよいことに留意する。搬送トンネルはまた、図114が示すように、上述の方法と実質的に同様の方法で、ロボットとカートの組み合わせを備えてもよい。
搬送トンネル10910、10911は、異なるウェハ処理レベル10901A、10909Bとの間でウェハを移送するために、任意の適切な方法で、互いに連絡可能に接続されてもよい。例えば、搬送トンネル10910、10911は、上述のロボット8310(図112、113を参照)のような垂直運動能力を有するロボットを備えるEFEM(装置フロントエンドモジュール)を通って互いに連絡可能に接続されてもよく、そのロボットは、垂直方向に積み重ねられたトンネル10910、10911のうちのいずれかの中で、直接的か、あるいは間接的に(例えばバッファステーションを介して)ロボット10920からウェハを受け取り、非限定的な例の図83、84、および88〜91に関する上述の方法と実質的に同様の方法で、垂直方向に積み重ねられたトンネル10910、10911のうちの他方のものに移送するために垂直方向にウェハを移動する。また理解されるように、バッファステーション4010のうちの1つまたは2つ以上は、異なるレベルの積み重ねられた搬送トンネル10910、10911を連絡可能に接続する積み重ねられたバッファステーション11010(図110Aおよび111を参照)であってもよい。積み重ねられたバッファステーション11010は、トンネル10910、10911の端部間の中間地点またはある地点で、積み重ねられた搬送トンネルとの間でウェハを搬送する(ロボット8310と実質的に同様の)垂直運動能力を有するウェハ保持ステーション11010Sを備えてもよい。他の態様において、積み重ねられたバッファステーションは、積み重ねられた搬送トンネル10910、10911との間でウェハを搬送するために、ロボット8310と実質的に同様のロボットを備えてもよい。
図110A〜110Dを参照すると、線形処理ツール11000〜11003の異なる構成が示されている。線形処理ツール11000〜11003は、上述の線形処理ツール10900と実質的に同様であってもよい。図110Aにおいて、処理ツール11000は、処理ツール11000が例えば12の処理モジュールを備えるために、積み重ねられた搬送トンネル10910、10911と、例えばそれに連結された6つの積み重ねられた処理モジュール10903を有するように示されている。図110Bにおいて、処理ツール11001は、処理ツール11000が例えば8つの処理モジュールを備えるために、積み重ねられた搬送トンネル10910、10911と、例えばそれに連結された4つの積み重ねられた処理モジュール10903を有するように示されている。図110Cにおいて、処理ツール11002は、処理ツール11000が例えば4つの処理モジュールを備えるために、積み重ねられた搬送トンネル10910、10911と、例えばそれに連結された2つの積み重ねられた処理モジュール10903を有するように示されている。処理ツール11000〜11002は、2つの対向する側面に連結された処理ステーション10903を有するように示されるが、他の態様では、処理ステーション10903は、図110Dが示すように、搬送トンネル10910、10911の1つの側面のみに連結されてもよいことに留意する。図110Dの処理ツール11003は、処理ツールが例えば6つの処理モジュールを備えるために、例えば搬送トンネル10910、10911の1つのまたは共通する側面に連結された3つの積み重ねられた処理ステーションを有する。わずか2つの積み重ねられた搬送トンネル10910、10911が図109〜110Dに示されているが、他の態様において、処理ツールは、任意の適切な数の積み重ねられた処理モジュールを有する処理ステーションへアクセスできるようにするために、任意の適切な数の積み重ねられた搬送トンネルを備えてもよいことが理解されるべきである。また、EFEMは、搬送トンネルの1つまたは両方の端部に配置されてもよいことが理解されるべきである。他の態様において、処理ツールは、任意の適切な数のウェハ処理レベルを用いる任意の適切な構成を有してもよい。
いくつかの例示的な実施形態を説明してきたが、さまざまな変更、修正、および改良を、当業者が容易に思いつくことが理解される。そのような変更、修正、および改良は、本開示の一部を形成し、本開示の精神と範囲内にあるよう意図されている。本明細書に示されるいくつかの例は、機能または構造要素の特定の組み合わせを含むが、そのような機能および要素は、同じまたは異なる目的を達成するために、本発明による他の方法で、組み合わされてもよいことが理解されるべきである。特に、ある実施形態と関連して述べられた作用、要素、および特徴は、他の実施形態における類似したまたは他の役割から除外されることを意図していない。したがって、上述の説明および添付の図面は単なる例にすぎず、限定を意図していない。
図の全てにわたってフローチャートおよびブロック図に示される要素は、要素間の論理的境界を含む。しかしながら、ソフトウェアまたはハードウェア工学の実務によって、図示される要素およびその機能は、モノリシックソフトウェア構造の部分として、独立型ソフトウェアモジュールとして、または外部ルーチン、コード、サービスなどまたはこれらの任意の組み合わせを使用するモジュールとして実施されてもよく、それらの全ての実施形態は、本開示の範囲内にある。したがって、上述の図面および記述は、本開示のシステムの機能的側面を示しているが、これらの機能的側面を実施するソフトウェアの特定の配置は、明確に記載されるか文脈から明らかでない限り、これらの記述から推測されるべきでない。
同様に、特定された上述のさまざまなステップは変えられてもよく、ステップの順序は本明細書に開示する技術の特定の応用に適合されてもよいことが理解される。そのような全てのバリエーションおよび修正は、本開示の範囲内にあることが意図されている。そのようなものとして、さまざまなステップの順序の描写および/または記述は、特定の応用によって必要とされるか、明記されるか、あるいは文脈から明らかでない限り、それらのステップの実行の特定の順序を必要とすると理解されるべきでない。
上述の方法または処理、およびそのステップは、特定の応用に適切なハードウェア、ソフトウェア、またはこれらの任意の組み合わせで実現することができる。ハードウェアは、汎用コンピュータおよび/または専用のコンピュータデバイスを含んでもよい。処理は、1つまたは2つ以上のマイクロプロセッサ、マイクロコントローラ、埋め込みマイクロコントローラ、プログラマブルデジタル信号プロセッサ、または他のプログラマブルデバイスと、内部および/または外部メモリとで実現されてもよい。処理はまた、あるいは代わりに、特定用途向け集積回路、プログラマブルゲートアレイ、プログラマブルアレイロジックまたは任意の他の装置または電子信号を処理するように構成され得る装置の組み合わせで実施されてもよい。さらに、処理の1つまたは2つ以上は、Cなどの構造化プログラミング言語、C++などのオブジェクト指向プログラミング言語、またはプロセッサの異種組み合わせ、プロセッサアーキテクチャ、または異なるハードウェアとソフトウェアの組み合わせおよび上記の装置の1つで実行するために保存されるか、コンパイルされるか、解釈される任意の他の高水準プログラミング言語または低水準プログラミング言語(アセンブリ言語、ハードウェア記述言語、データベースプログラミング言語およびテクノロジーを含む)を用いて作られるコンピュータ実行可能コードとして実現されてもよいことが理解される。
したがって、ある態様において、上述の各方法およびそれらの組み合わせは、1つまたは2つ以上のコンピュータデバイス上で実行される時、そのステップを行うコンピュータ実行可能コードで実施されてもよい。別の態様において、その方法は、そのステップを行うシステムにおいて実施されてもよく、いくつかの方法で装置中に分配されてもよく、あるいは全ての機能は、専用の独立型装置または他のハードウェアに組み込まれてもよい。別の態様において、上述の処理に関連したステップを行う手段は、上述の任意のハードウェアおよび/またはソフトウェアを含んでもよい。全てのそのような置換および組み合わせは、本開示の範囲内にあることが意図されている。
本発明は、詳細な図と説明による好適な実施形態に関連して開示してきたが、それに関するさまざまな修正と改良は、当業者にはすぐに思いつくものである。したがって、本発明の精神と範囲は、上述の例によって限定されるものなく、法律によって許される最も広い意味で理解される。
本明細書で参照された全ての文献は、参照することにより本明細書に含まれる。
本開示の実施形態の1つまたは2つ以上の態様によると、基板処理システムが提供される。この基板処理システムは、少なくとも2つの垂直方向に積み重ねられた搬送トンネルと、各処理モジュールが少なくとも2つの垂直方向に積み重ねられた搬送トンネルのそれぞれと連絡可能に連結された、垂直方向に積み重ねられた処理モジュールを含む少なくとも1つの処理セルと、少なくとも1つの固定搬送ロボットがトンネルの長さに沿って、垂直方向に積み重ねられた処理モジュールのそれぞれに基板を搬送するように構成された、少なくとも2つの垂直方向に積み重ねられた搬送トンネルのそれぞれの中の少なくとも1つの固定搬送ロボットとを備える。
本開示の実施形態の1つまたは2つ以上の態様によると、少なくとも2つの垂直方向に積み重ねられた搬送トンネルのそれぞれの内部の少なくとも1つの搬送ロボットは、少なくとも2つの垂直方向に積み重ねられた搬送トンネルのそれぞれの長さに沿って移送ロボットから移送ロボットへ基板を送るように構成された複数の搬送ロボットを備える。別の態様によると、複数の搬送ロボットのそれぞれは、密閉可能なチャンバに配置され、密閉可能なチャンバのそれぞれは互いに連絡可能に連結され、少なくとも2つの積み重ねられた搬送トンネルのそれぞれを形成する。さらに別の態様によると、少なくとも2つの垂直方向に積み重ねられた搬送トンネルのそれぞれは、少なくとも2つの密閉可能なチャンバの間に配置されたバッファステーションを含む。またさらに別の態様によると、バッファステーションは、少なくとも2つの垂直方向に積み重ねられたそれぞれの搬送トンネルの間で基板を移送するように構成された基板エレベーターを含む。
本開示の実施形態の1つまたは2つ以上の態様によると、少なくとも2つの垂直方向に積み重ねられた搬送トンネルのそれぞれの少なくとも一端が、共通のローディングステーションに連絡可能に連結され、共通のローディングステーションが、それぞれの少なくとも2つの垂直方向に積み重ねられた搬送トンネルとの間で基板を移送するための基板エレベーターを含む。
本開示の実施形態の1つまたは2つ以上の態様によると、少なくとも2つの垂直方向につみ重ねられた搬送トンネルのそれぞれが、少なくとも2つの垂直方向に積み重ねられた搬送トンネルのそれぞれ1つの内部で、垂直方向に積み重ねられた基板移送面を形成するデュアルレベルの搬送ロボットを含む。さらなる態様において、垂直方向に積み重ねられた基板移送面は、少なくとも2つの垂直方向に積み重ねられた搬送トンネルのそれぞれにおいて双方向の基板移動を可能にする。別の態様において、垂直方向に積み重ねられた基板移送面の1つは、実質的に基板搬送を妨害しないように構成されたリターンレーンである。
本開示の実施形態の1つまたは2つ以上の態様によると、少なくとも2つの垂直方向に積み重ねられた搬送トンネルのそれぞれが側面を含み、少なくとも1つの処理セルは少なくとも2つの垂直方向に積み重ねられた搬送トンネルの1つの側面のみに配置される。
本開示の実施形態の1つまたは2つ以上の態様によると、少なくとも2つの垂直方向に積み重ねられた搬送トンネルのそれぞれが側面を含み、少なくとも1つの処理セルは、少なくとも2つの垂直方向に積み重ねられた搬送トンネルの対向する側面に配置された少なくとも2つの処理セルを含む。
本開示の実施形態の1つまたは2つ以上の態様によると、少なくとも2つの垂直方向に積み重ねられた搬送トンネルの一方は、第1の方向に基板搬送を提供し、少なくとも2つの垂直方向に積み重ねられた搬送トンネルの他方は、実質的に反対方向に基板搬送を提供する。
本開示の実施形態の1つまたは2つ以上の態様によると、基板処理システムは少なくとも2つの垂直方向に積み重ねられた搬送チャンバと、搬送ロボットとを含み、垂直方向に積み重ねられた搬送チャンバのそれぞれが、垂直方向に積み重ねられた処理モジュールに連結するように構成された垂直方向に積み重ねられた開口部を形成するように配置された複数の開口部を含み、垂直方向に積み重ねられた搬送チャンバの少なくとも1つは、線形搬送チャンバを形成するために別の搬送チャンバモジュールに連結するように配置された少なくとも1つの搬送チャンバモジュールを含み、少なくとも2つの垂直方向に積み重ねられた別の搬送チャンバが、別の線形搬送チャンバを形成するために別の搬送チャンバモジュールに連結するように配置された少なくとも1つの搬送チャンバモジュールを含み、搬送ロボットが、搬送チャンバモジュールのそれぞれに配置され、搬送ロボットの連結部が線形搬送チャンバのそれぞれによって形成される線形経路に沿って位置的に固定されている。
本開示の実施形態の1つまたは2つ以上の態様によると、搬送ロボットはZ軸運動を伴う2つの自由度を有する駆動部を含む。
本開示の実施形態の1つまたは2つ以上の態様によると、搬送チャンバモジュールのそれぞれは密閉可能なチャンバである。
本開示の実施形態の1つまたは2つ以上の態様によると、線形搬送チャンバのそれぞれが、少なくとも2つの搬送チャンバモジュールの間に配置されたバッファステーションを含む。
本開示の実施形態の1つまたは2つ以上の態様によると、バッファステーションが、それぞれの線形搬送チャンバの間で基板を移送するように構成された基板エレベーターを含む。
本開示の実施形態の1つまたは2つ以上の態様によると、少なくとも2つの垂直方向に積み重ねられた搬送チャンバのそれぞれの少なくとも一端が、共通ローディングステーションに連絡可能に連結され、共通のローディングステーションが、それぞれの少なくとも2つの垂直方向に積み重ねられた搬送チャンバの間で基板を移送するための基板エレベーターを含む。
本開示の実施形態の1つまたは2つ以上の態様によると、少なくとも2つの垂直方向に積み重ねられた搬送チャンバのそれぞれの搬送ロボットが、少なくとも2つの垂直方向に積み重ねられた搬送チャンバのそれぞれ1つの内部で、垂直方向に積み重ねられた基板移送面を形成するデュアルレベル搬送ロボットを含む。
本開示の実施形態の1つまたは2つ以上の態様によると、垂直方向に積み重ねられた基板移送面は、少なくとも2つの垂直方向に積み重ねられた搬送チャンバのそれぞれにおいて双方向の基板移動を可能にする。
本開示の実施形態の1つまたは2つ以上の態様によると、垂直方向に積み重ねられた基板移送面の1つは、実質的に基板搬送を妨害しないように構成されたリターンレーンである。
本開示の実施形態の1つまたは2つ以上の態様によると、少なくとも2つの垂直方向に積み重ねられた搬送チャンバのそれぞれが側面を含み、複数の開口部は、少なくとも2つの垂直方向に積み重ねられた搬送チャンバのそれぞれの、1つの側面のみに配置される。
本開示の実施形態の1つまたは2つ以上の態様によると、少なくとも2つの垂直方向に積み重ねられた搬送チャンバのそれぞれが側面を備え、複数の開口部は、少なくとも2つの垂直方向に積み重ねられた搬送チャンバのそれぞれの、対向する側面に配置される。
本開示の実施形態の1つまたは2つ以上の態様によると、少なくとも2つの垂直方向に積み重ねられた搬送チャンバの一方は第1の方向に基板搬送を提供し、少なくとも2つの垂直方向に積み重ねられた搬送チャンバの他方は実質的に反対方向に基板搬送を提供する。
本開示の実施形態の1つまたは2つ以上の態様によると、各線形搬送チャンバの搬送ロボットはロボットからロボットへの基板ハンドオフのために配置される。
本開示の実施形態の1つまたは2つ以上の態様によると、基板処理システムは少なくとも2つの垂直方向に積み重ねられた線形搬送チャンバと、搬送ロボットとを備え、垂直方向に積み重ねられた線形搬送チャンバのそれぞれが、それぞれの処理レベルに配置され、互いに連絡可能に連結された複数のチャンバを備え、少なくとも2つの垂直方向に積み重ねられた他の搬送トンネルと別個のそれぞれの線形搬送チャンバを形成し、線形搬送チャンバのそれぞれが、処理モジュールと連結するように配置された開口部を有し、搬送ロボットが、複数のチャンバのそれぞれに配置され、搬送ロボットの連結部は、線形搬送チャンバのそれぞれによって形成される線形経路に沿って位置的に固定されている。
本開示の実施形態の1つまたは2つ以上の態様によると、基板処理システムは、既存の処理レベルに積み重ねられる追加の処理レベルを許容するように構成されたモジュール式システムである。
本開示の実施形態の1つまたは2つ以上の態様によると、少なくとも2つの垂直方向に積み重ねられた線形搬送チャンバのそれぞれは、それぞれの垂直方向に積み重ねられた線形搬送チャンバの長さが少なくとも2つの垂直方向に積み重ねられた他の線形搬送チャンバとは独立して伸長するようなモジュラーである。
本開示の実施形態の1つまたは2つ以上の態様によると、少なくとも2つの垂直方向に積み重ねられた線形搬送チャンバの開口部が、垂直方向に積み重ねられる処理モジュールと連結するために、開口部の垂直方向の積み重ねを形成するように配置される。
本開示の実施形態の1つまたは2つ以上の態様によると、基板処理システムは少なくとも2つの垂直方向に積み重ねられた搬送チャンバと、少なくとも1つの搬送ロボットとを備え、搬送チャンバのそれぞれは複数の開口部を有し、少なくとも2つの垂直方向に積み重ねられた搬送チャンバの複数の開口部が、垂直方向に積み重ねられる処理モジュールを含む処理セルと連結するために、開口部の垂直方向の積み重ねを形成するように配置され、搬送ロボットが、少なくとも2つの垂直方向に積み重ねられた搬送チャンバのそれぞれにあり、少なくとも1つの搬送ロボットが、トンネルの長さに沿って垂直方向に積み重ねられた処理モジュールのそれぞれに基板を搬送するように構成され、少なくとも1つの搬送ロボットは垂直方向に積み重ねられた搬送チャンバのそれぞれによって形成される線形経路に沿って位置的に固定された連結部を有する。
本開示の実施形態の1つまたは2つ以上の態様によると、少なくとも2つの垂直方向に積み重ねられた搬送チャンバのそれぞれは、線形搬送チャンバを形成するために別のチャンバと連結するように構成された少なくとも1つのチャンバを含む。
本開示の実施形態の1つまたは2つ以上の態様によると、少なくとも1つのチャンバのそれぞれは、位置的に固定された搬送ロボットを備える。

Claims (3)

  1. 少なくとも2つの垂直方向に積み重ねられた搬送チャンバと、少なくとも1つの搬送ロボットとを備える基板処理システムであって、
    前記少なくとも2つの垂直方向に積み重ねられた搬送チャンバのそれぞれが、
    前記少なくとも2つの垂直方向に積み重ねられた搬送チャンバのうち別の搬送チャンバから分離し、かつ前記別の搬送チャンバとは別個であり、
    前記垂直方向に積み重ねられた搬送チャンバの側部に複数の開口部を有し、
    前記少なくとも2つの垂直方向に積み重ねられた搬送チャンバの複数の側部の開口部が、垂直方向に積み重ねられた処理モジュールを含む処理セルと連結するために、開口部の垂直方向の側部の積み重ねを形成するように配置され、
    前記垂直方向に積み重ねられた処理モジュールは、各垂直方向に積み重ねられた処理モジュールが、垂直方向に積み重ねられて並列に配置された処理モジュールのそれぞれの積み重ね高さを有するように、上下に積み重ねられて並列に配置され、
    垂直方向に積み重ねられた搬送チャンバのそれぞれと、前記少なくとも2つの垂直方向に積み重ねられた搬送チャンバのうち前記別の搬送チャンバとが、前記開口部の垂直方向の側部の積み重ねにおいて分離し、別個であり、それによって、垂直方向に積み重ねられた搬送チャンバのそれぞれにおけるそれぞれの開口部が、それぞれ独立して、前記垂直方向に積み重ねられた搬送チャンバのそれぞれを、前記少なくとも2つの垂直方向に積み重ねられた搬送チャンバのうち前記別の搬送チャンバから独立して、前記垂直方向に積み重ねられた処理モジュールに連結し、
    前記垂直方向に積み重ねられた搬送チャンバのそれぞれ、前記少なくとも2つの垂直方向に積み重ねられた搬送チャンバのうち前記別の搬送チャンバ、および前記少なくとも2つの垂直方向に積み重ねられた搬送チャンバの側部におけるそれぞれの開口部が、それぞれの基板移送面を形成し、前記それぞれの基板移送面の高さは、前記垂直方向に積み重ねられて並列に配置された処理モジュールの上側の処理モジュールにより画定される上側の基板移送面の高さが、前記垂直方向に積み重ねられて並列に配置された処理モジュールの下側の処理モジュールにより画定される下側の基板移送面の高さに基づくように、互いに隣接して積み重ねられる前記垂直方向に積み重ねられて並列に配置された処理モジュールのそれぞれの処理モジュールの積み重ね高さにより画定され、
    前記少なくとも1つの搬送ロボットが、前記少なくとも2つの垂直方向に積み重ねられた搬送チャンバのそれぞれにあり、
    前記少なくとも1つの搬送ロボットが、それぞれの垂直方向に積み重ねられた搬送チャンバにより形成されるそれぞれの可変長の搬送トンネルの長さに沿って、前記垂直方向に積み重ねられ並列に配置された処理モジュールのそれぞれに基板を搬送するように構成され、
    前記少なくとも1つの搬送ロボットが、前記垂直方向に積み重ねられた搬送チャンバのそれぞれによって形成される線形経路に沿って位置的に固定された連結部を有する基板処理システム。
  2. 前記少なくとも2つの垂直方向に積み重ねられた搬送チャンバのそれぞれは、それぞれのトンネルの可変長の線形搬送チャンバを形成するために別のチャンバと連結するように構成された少なくとも1つのチャンバを含む請求項1記載の基板処理システム。
  3. 前記少なくとも1つのチャンバのそれぞれは、位置的に固定された搬送ロボットを含む請求項2記載の基板処理システム。
JP2020115001A 2011-10-26 2020-07-02 基板処理システム Active JP7432457B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161551779P 2011-10-26 2011-10-26
US61/551,779 2011-10-26
JP2018122273A JP2018164108A (ja) 2011-10-26 2018-06-27 基板処理システム

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2018122273A Division JP2018164108A (ja) 2011-10-26 2018-06-27 基板処理システム

Publications (2)

Publication Number Publication Date
JP2020170866A true JP2020170866A (ja) 2020-10-15
JP7432457B2 JP7432457B2 (ja) 2024-02-16

Family

ID=48430279

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2014537746A Pending JP2015502654A (ja) 2011-10-26 2012-10-26 半導体ウェハのハンドリングおよび搬送
JP2018122273A Pending JP2018164108A (ja) 2011-10-26 2018-06-27 基板処理システム
JP2020115001A Active JP7432457B2 (ja) 2011-10-26 2020-07-02 基板処理システム

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2014537746A Pending JP2015502654A (ja) 2011-10-26 2012-10-26 半導体ウェハのハンドリングおよび搬送
JP2018122273A Pending JP2018164108A (ja) 2011-10-26 2018-06-27 基板処理システム

Country Status (6)

Country Link
US (3) US9862554B2 (ja)
JP (3) JP2015502654A (ja)
KR (2) KR102185752B1 (ja)
CN (1) CN104011845B (ja)
TW (2) TWI719331B (ja)
WO (1) WO2013072760A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230069825A (ko) 2021-11-12 2023-05-19 도쿄엘렉트론가부시키가이샤 기판 반송 장치 및 기판 반송 방법

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2008316467A1 (en) * 2007-10-24 2009-04-30 Oc Oerlikon Balzers Ag Method for manufacturing workpieces and apparatus
US9004788B2 (en) * 2010-06-08 2015-04-14 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and storage medium
JP6118114B2 (ja) * 2013-01-15 2017-04-19 昭和電工株式会社 磁気記録媒体の製造方法及び装置
JP6118130B2 (ja) 2013-02-25 2017-04-19 昭和電工株式会社 磁気記録媒体の製造方法及び装置
US20140261168A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Multiple chamber module and platform in semiconductor process equipment
JP2014216519A (ja) * 2013-04-26 2014-11-17 株式会社ディスコ 加工装置及びウエーハの輸送方法
US10328580B2 (en) * 2013-08-09 2019-06-25 Persimmon Technologies Corporation Reduced footprint substrate transport vacuum platform
CN105814677B (zh) * 2013-10-18 2019-06-18 布鲁克斯自动化公司 处理设备
CN103560102B (zh) * 2013-10-29 2016-04-27 中国科学院苏州纳米技术与纳米仿生研究所 一种机械手操作系统
CN104979227B (zh) * 2014-04-02 2018-03-30 中芯国际集成电路制造(上海)有限公司 半导体数据收集方法及系统
US9669550B2 (en) * 2014-04-18 2017-06-06 Kla-Tencor Corporation Pick and place device with automatic pick-up-height adjustment and a method and a computer program product to automatically adjust the pick-up-height of a pick and place device
JP2015231036A (ja) * 2014-06-06 2015-12-21 キヤノン株式会社 リソグラフィ装置、および物品製造方法
CN104269368A (zh) * 2014-08-29 2015-01-07 沈阳拓荆科技有限公司 一种利用前端模块为晶圆加热的装置及方法
CN105446281B (zh) * 2014-09-01 2019-04-26 中芯国际集成电路制造(上海)有限公司 工艺腔室的派货方法和系统
CN104267256B (zh) * 2014-09-19 2016-11-16 南京中电熊猫晶体科技有限公司 适用于高基频mesa晶片测试的装置及测试方法
US10332770B2 (en) * 2014-09-24 2019-06-25 Sandisk Technologies Llc Wafer transfer system
AU2015100136A4 (en) * 2015-01-12 2015-03-12 Macau University Of Science And Technology Optimal Buffer Space Configuration and Scheduling for Single-Arm Multi-cluster Tools
CN107534000B (zh) 2015-04-20 2021-12-17 应用材料公司 缓冲腔室晶片加热机构和支撑机械臂
US9889567B2 (en) * 2015-04-24 2018-02-13 Applied Materials, Inc. Wafer swapper
JP6463220B2 (ja) * 2015-05-21 2019-01-30 東京エレクトロン株式会社 処理システム
JP6630727B2 (ja) * 2015-05-25 2020-01-15 川崎重工業株式会社 水平多関節ロボット
WO2017011581A1 (en) 2015-07-13 2017-01-19 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
US10001772B2 (en) * 2015-09-20 2018-06-19 Macau University Of Science And Technology Optimally scheduling of close-down process for single-arm cluster tools with wafer residency time constraints
KR101837545B1 (ko) * 2015-10-02 2018-04-20 에이피시스템 주식회사 Tsv 공정용 진공 라미네이팅 방법
JP6539558B2 (ja) * 2015-10-05 2019-07-03 リンテック株式会社 処理装置
US10014196B2 (en) * 2015-10-20 2018-07-03 Lam Research Corporation Wafer transport assembly with integrated buffers
US9502275B1 (en) * 2015-10-20 2016-11-22 Lam Research Corporation Service tunnel for use on capital equipment in semiconductor manufacturing and research fabs
US20170115657A1 (en) * 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
CN105388866A (zh) * 2015-11-17 2016-03-09 合肥芯福传感器技术有限公司 用于ic芯片或mems器件的全流程生产工作站
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US20170194181A1 (en) * 2016-01-04 2017-07-06 Micron Technology, Inc. Overhead traveling vehicle, transportation system with the same, and method of operating the same
US10788264B2 (en) * 2016-04-12 2020-09-29 Vanrx Pharmasystems, Inc. Method and apparatus for loading a lyophilization system
US10518985B2 (en) * 2016-05-31 2019-12-31 Wood's Powr-Grip Co., Inc. Control systems and methods for vacuum lift equipment
JP6937549B2 (ja) * 2016-06-10 2021-09-22 株式会社ジャパンディスプレイ 発光素子の製造装置
TWI623397B (zh) * 2016-06-30 2018-05-11 Kawasaki Heavy Ind Ltd Horizontal articulated robot
US10580672B2 (en) * 2016-10-18 2020-03-03 Mattson Technology, Inc. Systems and methods for workpiece processing
US11482434B2 (en) 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing
GB201701166D0 (en) 2017-01-24 2017-03-08 Picofluidics Ltd An apparatus for electrochemically processing semiconductor substrates
JP6802726B2 (ja) * 2017-02-14 2020-12-16 株式会社Screenホールディングス 基板搬送装置、それを備える基板処理装置および基板搬送方法
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
JP6729465B2 (ja) * 2017-03-28 2020-07-22 株式会社ダイフク 物品搬送設備
WO2018213014A1 (en) * 2017-05-16 2018-11-22 Smartfabs Corporation Method and system to route semiconductor parts to machines distributed in a multi-building plant
US11236013B2 (en) * 2017-07-19 2022-02-01 Intevac, Inc. System for forming nano-laminate optical coating
US10153282B1 (en) * 2017-08-11 2018-12-11 Lam Research Corporation Ultra-high vacuum transport and storage
GB2570510A (en) 2018-01-30 2019-07-31 Pragmatic Printing Ltd System and method for manufacturing plurality of integrated circuits
KR102363113B1 (ko) * 2018-03-01 2022-02-15 가부시키가이샤 에바라 세이사꾸쇼 스케줄러, 기판 처리 장치, 및 기판 반송 방법
US11574830B2 (en) * 2018-03-16 2023-02-07 Brooks Automation Us, Llc Substrate transport apparatus
CN108591826A (zh) * 2018-04-23 2018-09-28 睿力集成电路有限公司 气体处理系统及处理方法
KR101943268B1 (ko) * 2018-04-26 2019-01-28 캐논 톡키 가부시키가이샤 진공 시스템, 기판 반송 시스템, 전자 디바이스의 제조 장치 및 전자 디바이스의 제조 방법
JP7049909B2 (ja) * 2018-05-11 2022-04-07 川崎重工業株式会社 基板搬送ロボット及び基板保持ハンドの光軸ずれ検出方法
US11535460B2 (en) * 2018-05-31 2022-12-27 Brooks Automation Us, Llc Substrate processing apparatus
DE102018113786A1 (de) * 2018-06-08 2019-12-12 Vat Holding Ag Waferübergabeeinheit und Waferübergabesystem
KR102531483B1 (ko) * 2018-10-04 2023-05-10 어플라이드 머티어리얼스, 인코포레이티드 이송 시스템
CN111106038B (zh) * 2018-10-29 2023-01-31 台湾积体电路制造股份有限公司 自动化处理端系统以及方法
US11705358B2 (en) 2018-10-29 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for automated processing ports
US11414748B2 (en) * 2019-09-25 2022-08-16 Intevac, Inc. System with dual-motion substrate carriers
CN111354657B (zh) * 2018-12-24 2023-09-26 拓荆科技股份有限公司 半导体多站处理腔体
JP7190900B2 (ja) 2018-12-28 2022-12-16 株式会社Screenホールディングス 基板処理装置、キャリア搬送方法およびキャリアバッファ装置
WO2020161873A1 (ja) * 2019-02-07 2020-08-13 株式会社日立ハイテクノロジーズ 真空処理装置の運転方法
US11673275B2 (en) 2019-02-08 2023-06-13 Yaskawa America, Inc. Through-beam auto teaching
CN110246798B (zh) * 2019-05-09 2024-01-05 四川九州光电子技术有限公司 一种芯片载具用的多功能装卸装置
CN112103206A (zh) * 2019-06-17 2020-12-18 上海微电子装备(集团)股份有限公司 工件传输系统、工件传输方法及激光退火设备
US11574826B2 (en) * 2019-07-12 2023-02-07 Applied Materials, Inc. High-density substrate processing systems and methods
JP7221403B2 (ja) * 2019-09-05 2023-02-13 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
CN112582318A (zh) * 2019-09-30 2021-03-30 沈阳芯源微电子设备股份有限公司 涂胶显影设备
KR102270760B1 (ko) * 2019-11-29 2021-06-30 에이엠티 주식회사 미세 피치를 갖는 디바이스의 테스트장치
CN115916481A (zh) * 2020-03-03 2023-04-04 朗姆研究公司 带有室对接系统的移动推车上的协作机器人系统
US11565402B2 (en) 2020-03-09 2023-01-31 Applied Materials, Inc. Substrate transfer devices, systems and methods of use thereof
US11521870B2 (en) * 2020-07-08 2022-12-06 Applied Materials, Inc. Annealing chamber
KR20220053854A (ko) * 2020-10-23 2022-05-02 피코앤테라(주) 이에프이엠
KR102610837B1 (ko) * 2020-12-29 2023-12-06 세메스 주식회사 기판과 기판을 접합하기 위한 기판 접합 설비에서의 기판 보관 및 정렬 장치
JP7456065B2 (ja) * 2021-03-23 2024-03-26 キオクシア株式会社 ストレージシステム
CN113970392B (zh) * 2021-10-25 2023-09-22 安徽大学 柔性接近觉与压力触觉传感器、传感系统及柔性电子皮肤
CN114035466B (zh) * 2021-11-05 2022-05-31 肇庆高峰机械科技有限公司 一种双工位磁片排列机的控制系统
TWI806617B (zh) * 2022-05-19 2023-06-21 京鼎精密科技股份有限公司 晶圓測量裝置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08340034A (ja) * 1995-06-09 1996-12-24 Mitsui Toatsu Chem Inc 薄膜形成装置
JP2003060009A (ja) * 1996-08-05 2003-02-28 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
JP2007511104A (ja) * 2003-11-10 2007-04-26 ブルーシフト テクノロジーズ インコーポレイテッド 真空下の半導体処理システムにおいて加工中の製品を処理する方法及びシステム
JP2010055567A (ja) * 2008-08-29 2010-03-11 Ihi Corp 搬送制御装置及び搬送制御方法
JP2011119650A (ja) * 2009-11-04 2011-06-16 Tokyo Electron Ltd 基板処理装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0666295B2 (ja) * 1983-06-29 1994-08-24 東京応化工業株式会社 多段プラズマ処理装置
JP2592511B2 (ja) * 1988-12-03 1997-03-19 株式会社フレンドテック研究所 縦型半導体製造システム
KR100269097B1 (ko) 1996-08-05 2000-12-01 엔도 마코토 기판처리장치
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
JP4627992B2 (ja) * 2004-01-08 2011-02-09 住友精密工業株式会社 基板処理システム
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
JP2008028035A (ja) * 2006-07-19 2008-02-07 Phyzchemix Corp 半導体製造装置
KR20190077134A (ko) * 2007-07-17 2019-07-02 브룩스 오토메이션 인코퍼레이티드 기판 운송 장치
JP2009147236A (ja) * 2007-12-17 2009-07-02 Mitsubishi Heavy Ind Ltd 真空処理装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08340034A (ja) * 1995-06-09 1996-12-24 Mitsui Toatsu Chem Inc 薄膜形成装置
JP2003060009A (ja) * 1996-08-05 2003-02-28 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
JP2007511104A (ja) * 2003-11-10 2007-04-26 ブルーシフト テクノロジーズ インコーポレイテッド 真空下の半導体処理システムにおいて加工中の製品を処理する方法及びシステム
JP2010055567A (ja) * 2008-08-29 2010-03-11 Ihi Corp 搬送制御装置及び搬送制御方法
JP2011119650A (ja) * 2009-11-04 2011-06-16 Tokyo Electron Ltd 基板処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230069825A (ko) 2021-11-12 2023-05-19 도쿄엘렉트론가부시키가이샤 기판 반송 장치 및 기판 반송 방법

Also Published As

Publication number Publication date
KR102185752B1 (ko) 2020-12-02
CN104011845A (zh) 2014-08-27
TW201919970A (zh) 2019-06-01
US20180141762A1 (en) 2018-05-24
US10239707B2 (en) 2019-03-26
JP7432457B2 (ja) 2024-02-16
KR20200136058A (ko) 2020-12-04
WO2013072760A4 (en) 2013-12-12
TW201335050A (zh) 2013-09-01
JP2015502654A (ja) 2015-01-22
US20190218041A1 (en) 2019-07-18
WO2013072760A2 (en) 2013-05-23
KR102244137B1 (ko) 2021-04-23
KR20140087023A (ko) 2014-07-08
JP2018164108A (ja) 2018-10-18
CN104011845B (zh) 2018-05-11
US20140271083A1 (en) 2014-09-18
US9862554B2 (en) 2018-01-09
US11352220B2 (en) 2022-06-07
WO2013072760A3 (en) 2013-10-24
TWI637892B (zh) 2018-10-11
TWI719331B (zh) 2021-02-21

Similar Documents

Publication Publication Date Title
JP7432457B2 (ja) 基板処理システム
US9884726B2 (en) Semiconductor wafer handling transport
JP5226215B2 (ja) 真空下の半導体処理システムにおいて加工中の製品を処理する方法及びシステム
US20050111938A1 (en) Mid-entry load lock for semiconductor handling system
WO2007101228A2 (en) Semiconductor wafer handling and transport

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200702

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210831

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210914

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20211214

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220214

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220314

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20220816

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221216

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20221216

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20221226

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20230110

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20230209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230310

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20230407

C211 Notice of termination of reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C211

Effective date: 20230411

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20231101

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231113

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240205

R150 Certificate of patent or registration of utility model

Ref document number: 7432457

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150